JP2005311377A - 縦型薄膜トランジスタ - Google Patents

縦型薄膜トランジスタ Download PDF

Info

Publication number
JP2005311377A
JP2005311377A JP2005124336A JP2005124336A JP2005311377A JP 2005311377 A JP2005311377 A JP 2005311377A JP 2005124336 A JP2005124336 A JP 2005124336A JP 2005124336 A JP2005124336 A JP 2005124336A JP 2005311377 A JP2005311377 A JP 2005311377A
Authority
JP
Japan
Prior art keywords
gate
source
insulating layer
forming
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2005124336A
Other languages
English (en)
Inventor
Paul J Schuele
ジェイ. シューレ ポール
Apostolos T Voutsas
ティー. ブートサス アポストロス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of JP2005311377A publication Critical patent/JP2005311377A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • H01L29/78624Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile the source and the drain regions being asymmetrical
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate

Abstract

【課題】 短いチャネル長を有し、フォトリソグラフィに関連づけられた制限のないトランジスタを作るために用いられる縦型TFT(V―TFT)トランジスタ構造およびプロセスフローを提供すること。
【解決手段】 本方法は、基板を提供することと、絶縁層コンフォーマルに堆積することと、側壁、上面および厚さを有するゲートを形成することと、ゲート酸化物およびゲーゲート絶縁層を形成することと、基板絶縁層をエッチングすることと、第1のソース/ドレイン領域を形成することと、第2のソース/ドレイン領域であって、第1のゲート側壁に隣接する基板絶縁層を形成することと、ゲートの厚さにおよそ等しいチャネル長を有する第1のゲート側壁をオーバーレイするチャネル領域であって、第1および第2のソース/ドレイン領域との間に位置するチャネル領域を形成することとを包含する。
【選択図】 図1

Description

本発明は、概して集積回路(IC)の製造に関し、特に、垂直チャネル領域を有する薄膜トランジスタ(TFT)および関連した製造プロセスに関する。
液晶ディスプレイ(LCD)プロセスにおいて形成されるTFTの大きさは、ラージパネルフォトリソグラフィツールの解像度によって制限される。現在、フィーチャサイズの解像度は、およそ0.5μm以上である。高速回路動作は、高駆動電流および低寄生キャパシタンスが可能であるTFTを必要とする。これらの特性は、デバイスサイズ、詳細には、トランジスタのチャネル長を縮小することによって得られる。例えば、従来の製造CMOS技術は、超高速動作に対して90nm以下のトラジスタのチャネル長を用いる。
TFTの駆動電流およびスイッチングスピードをさらに高めるために、TFTデバイスのチャネル長がフォトリソグラフィツールの解像度より小さくされることが望ましい。
したがって、本発明の目的は、短いチャネル長を有し、フォトリソグラフィに関連づけられた制限のないトランジスタを作るために用いられる縦型TFT(V―TFT)トランジスタ構造およびプロセスフローを提供することである。
本発明は、0.2μm以下のチャネル長を有し、フォトリソグラフィに関連する制限のないトランジスタを作るために用いられる縦型TFT(V―TFT)トランジスタ構造およびプロセスフローである。本発明は、LCDディスプレイパネル上での高速倫理回路の統合を可能にする。本発明は、多くの従来のTFTプロセシング方法を用いてV―TFTを作成する。V―TFTにおいて、活性チャネルの長さは、フォトリソグラフィを用いてパターニング、またエッチングを施すことよりも、むしろゲート層堆積の厚さによって制御される。
従って、V−TFTを形成する方法が提供されている。
方法は、Si、石英、ガラス、プラスチックといった材料から作られる基板を提供することと、基板をオーバーレイする絶縁層をコンフォーマルに堆積することと、基板絶縁層をオーバーレイする側壁、上面および厚さを有するゲートを形成することと、ゲート側壁をオーバーレイするゲート酸化物およびゲート上面をオーバーレイするゲート絶縁層を形成することと、露出した基板絶縁層をエッチングすることと、ゲート絶縁層オーバーレイする第1のソース/ドレイン領域を形成することと、基板絶縁層をオーバーレイする第2のソース/ドレイン領域であって、第1のゲート側壁に隣接する第2のソース/ドレイン領域を形成することと、ゲートの厚さにおよそ等しいチャネル長を有する第1のゲート側壁をオーバーレイするチャネル領域であって、第1および第2のソース/ドレイン領域との間に位置するチャネル領域を形成することとを包含する。
方法の一部の局面において、LDD(lightly doped drain)は、第1ソース/ドレイン領域に隣接するチャネル領域に形成される。他の局面において、ゲートの厚さは、1000Å〜6000Åの範囲である。異なる局面において、基板絶縁層をオーバーレイする第3のソース/ドレイン領域であって、第2のゲート側壁に隣接する第3のソース/ドレイン領域を形成する。それから、第2のゲート側壁をオーバーレイするチャネル領域であって、第1のソース/ドレインと第2のゲート側壁に隣接する第3のソース/ドレインとの間に位置するチャネル領域を形成する。
上述の方法およびV−TFTデバイスのさらなるの詳細が、以下に提示されている。
(項目1)
縦型薄膜トランジスタ(V−TFT)を形成する方法であって、
側壁および上面を有するゲートであって、基板絶縁層をオーバーレイするゲートを形成することと、
ゲート側壁をオーバーレイするゲート酸化物層を形成することと、
ゲート上面をオーバーレイするゲート絶縁層を形成することと、
ゲート絶縁層をオーバーレイする第1のソース/ドレイン領域を形成することと、
基板絶縁層をオーバーレイする第2のソース/ドレイン領域であって、第1のゲート側壁と隣接する第2のソース/ドレイン領域を形成することと、
第1のゲート側壁をオーバーレイするチャネル領域であって、第1および第2のソース/ドレイン領域との間に位置するチャネル領域を形成することと
を包含する、方法。
(項目2)
側壁を有するゲートを形成することは、第1の厚さを有するゲートを形成することを包含し、
第1のゲート側壁をオーバーレイするチャネル領域を形成することは、第1の厚さとおよそ等しいチャネル長を有するチャネル領域を形成することを包含する、項目1に記載の方法。
(項目3)
ゲート絶縁層の形成に続いて、シリコン(Si)層をコンフォーマルに堆積することをさらに包含し、
第1のソース/ドレイン領域、第2のソース/ドレイン領域およびチャネル領域を形成することは、コンフォーマルに堆積したSi層から領域を形成することを包含する、項目1に記載の方法。
(項目4)
第1のソース/ドレイン領域に隣接するチャネル領域にLDDを形成することをさらに包含する、項目3に記載の方法。
(項目5)
Si、石英、ガラスおよびプラスチックを含む群から選択された材料から作られた基板を提供することと、
基板をオーバーレイする絶縁層であって、SiO、SiO/Si/SiOを含む群から選択された材料およびとポリアミドといった有機絶縁体から作られた絶縁層をコンフォーマルに堆積することと、
ゲートの形成に続いて、露出した基板絶縁層をエッチングすることと
をさらに包含する、項目3に記載の方法。
(項目6)
ゲートを形成することは、第1の平面に沿って表面を有する基板絶縁層上にゲートを形成することを包含し、
露出した基板絶縁層をエッチングすることは、第1の平面より低い基板絶縁層にリセスを形成することを包含し、
第1のゲート側壁オーバーレイするチャネル領域を形成することは、基板絶縁層のリセスにチャネル領域を延ばすことを包含する、項目5に記載の方法。
(項目7)
基板絶縁層をオーバーレイするゲートを形成することは、第1の厚さおよび絶縁層をオーバーレイする側壁を有するSi層を堆積することと、Siをドープすることとを包含する、項目5に記載の方法。
(項目8)
第1の厚さを有するゲートを形成することは、1000Å〜6000Åの範囲の第1の厚さを包含する、項目2に記載の方法。
(項目9)
ゲート側壁をオーバーレイするゲート酸化物層を形成することは、ゲートSi層側壁をプラズマ酸化することを包含し、
ゲート上面をオーバーレイするゲート絶縁層を形成することは、25Å〜500Åの範囲の厚さを有するSiOおよびSiを含む群から選択された材料からゲート絶縁層を形成することを包含する、項目7に記載の方法。
(項目10)
ゲート絶縁層をオーバーレイするSi層をコンフォーマルに堆積することは、300Å〜1000Åの範囲の厚さを有するアモルファスSi層を堆積することを包含し、
方法は、
チャネル領域にVt調節のためのイオン注入をすることと、
アモルファスSi層を結晶化することと
をさらに包含する、項目5に記載の方法。
(項目11)
アモルファスSiを結晶化することは、エキシマレーザを照射することを包含する、項目10に記載の方法。
(項目12)
アモルファスSi層を結晶化することは、アモルファスSi層をオーバーレイするNiを堆積することと、
アニールすることと
を包含する、項目10に記載の方法。
(項目13)
Ni、Ti、Coを含む群から選択されたシリサイドであって、第1および2のソース/ドレイン領域をオーバーレイするシリサイドを堆積することと、
アニールすることにより、金属シリサイドを形成することと、
不活性金属を除去することと、
をさらに包含する、項目1に記載の方法。
(項目14)
アモルファスSi層をオーバーレイする吸収酸化物層であって、1000Å〜1.5μmの範囲の厚さを有する吸収酸化物層を堆積するさらに包含し、
アモルファスSi層を結晶化することは、エキシマレーザおよびCOレーザが吸収酸化物層を照射し、アンダーレイするアモルファスSiを過熱することを包含する、項目10に記載の方法。
(項目15)
アモルファスSi層をオーバーレイする遮蔽酸化物層であって、300Å〜1000Åの範囲の厚さを有する遮蔽酸化物層を堆積することをさらに包含し、
第1および第2のソース/ドレイン領域を形成することは、遮蔽酸化物層を介してソース/ドレインのイオン注入をすることを包含し、
方法は、
遮蔽酸化物層を介してLDDのイオン注入をすることをさらに包含する、項目10に記載の方法。
(項目16)
遮蔽酸化物層を異方性エッチングすることにより、スペーサを形成することをさらに包含する、項目15に記載の方法。
(項目17)
トランジスタをオーバーレイする層間誘電体(ILD)をコンフォーマルに堆積することと、
第1のソース/ドレイン領域、第2のソース/ドレイン領域およびゲートへの金属配線をILDを介して形成することと
をさらに包含する項目5に記載の方法。
(項目18)
基板絶縁層をオーバーレイする第3のソース/ドレイン領域であって、第2のゲート側壁に隣接する第3のソース/ドレイン領域を形成することと、
第2のゲート側壁をオーバーレイするチャネル領域であって、第1のソース/ドレイン領域と第2のゲート側壁に隣接する第3のソース/ドレイン領域との間に位置するチャネル領域を形成することと
をさらに包含する、項目3に記載の方法。
(項目19)
基板と、
基板をオーバーレイする基板絶縁層と、
側壁および上面を有するゲートであって、基板絶縁層をオーバーレイするゲートと、
ゲート上面をオーバーレイするゲート絶縁層と、
ゲート絶縁層をオーバーレイする第1のソース/ドレイン領域と、
基板絶縁層をオーバーレイする第2のソース/ドレイン領域であって、第1のゲート側壁に隣接する第2のソース/ドレイン領域と、
第1のゲート側壁をオーバーレイするチャネル領域であって、第1および第2のソース/ドレイン領域との間に位置するチャネル領域と
を含む、縦型薄膜トランジスタ(V−TFT)。
(項目20)
ゲートは、第1の厚さを含み、
チャネル領域は、第1の厚さにおよそ等しいチャネル長を有する、項目19に記載のトランジスタ。
(項目21)
チャネル領域におけるLDDであって、第1のソース/ドレイン領域に隣接するLDDをさらに備えた、項目19に記載のトランジスタ。
(項目22)
基板は、Si、石英、ガラス、プラスチックを含む群から選択された材料であり、
基板絶縁層は、SiO、SiO/Si/SiOを含むグループから選択された材料およびポリアミドといった有機絶縁体である、項目19に記載のトランジスタ。
(項目23)
基板絶縁層は、基板絶縁層に形成されたリセスであって、第1のゲート側壁に隣接するリセスを含み、
チャネル領域は、基板絶縁層のリセスに延びる、項目19に記載のトランジスタ。
(項目24)
ゲートは、ドープされたSi材料である、項目19に記載のトランジスタ。
(項目25)
酸化されたSiゲート側壁絶縁体をさらに備え、
ゲート絶縁層は、25Å〜500Åの範囲の厚さを有するSiO、Siを含む群から選択された材料である、項目24に記載のトランジスタ。
(項目26)
ゲートは、W、TiNを含む群から選択された金属材料である、項目19に記載のトランジスタ。
(項目27)
第1および第2のソース/ドレイン領域は、300Å〜1000Åの範囲の厚さを有する結晶化されたSiであり、
トランジスタは、
チャネル領域にVt調節のイオン注入をさらに備えた、項目19に記載のトランジスタ。
(項目28)
第1および第2のソース/ドレイン領域は、Ni不純物を含む、項目27に記載のトランジスタ。
(項目29)
チャネル領域をオーバーレイする酸化物スペーサであって、第1および第2のソース/ドレイン領域との間に位置する酸化物スペーサをさらに備えた、項目27に記載のトランジスタ。
(項目30)
Ni、Ti、Coを含む材料の群から選択されたシリサイド領域であって、第1および2ソース/ドレイン領域に形成されたシリサイド領域をさらに備えた、項目29に記載のトランジスタ。
(項目31)
トランジスタをオーバーレイする層間誘電体(ILD)と、
第1ソース/ドレイン領域、第2のソース/ドレインおよびゲートへのILDを介した配線と
をさらに備えた、項目19に記載のトランジスタ。
(項目32)
基板絶縁層をオーバーレイする第3のソース/ドレイン領域であって、第2のゲート側壁に隣接する第3のソース/ドレイン領域と、
第2のゲート側壁をオーバーレイするチャネル領域であって、第1のソース/ドレインと第2のゲート側壁に隣接する第3のソース/ドレイン領域との間に位置するチャネル領域とをさらに備えた、項目19に記載のトランジスタ。
(項目33)
第1の厚さは、1000Å〜6000Åの範囲である、項目20に記載のトランジスタ。
(要旨)
縦型薄膜トランジスタ(V―TFT)が、V―TFTを形成する方法とともに提供されている。この方法は、Si、石英、ガラスおよびプラスチックといった材料から作られた基板を提供することと、基板をオーバーレイする絶縁層コンフォーマルに堆積することと、基板をオーバーレイするゲートであって、側壁、上面および厚さを有するゲートを形成することと、ゲート側壁をオーバレイするゲート酸化物および、ゲート上面をオーバレイするゲート絶縁層を形成することと、露出した基板絶縁層をエッチングすることと、ゲート絶縁層をオーバーレイする第1のソース/ドレイン領域を形成することと、基板絶縁層をオーバレイする第2のソース/ドレイン領域であって、第1のゲート側壁に隣接する基板絶縁層を形成することと、ゲートの厚さにおよそ等しいチャネル長を有する第1のゲート側壁をオーバーレイするチャネル領域であって、第1および第2のソース/ドレイン領域との間に位置するチャネル領域を形成することとを包含する。
図1は、本発明の縦型薄膜トランジスタ(V―TFT)の断面図の一部である。トランジスタ100は、基板102および基板102をオーバーレイする基板絶縁層104を含む。側壁108、110、上面111を有するゲート106は、基板絶縁層104をオーバーレイする。ゲート絶縁層112は、ゲート上面111をオーバーレイする。第1のソース/ドレイン領域114は、ゲート絶縁層112をオーバーレイする。第2のソース/ドレイン領域116は、基板絶縁層104をオーバーレイして、第1のゲート側壁108に隣接する。例えば、第1のソース/ドレイン領域114は、ドレインであり得て、第2のソース/ドレイン領域116は、ソースであり得る。もしくは、第1のソース/ドレイン領域114は、ソースであり得て、第2のソース/ドレイン領域116は、ドレインであり得る。チャネル領域118は、第1のゲート側壁108をオーバーレイして、第1のおよび第2のソース/ドレイン領域114/116との間に位置する。
ゲート106は、第1の厚さ120を有し、チャネル118は、第1の厚さ120におよそ等しいチャネル長122を有する。チャネル長のスタートポイントとエンドポイントとを正確に定義することが難しいことは理解されるべきである。さらに、許容差およびプロセスのバリエーションが、チャネル長112とゲート厚さ120との間の関係を正確に定義することを難しくする。しかしながら、一般的に、チャネル長122は、第1の厚さ120よりわずかに大きい。一部の局面において、ゲートの第1の厚さ120は、1000Å〜6000Åの範囲にある。他の局面において、LDD124は、第1のソース/ドレイン領域114に隣接するチャネル領域118に形成される。
基板102は、Si、石英、ガラスもしくは従来のCMOSおよびLCDプロセスに整合したプラスチックといった材料であり得る。基板絶縁層104は、SiO、SiO/Si/SiOといった材料もしくはポリアミドといった有機絶縁体であり得る。
一部の局面において、基盤絶縁層104は、第1のゲート側壁108に隣接する基板絶縁層に形成されるリセス126を含む。リセス126は、ゲート106の下面127に関して定義される。チャネル領域118は、基板絶縁層のリセス126に延びる。
ゲート106は、従来の任意のCMOS材料から形成され得る。例えば、ゲート106は、WもしくはTiNといった金属材料であり得る。もしくは、ゲート106は、ドープされたSi材である。ゲートがSiであるとき(図示されるように)、酸化されたSiゲート側壁絶縁体(ゲート酸化物層)128/130は、側壁108/110それぞれをオーバーレイして形成され得る。1つの局面において、ゲート絶縁層112は、25Å〜500Åの範囲の厚さ132を有するSiOもしくはSiといった材料である。
他の局面において、第1のおよび第2のソース/ドレイン領域114/116は、300Å〜1000Åの範囲の厚さ134を有する結晶化されたSiである。例えば、第1および第2のソース/ドレイン領域114/116は、Ni不純物の助けを得て結晶化され得る。オプションとして、Vt調節のイオン注入部136は、第1および第2のソース/ドレイン領域114/116との間に位置するチャネル領域にあり、トランジスタのしきい値を調節し得る。
図2は、図1のV―TFTトランジスタの第1のバリエーションの断面図の一部である。酸化物スペーサ200は、チャネル領域118をオーバーレイし、第1および第2のソース/ドレイン領域114/116との間に位置する。さらに、Ni、Ti、もしくはCoといった金属不純物を含むシリサイド領域202、204が、第1および第2のソース/ドレイン領域114/116のそれぞれに形成される。
図3は、図1のV―TFTトランジスタの第2のバリエーションの断面図の一部である。従来の任意のCMOS絶縁材料から作られる層間誘電体(ILD)300は、トランジスタ100をオーバーレイする。配線302、304が、ILD300を介して第1ソース/ドレイン領域114および第2のソース/ドレイン領域116に形成される。ゲート106への配線は、この図では見られ得ないことに留意する。また、示されているのは、基板絶縁層104をオーバーレイし、第2のゲート側壁100に隣接する第3のソース/ドレイン領域306である。チャネル領域308は、第2のゲート側壁110をオーバーレイし、第1のソース/ドレイン領域114と第2のゲート側壁に隣接する第3のソース/ドレイン領域306との間に位置する。例えば、第1のソース/ドレイン領域114がドレインであるとき、第2のおよび第3のソース/ドレイン領域116/306は、ソースであり得る。
機能的な記載
図4は、図3のV―TFTトランジスタ上から見た平面図であり、接続とゲート幅とを示す。
図5は、図3のV―TFTトランジスタ断面図の一部であり、TFTトランジスタは、Nチャネルデバイスとして構成されている。図は、特徴、ターミナルおよび電流フローの一般的な配列を示す。トランジスタの活性チャネルは、縦の太い矢印で指し示されており、チャネル長は、ゲートの厚さによって決定されることを示す。正電圧がゲートに印加され、電流が、縦の矢印で示される空乏領域を介して、VccからVssへ流れる。このプロセスフローのみが、チャネルの上部でのLDDのイオン注入の結果になるので、高電圧(Vcc)ターミナルが、以下に示されるようにゲートを超えて、第1のソース/ドレイン領域に接続され得る。
例示的なV―TFTプロセスフローは、以下の通りである。
>シリコン、石英もしくはガラスであり得る基板を用いる。
>基板からT―TFTを分離するためにPECVD絶縁層を堆積する。分離層は、〜3000ÅのSiOであってもよいし、〜2500ÅのSiO/〜100ÅのSi/〜300Å〜1500ÅのSiOのスタックであってよい。
>2層からなるゲートスタックを堆積する。
ポリシリコンは、1000Å〜6000Åの範囲である。その厚さは、チャネル長を決定する。
PECVD酸化シリコンは、〜300Å〜1500Åの範囲である。
フォトマスクおよびイオン注入を用いて、ポリシリコンゲートをドープする。Nチャネルデバイスには、およそ3e15/40keVでリンを埋め込む。Pチャネルデバイスには、およそ5e15/15keVでホウ素を埋め込む。
ドーパントを活性化し、酸化物層の密度を高めるためにおよそ600〜700℃で、1時間〜10時間、スタックをアニールする。
フォトリソグラフィおよびプラズマエッチングを用いてゲートスタックをパターニングする。SiO基板分離(絶縁)層が用いられるとき、ポリエッチング完了後の酸化物層へのオーバーエッチングの量は、所望のゲート/ドレインオーバーラップを作成するために制御されなければならない(図1のリセス126参照)。より複雑な複数基板分離スタックは、ゲートエッチングが、窒化層で止まることを可能にし、SiO層の厚さによってセットされる制御されたゲート/ソースオーバーラップを作成する。
図6A、図6Bは、2つの基板絶縁層プロセスのプロセスフローを示す。以下のプロセス図は、単層基板絶縁層を示すことに留意する。しかしながら、どちらの種類の基板絶縁が用いられ得ることは理解されるべきである。図6Aは、単層SiO基板絶縁層に対するゲートエッチング後のV―TFT構造の断面図を示す。オーバーエッチングの量は、エッチング時間でのみ制御される。図6Bは、3層基板絶縁スタックに対するゲートエッチング後のV―TFT構造の断面図を示す。ポリゲートプラズマエッチングの最終ステップは、窒化物に対して高い選択性を有する酸化物を除去する。したがって、ゲートエッチング後に残っている酸化ステップは、酸化物層のas―depositedの厚さよって決定される。
例示的なV―TFTプロセスは、以下の通り続く。
>ゲート面を洗浄し、RCA洗浄およびHFのシーケンスを用いて、プラズマエッチングから出たポリマーおよび不純物を除去する。
>25Å〜500Åの間の厚さを有するゲート絶縁層(tox)を形成する。多くの可能な方法には、以下のものが含まれる。
PECVD SiO堆積、詳細には、TEOX酸化物、もしくはPECVDまたはLPCVD窒化シリコン堆積がある。
図7は、プラズマ酸化に続く、図6AのV―TFTトランジスタの断面図の一部である。例えば、露出したポリシリコン表面の誘導結合プラズマ(ICP)プラズマ酸化が、実行される。この例は、Siゲート材料が用いられると仮定することに留意する。従来のCMOSプロセスゲート酸化物と同様に、上述のゲート酸化物は、高ステップカバレージ、低漏れ電流、高破壊電界および界面状態の低密度を提供しなければならない。
図8は、プラズマ強化化学気相成長(PECVD)TEOS堆積に続く図7のV―TFTトランジスタの断面図の一部である。
例示的なV―TFTプロセスが、以下の通り続く。
>300Å〜1000Åの厚さのアモルファスシリコン層が堆積して、トランジスタ活性チャネルを形成する。
>このとき、チャネルVt調節のイオン注入は、角度の付いた(angled)イオン注入を用いて実行され、ドーパント種が、活性チャネルの裏側に埋め込まれることを確実にし得る。
>構造をファーナスアニール(furnace anneal)して、アモルファスシリコン層内の水素を取り除く。
>活性シリコン層をレーザーアニールする。
異なる種類のレーザアニーリングが用いられ得る。1つの局面において、アモルファスSi層は、エキシマレーザ照射にさらされ、多結晶シリコンに転換される。その結果生じたポリSi層は、中型の同軸粒子で構成されており、20〜50nmのオーダーの平均径を有する。ポリSi微細構造におけるさらなる進歩は、結晶化方法を変更することによって実現され得る。例えば、レーザビームは、基板の表面へ向けられる前に、成形される。レーザビームの形は、シェイピングマスク(shaping mask)およびプロジェクションオプティックス(prpjection optics)を含むアッセンブリを用いて達成され得る。このプロセスは、粒界によって隔てられる長い結晶領域からなるポリSi微細構造をもたらす。このような結晶の予測される周期現象は、結果として生じた縦型―TFT電気特性においてより一層一様にする。
図13は、レーザ照射プロセスを示す断面図の一部である。上述のラテラル結晶化をインプリメントする難しさの1つは、ソース/ドレイン領域のアモルファスSiとチャネル領域のアモルファスSiとの間の温度差異である。表面への垂直レーザ照射に対して、ソース/ドレイン領域で加熱される1表面領域当たりのSi量は、チャネル領域で加熱される1表面領域当たりのSi量と比較して少ない。所定のレーザ流量に対して、ソース/ドレイン領域の温度は、チャネル領域の温度より高い。したがって、堆積したアモルファスSiの厚さとゲートの厚さとの間の関係は、結晶化ステップのプロセスウィンドウを定義する。結晶化プロセスは、ソース/ドレイン領域にダメージを与えることなく、活性領域の微細構造を最適化するようにチューニングすることが望ましい。プロセスの下限値は、チャネル領域に高い移動度を有する結晶化を作成する最小流量によってセットされる。プロセスの上限値は、ソース/ドレイン領域にアブレーションおよび凝塊といったダメージを与えずに、得うる最大照射量によってセットされる。
厚さの不均一性問題に対処するために、結晶化プロセスのバリエーションが実行され得る。レーザは、Siをオーバーレイする追加の吸収酸化物層を加熱するために用いられ得て、その結果、Si膜は、間接的にしか過熱されない。このようにして、Si膜の溶解しきい値は下げられる。吸収層は、エキシマーレーザビームおよびCOレーザビームの結合照射にさらされ得うる。COビームは、優先的にSiOの上部層を加熱する。このようにして、間接的にアンダーレイするSi膜の温度を上げる。オーバーレイするSiO膜の厚さを調節して、エキシマービームがアンダーレイするSi膜に向けられ得る。間接的な加熱のため既に高温であるシリコン膜は、局所的に、低いしきい値温度で溶解する。さらに、Si膜をSiO吸収層で覆うことによって、膜の温度が高レベルに達するときでさえ、過剰な蒸発を防ぐ。
活性シリコン層の結晶化は、また、レーザ照射の代わりにニッケルアシステッドラテラル結晶化によって実行され得る。ニッケルシリサイドは、RTAアニーリング中、アモルファスシリコンのラテラル結晶化のソースとしての役割を果たす。このバリエーションにおいて、レーザアニーリングは、実行される必要はなく、Niシリサイド形成ステップの時間および温度は、Niシリサイドアニール中に、活性チャネルが、活性チャネルの中央になるソースおよびドレイン領域からの結晶化フロントが完全に結晶化されるように最適化される。
例示的なV―TFTプロセスは、以下の通り続く。
>SiO遮蔽酸化物層を300Å〜1000Åの厚さで堆積する。
図9は、図8のV−TFTの断面図であり、ソース/ドレインのイオン注入部を示す。ソース/ドレイン領域は、傾斜角0度を用いて適切な種でイオン注入をされる。イオン注入エネルギーは、活性チャネルにイオン注入し過ぎず、ソースドレイン領域の適切なドーピングを作成すうように選ばれる。TEOS酸化物層は、(第2のS/D領域に隣接する)活性チャネルの裏側からのS/Dのイオン注入をブロックするためにスペーサとして働き、一方、ソースおよびドレイン領域におけるイオン注入を可能にする。言い換えれば、TEOS遮蔽酸化物層によって形成されたスペーサは、0度の軸からわずかにずれるイオンによる活性チャネルの裏側のドーピングを防ぐ。
図10は、図9のV−TFTの断面図であり、オプション的なLDDプロセスを示す。低ドースLDDのイオン注入は、およそ5e12と5e13ion/cmとの間のドースおよびS/Dのイオン注入より大きいエネルギーを用いて実行され得る。したがって、LDDは、S/D領域より下に形成される。LDD埋め込みは、チャネル領域の上部にだけ影響することを留意する。その結果、高いフィールドが、ソースコンタクト(第2のソース/ドレイン領域、図1参照)に適用されず、より低いゲートエッジにLDDのイオン注入がないとき、高ゲートドレインフィールドを防ぐ。
図11は、図10のV−TFTの断面図であり、さらに詳細にLDDおよびS/Dのイオン注入領域を示す。
例示的なV−TFTプロセスは、以下の通りに続く。
>ドーパントを活性化させるために、およそ600〜700℃、1〜10時間で構造をアニールする。
>シリコン上に留まっている遮蔽酸化物層を除去するためにエッチングする。高い抵抗値が所望されるとき、遮蔽酸化物エッチングは、フォトリソグラフィを用いてマスクされ得ることにより、活性領域のシリコン上に一部の遮蔽酸化物層を残し、シリサイドの形成を妨ぐ。これらの構造は、アナログ電圧リファレンス回路に対して、I/O回路において、電流制限レジスタとして用いられえる。
フォトリソグラフィおよびドライエッチングを用いて、活性シリコンアイランドをパターニングする。
ゲート酸化物をエッチングする。オプション的に、ポリレジスタが、ゲート酸化膜エッチング時にマスクで覆うことによって作られることにより、シリサイド化されるべきでないポリを覆うゲート酸化物を残し得る。
活性シリコンおよびポリゲートの表面は、この段階で、自己整合プロセスを用いてシリサイド化され得る。しかし、シリサイドは、必要とされない。
簡潔であるが、サリサイドプロセスは、以下の通りである。
>2000Å〜5000Åの厚さで、TEOS酸化物スペーサを堆積する。
>スペーサエッチングを施す。
>シリサイドのために、金属(Ti、NiもしくはCo)を堆積する。
>アニールを施し、シリサイドを形成する。
>過酸化物に基づくウェットエッチングを施し、不活性金属を除去する。
>アニールを施し、シリサイドを安定化させる。
図11は、図10のV−TFTの断面図であり、完成したデバイスを示す。分離、コンタクト、金属配線のための従来のバックエンドプロセスフローは、以下の通りである。
重要なプロセス要求は、以下を含む。
1.アモルファスシリコンステップカバレージは、ゲート電極の垂直面に沿って一様な層の厚さを提供するために十分に良いものでなければならない。
2.垂直チャネルを形成するアモルファスシリコンの結晶化は、高い移動度にとって重要である。
3.ゲートエッチングは、活性チャネルの裏側に形成する垂直面のS/DおよびLDDのイオン注入を防ぐために垂直でなければならい。
4.LDDのイオン注入は、上部チャネル領域においてだけである。したがって、通常、Vccは、上部電極(第1のソース/ドレイン領域)に適用されることにより、ショートチャネルおよびホットキャリア効果を回避する。
重要な構造要求は、以下を含む。
1.チャネル長およびトランジスタ性能は、ゲート電極の厚さによって決定される。
2.ゲート構造の幅は、コンタクトの大きさおよび整合許容差を担うために十分でなければならない。コンタクトが、ゲートエッジから落ちるとき、それらは、トランジスタを短絡し得る。
3.活性領域/ゲートオーバーラップは、ドレイン領域およびGate−Drainキャパシタンスの領域を決定する。通常、Gate−Drainのキャパシタンスは高いが、一方で、Gate−Sourceキャパシタンスは低い。Gate−Drainキャパシタンスのいくらかの減少は、上部酸化物バッファ層(ゲート絶縁層)の厚さを増加させることにより達成され得る。酸化物バッファの厚さの上限は、イオン注入条件によって決定される。
4.上部(ゲート絶縁)また下部(基板絶縁)バッファ酸化物層の厚さ、およびイオン注入エネルギーは、所望のGate/Grainオーバーラップを作成するためにチューニングされる。特に、上部バッファ層を厚さの増加させることは、LDD幅を増加させ、かつ、Gate−Drainキャパシタンスを減少させる。
図12は、V−TFTを形成する本発明の方法を示すフローチャートである。方法は、明確にするために、番号が付けられたステップのシーケンスとして示されるが、順序は、必ずしも番号から推測されない。これらのステップの一部は、省かれ、並列に実行され、もしくは、シーケンスの厳格な順序の維持することを必要なしに実行されることが、理解されるべきである。方法は、ステップ1200から始まる。
ステップ1202は、Si、石英、ガラス、もしくはプラスチックといった材料から作られた基板を提供するステップである。ステップ1204は、基板をオーバーレイし、SiO、SiO/Si/SiOといった材料もしくはポリアミドといった有機絶縁体から作られた絶縁層をコンフォーマルに堆積する。ステップ1206は、側壁、上面、第1の厚さ有し、基板絶縁層をオーバーレイするゲートを形成する。例えば、第1の厚さは、1000Å〜6000Åの範囲にある。ステップ1207は、ゲート側壁をオーバーレイするゲート酸化物層を形成する。ステップ1208は、ゲートの上面をオーバーレイするゲート絶縁層を形成する。ステップ1210は、ゲートの形成に続いて、露出した基板絶縁層をエッチングする。それから、ステップ1210に続いて、ステップ1211は、シリコン(Si)層をコンフォーマルに堆積する。例えば、アモルファスSi層は、300Å〜1000Åの範囲の厚さを有し得る。
ステップ1214は、ゲート絶縁層をオーバーレイする第1のソース/ドレイン領域を形成するステップである。ステップ1216は、基板絶縁層をオーバーレイする第2のソース/ドレイン領域を形成し、第2のソース/ドレイン領域は、第1のゲート側壁に隣接する。ステップ1218は、第1のゲート側壁をオーバーレイするチャネル領域を形成し、第1および第2のソース/ドレイン領域との間に位置する。言い換えれば、チャネル領域は、ステップ1207で形成されたゲート酸化物層をオーバーレイして形成される。一部の局面において、さらなるステップ1220は、第1のソース/ドレイン領域に隣接するチャネル領域にLDDを形成するステップである。
通常、チャネル領域は、ゲートの第1の厚さとおよそ等しいチャネル長を有する。また、第1のソース/ドレイン領域(ステップ1214)、第2のソース/ドレイン領域(ステップ1216)およびチャネル領域(ステップ1218)は、ステップ1211でコンフォーマルに堆積したSi層から形成されることが通常である。
他の局面において、ステップ1206でゲートを形成することは、第1の平面に沿って表面を有する基板絶縁層に上にゲートを形成することを含む。ステップ1210で、露出した基板絶縁層エッチングすることは、第1の平面より低い、基板絶縁層にリセスを形成することを含む。それから、ステップ1218で、第1のゲート側壁をオーバーレイするチャネル領域を形成することは、基板絶縁層のリセスにチャネル領域に延びることを含む。
ゲートは、金属かもしくはSi材料から作られ得る。ゲート材料がSiのとき、ステップ1206で、ゲートを形成するステップは、下位ステップを含む。ステップ1206aは、第1の厚さおよび絶縁層をオーバーレイする側壁有するSi層を堆積する。ステップ1206bは、Siをドープする。それから、ステップ1207は、ゲートSi層側壁をプラズマ酸化することによってゲート側壁オーバーレイするゲート酸化物層を形成する。異なる局面において、ステップ1207および1208は、連続して実行され得る。例えば、ステップ1208は、ツールチェンジ後のステップ1207の直後に実行され得る。他の局面において、ゲート上面をオーバーレイするゲート層を形成するステップ(ステップ1208)は、25Å〜500Åの範囲の厚さを有するSiOもしくはSiといった材料からゲート絶縁層を形成する。
一つの局面において、ステップ1213a(図示されず)は、第1および第2のソース/ドレイン領域との間に位置するチャネル領域においてVt調節のイオン注入をする。他の局面において、ステップ1213b(図示されず)は、アモルファスSi層を結晶化する。例えば、アモルファスSiは、エキシマレーザを用いる照射によって結晶化され得る。もしくは、Niは、アモルファスSi層をオーバーレイして堆積し、レーザ、炉、もしくは高速熱アニーリング(RTA)プロセスを用いてアニールされ得る。他のバリエーションにおいては、ステップ1212は、1000Å〜1.5μmの範囲の厚さを有するアモルファスSi層をオーバーレイする吸収酸化物層を堆積することを含む。ステップ1213bは、エキシマレーザおよびCOレーザを用いて、吸収酸化照射し、アンダーレイするアモルファスSiを加熱する。
異なる局面において、ステップ1212は、300Å〜1000Åの範囲の厚さを有するアモルファスSi層をオーバーレイする遮蔽酸化物層を堆積する。第1および第2のソース/ドレイン領域は、遮蔽酸化物層を介してソース/ドレインのイオン注入をすることによってステップ1214およびステップ1216それぞれで形成される。さらに、LDD注入(ステップ1220)は、また遮蔽酸化物層を介して実行され得る。
他の局面において、ステップ1223は、第1および第2のソース/ドレイン領域をオーバーレイするNi、Ti、Coといったシリサイドを堆積する。ステップ1224は、金属シリサイドを形成するためにアニールする。ステップ1226は、不活性金属を除去する。一つの局面において、ステップ1221(図示されず)は、遮蔽酸化物層(ステップ1212)異方性エッチングすることにより、チャネル領域上もしくは付近でシリサイドの形成を防ぐことにおいて有用なスペーサを形成する。
ステップ1228は、トランジスタをオーバーレイする層間誘電体(ILD)をコンフォーマルに堆積する。ステップ1230は、第1のソース/ドレイン領域、第2のソース/ドレイン領域およびゲートヘの金属配線をILDを介して形成する。
方法の他の局面において、ステップ1217(図示されず)は、基板絶縁層をオーバーレイする第3のソース/ドレイン領域であって、第2のゲート側壁に隣接する第3のソース/ドレイン領域を形成する。それから、ステップ1219(図示されず)は、第2のゲート側壁をオーバーレイするチャネル領域であって、第1のソース/ドレイン領域と第2のゲート側壁に隣接する第3のソース/ドレイン領域との間に位置するチャネル領域を形成する。
V−FETおよび関連した製造プロセスが記載されてきた。特定の構造、材料および製造プロセス例の一部は、本発明を示すために提示されてきた。しかしながら、本発明は、これらの例だけに限定されない。本発明の他のバリエーションおよび実施形態は、当業者には想到する。
本発明の縦型薄膜トランジスタ(V−TFT)の断面図の一部である。 図1のV−TFTトランジスタの第1のバリエーションの断面図の一部である。 図2のV−TFTトランジスタの第2のバリエーションの断面図の一部である。 図3のV−TFTトランジスタの上から見た平面図であり、コンタクトとゲート幅を示す。 図3のV−TFTトランジスタの断面図の一部であり、Nチャネルデバイスとして構成されている (A)および(B)は、二つの基板絶縁層プロセスのプロセスフローを示す。 プラズマ酸化に続く、図6AのV−TFTトランジスタの断面図の一部である。 プラズマ強化化学気相成長(PECVD)によるTEOS堆積に続く、図7のV−TFTの断面図の一部である。 図8のV−TFTの断面図の一部であり、ソース/ドレインのイオン部を示す。 図9のV−TFTの断面図の一部であり、オプション的なLDDプロセスを示す。 図10のV−TFTの断面図の一部であり、完成したデバイスを示す。 (a)および(b)は、V―TFTを形成するための本発明を示すフローチャートである。 (a)および(b)は、V―TFTを形成するための本発明を示すフローチャートである。 V−TFTの断面図の一部であり、レーザ照射プロセスを示す。
符号の説明
100 トランジスタ
102 基板
104 基板絶縁層
106 ゲート
108、110 側壁
112 ゲート絶縁層
114 第1のソース/ドレイン領域
116 第2のソース/ドレイン領域
118、308 チャネル領域
120 第1の厚さ
122 チャネル長
124 LDD
126 リセス
128、130 酸化Siゲート側壁絶縁体(ゲート酸化物層)
132 25Å〜500Åの範囲の厚さ
134 300Å〜1000Åの範囲の厚さ
136 Vt調節のイオン注入
200 酸化物スペーサ
202、204 金属シリサイド領域
300 層間誘電体(ILD)
302、304 配線
306 第3のソース/ドレイン領域

Claims (33)

  1. 縦型薄膜トランジスタ(V−TFT)を形成する方法であって、
    側壁および上面を有するゲートであって、基板絶縁層をオーバーレイする該ゲートを形成することと、
    該ゲート側壁をオーバーレイするゲート酸化物層を形成することと、
    該ゲート上面をオーバーレイするゲート絶縁層を形成することと、
    該ゲート絶縁層をオーバーレイする第1のソース/ドレイン領域を形成することと、
    該基板絶縁層をオーバーレイする第2のソース/ドレイン領域であって、第1のゲート側壁と隣接する該第2のソース/ドレイン領域を形成することと、
    該第1のゲート側壁をオーバーレイするチャネル領域であって、該第1および該第2のソース/ドレイン領域との間に位置する該チャネル領域を形成することと
    を包含する、方法。
  2. 側壁を有するゲートを形成することは、第1の厚さを有するゲートを形成することを包含し、
    前記第1のゲート側壁をオーバーレイするチャネル領域を形成することは、該第1の厚さとおよそ等しいチャネル長を有するチャネル領域を形成することを包含する、請求項1に記載の方法。
  3. 前記ゲート絶縁層の形成に続いて、シリコン(Si)層をコンフォーマルに堆積することをさらに包含し、
    前記第1のソース/ドレイン領域、前記第2のソース/ドレイン領域および前記チャネル領域を形成することは、該コンフォーマルに堆積したSi層から領域を形成することを包含する、請求項1に記載の方法。
  4. 前記第1のソース/ドレイン領域に隣接する前記チャネル領域にLDDを形成することをさらに包含する、請求項3に記載の方法。
  5. Si、石英、ガラスおよびプラスチックを含む群から選択された材料から作られた基板を提供することと、
    該基板をオーバーレイする絶縁層であって、SiO、SiO/Si/SiOを含む群から選択された材料およびとポリアミドといった有機絶縁体から作られた該絶縁層をコンフォーマルに堆積することと、
    該ゲートの形成に続いて、該露出した基板絶縁層をエッチングすることと
    をさらに包含する、請求項3に記載の方法。
  6. ゲートを形成することは、第1の平面に沿って表面を有する基板絶縁層上にゲートを形成することを包含し、
    該露出した基板絶縁層をエッチングすることは、該第1の平面より低い該基板絶縁層にリセスを形成することを包含し、
    前記第1のゲート側壁オーバーレイするチャネル領域を形成することは、該基板絶縁層のリセスに前記チャネル領域を延ばすことを包含する、請求項5に記載の方法。
  7. 前記基板絶縁層をオーバーレイするゲートを形成することは、前記第1の厚さおよび前記絶縁層をオーバーレイする側壁を有するSi層を堆積することと、Siをドープすることとを包含する、請求項5に記載の方法。
  8. 第1の厚さを有するゲートを形成することは、1000Å〜6000Åの範囲の前記第1の厚さを包含する、請求項2に記載の方法。
  9. 前記ゲート側壁をオーバーレイするゲート酸化物層を形成することは、前記ゲートSi層側壁をプラズマ酸化することを包含し、
    前記ゲート上面をオーバーレイするゲート絶縁層を形成することは、25Å〜500Åの範囲の厚さを有するSiOおよびSiを含む群から選択された材料からゲート絶縁層を形成することを包含する、請求項7に記載の方法。
  10. 前記ゲート絶縁層をオーバーレイするSi層をコンフォーマルに堆積することは、300Å〜1000Åの範囲の厚さを有するアモルファスSi層を堆積することを包含し、
    該方法は、
    前記チャネル領域にVt調節のためのイオン注入をすることと、
    該アモルファスSi層を結晶化することと
    をさらに包含する、請求項5に記載の方法。
  11. 前記アモルファスSiを結晶化することは、エキシマレーザを照射することを包含する、請求項10に記載の方法。
  12. 前記アモルファスSi層を結晶化することは、該アモルファスSi層をオーバーレイするNiを堆積することと、
    アニールすることと
    を包含する、請求項10に記載の方法。
  13. Ni、Ti、Coを含む群から選択されたシリサイドであって、前記第1および2のソース/ドレイン領域をオーバーレイする該シリサイドを堆積することと、
    アニールすることにより、金属シリサイドを形成することと、
    不活性金属を除去することと、
    をさらに包含する、請求項1に記載の方法。
  14. 前記アモルファスSi層をオーバーレイする吸収酸化物層であって、1000Å〜1.5μmの範囲の厚さを有する該吸収酸化物層を堆積するさらに包含し、
    該アモルファスSi層を結晶化することは、エキシマレーザおよびCOレーザが該吸収酸化物層を照射し、アンダーレイするアモルファスSiを過熱することを包含する、請求項10に記載の方法。
  15. 前記アモルファスSi層をオーバーレイする遮蔽酸化物層であって、300Å〜1000Åの範囲の厚さを有する該遮蔽酸化物層を堆積することをさらに包含し、
    第1および第2のソース/ドレイン領域を形成することは、該遮蔽酸化物層を介してソース/ドレインのイオン注入をすることを包含し、
    該方法は、
    該遮蔽酸化物層を介してLDDのイオン注入をすることをさらに包含する、請求項10に記載の方法。
  16. 前記遮蔽酸化物層を異方性エッチングすることにより、スペーサを形成することをさらに包含する、請求項15に記載の方法。
  17. 前記トランジスタをオーバーレイする層間誘電体(ILD)をコンフォーマルに堆積することと、
    前記第1のソース/ドレイン領域、前記第2のソース/ドレイン領域および前記ゲートへの金属配線をILDを介して形成することと
    をさらに包含する請求項5に記載の方法。
  18. 前記基板絶縁層をオーバーレイする第3のソース/ドレイン領域であって、第2のゲート側壁に隣接する該第3のソース/ドレイン領域を形成することと、
    該第2のゲート側壁をオーバーレイするチャネル領域であって、前記第1のソース/ドレイン領域と該第2のゲート側壁に隣接する該第3のソース/ドレイン領域との間に位置する該チャネル領域を形成することと
    をさらに包含する、請求項3に記載の方法。
  19. 基板と、
    該基板をオーバーレイする基板絶縁層と、
    側壁および上面を有するゲートであって、該基板絶縁層をオーバーレイする該ゲートと、
    該ゲート上面をオーバーレイするゲート絶縁層と、
    該ゲート絶縁層をオーバーレイする第1のソース/ドレイン領域と、
    該基板絶縁層をオーバーレイする第2のソース/ドレイン領域であって、第1のゲート側壁に隣接する該第2のソース/ドレイン領域と、
    該第1のゲート側壁をオーバーレイするチャネル領域であって、該第1および第2のソース/ドレイン領域との間に位置する該チャネル領域と
    を含む、縦型薄膜トランジスタ(V−TFT)。
  20. 前記ゲートは、第1の厚さを含み、
    前記チャネル領域は、該第1の厚さにおよそ等しいチャネル長を有する、請求項19に記載のトランジスタ。
  21. チャネル領域におけるLDDであって、前記第1のソース/ドレイン領域に隣接する該LDDをさらに備えた、請求項19に記載のトランジスタ。
  22. 前記基板は、Si、石英、ガラス、プラスチックを含む群から選択された材料であり、
    前記基板絶縁層は、SiO、SiO/Si/SiOを含むグループから選択された材料およびポリアミドといった有機絶縁体である、請求項19に記載のトランジスタ。
  23. 前記基板絶縁層は、該基板絶縁層に形成されたリセスであって、前記第1のゲート側壁に隣接する該リセスを含み、
    前記チャネル領域は、該基板絶縁層のリセスに延びる、請求項19に記載のトランジスタ。
  24. 前記ゲートは、ドープされたSi材料である、請求項19に記載のトランジスタ。
  25. 酸化されたSiゲート側壁絶縁体をさらに備え、
    前記ゲート絶縁層は、25Å〜500Åの範囲の厚さを有するSiO、Siを含む群から選択された材料である、請求項24に記載のトランジスタ。
  26. 前記ゲートは、W、TiNを含む群から選択された金属材料である、請求項19に記載のトランジスタ。
  27. 前記第1および第2のソース/ドレイン領域は、300Å〜1000Åの範囲の厚さを有する結晶化されたSiであり、
    前記トランジスタは、
    前記チャネル領域にVt調節のイオン注入をさらに備えた、請求項19に記載のトランジスタ。
  28. 前記第1および第2のソース/ドレイン領域は、Ni不純物を含む、請求項27に記載のトランジスタ。
  29. 前記チャネル領域をオーバーレイする酸化物スペーサであって、前記第1および第2のソース/ドレイン領域との間に位置する該酸化物スペーサをさらに備えた、請求項27に記載のトランジスタ。
  30. Ni、Ti、Coを含む材料の群から選択されたシリサイド領域であって、前記第1および2ソース/ドレイン領域に形成された該シリサイド領域をさらに備えた、請求項29に記載のトランジスタ。
  31. 前記トランジスタをオーバーレイする層間誘電体(ILD)と、
    前記第1ソース/ドレイン領域、前記第2のソース/ドレインおよびゲートへのILDを介した配線と
    をさらに備えた、請求項19に記載のトランジスタ。
  32. 前記基板絶縁層をオーバーレイする第3のソース/ドレイン領域であって、第2のゲート側壁に隣接する該第3のソース/ドレイン領域と、
    該第2のゲート側壁をオーバーレイするチャネル領域であって、前記第1のソース/ドレインと該第2のゲート側壁に隣接する該第3のソース/ドレイン領域との間に位置する該チャネル領域とをさらに備えた、請求項19に記載のトランジスタ。
  33. 前記第1の厚さは、1000Å〜6000Åの範囲である、請求項20に記載のトランジスタ。

JP2005124336A 2004-04-23 2005-04-21 縦型薄膜トランジスタ Withdrawn JP2005311377A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/831,424 US6995053B2 (en) 2004-04-23 2004-04-23 Vertical thin film transistor

Publications (1)

Publication Number Publication Date
JP2005311377A true JP2005311377A (ja) 2005-11-04

Family

ID=35135543

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005124336A Withdrawn JP2005311377A (ja) 2004-04-23 2005-04-21 縦型薄膜トランジスタ

Country Status (2)

Country Link
US (5) US6995053B2 (ja)
JP (1) JP2005311377A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009177080A (ja) * 2008-01-28 2009-08-06 Toshiba Corp 半導体記憶装置
US9269827B2 (en) 2014-06-20 2016-02-23 Chunghwa Picture Tubes, Ltd. Oxidizing the source and doping the drain of a thin-film transistor

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7381595B2 (en) * 2004-03-15 2008-06-03 Sharp Laboratories Of America, Inc. High-density plasma oxidation for enhanced gate oxide performance
JP4085891B2 (ja) * 2003-05-30 2008-05-14 ソニー株式会社 半導体装置およびその製造方法
JP2005250584A (ja) * 2004-03-01 2005-09-15 Sharp Corp 入力装置
US20060166415A1 (en) * 2004-06-07 2006-07-27 Sharp Laboratories Of America, Inc. Two-transistor tri-state inverter
KR101067618B1 (ko) * 2004-06-29 2011-09-27 엘지디스플레이 주식회사 액정표시패널 및 그 제조방법
KR100612906B1 (ko) * 2004-08-02 2006-08-14 삼성전자주식회사 상변화 기억 소자의 형성 방법
KR100674952B1 (ko) * 2005-02-05 2007-01-26 삼성전자주식회사 3차원 플래쉬 메모리 소자 및 그 제조방법
US7355256B2 (en) * 2005-04-11 2008-04-08 Nec Electronics Corporation MOS Devices with different gate lengths and different gate polysilicon grain sizes
US20060261406A1 (en) * 2005-05-18 2006-11-23 Yijian Chen Vertical integrated-gate CMOS device and its fabrication process
US20070090459A1 (en) * 2005-10-26 2007-04-26 Motorola, Inc. Multiple gate printed transistor method and apparatus
US20070176666A1 (en) * 2006-01-30 2007-08-02 Broadcom Corporation Level translator for adapting a signal to a voltage level
FR2897201B1 (fr) * 2006-02-03 2008-04-25 Stmicroelectronics Crolles Sas Dispositif de transistor a doubles grilles planaires et procede de fabrication.
US8809203B2 (en) * 2007-06-05 2014-08-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device using a microwave plasma CVD apparatus
KR20090035775A (ko) * 2007-10-08 2009-04-13 삼성전자주식회사 반도체 소자 및 이를 형성하는 방법
US8013516B2 (en) * 2008-01-23 2011-09-06 Global Oled Technology Llc LED device having improved power distribution
US8106400B2 (en) 2008-10-24 2012-01-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
KR101310473B1 (ko) 2008-10-24 2013-09-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US8384439B2 (en) * 2008-11-28 2013-02-26 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
KR101567336B1 (ko) * 2008-12-22 2015-11-11 삼성디스플레이 주식회사 표시 기판 및 그 제조 방법
CN101710586B (zh) * 2009-01-09 2011-12-28 深超光电(深圳)有限公司 提高开口率的储存电容及其制作方法
US20110079840A1 (en) * 2009-10-01 2011-04-07 Macronix International Co., Ltd. Memory cell and manufacturing method thereof and memory structure
CN102754209B (zh) * 2010-02-12 2015-11-25 株式会社半导体能源研究所 半导体装置及其驱动方法
WO2011111529A1 (en) 2010-03-12 2011-09-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
TWI508294B (zh) * 2010-08-19 2015-11-11 Semiconductor Energy Lab 半導體裝置
US8669552B2 (en) 2011-03-02 2014-03-11 Applied Materials, Inc. Offset electrode TFT structure
TWI473273B (zh) * 2011-08-15 2015-02-11 Au Optronics Corp 薄膜電晶體、畫素結構及其製造方法
TWI467577B (zh) * 2011-11-02 2015-01-01 Macronix Int Co Ltd 記憶體結構及其製造方法
US8569822B2 (en) * 2011-11-02 2013-10-29 Macronix International Co., Ltd. Memory structure
TWI519879B (zh) 2013-11-08 2016-02-01 群創光電股份有限公司 顯示面板及包含該顯示面板的顯示裝置
US9368601B2 (en) 2014-02-28 2016-06-14 Sandisk Technologies Inc. Method for forming oxide below control gate in vertical channel thin film transistor
US9123815B1 (en) * 2014-03-06 2015-09-01 Eastman Kodak Company VTFTs including offset electrodes
US9607825B2 (en) * 2014-04-08 2017-03-28 International Business Machines Corporation Hydrogen-free silicon-based deposited dielectric films for nano device fabrication
US9443887B1 (en) * 2015-06-12 2016-09-13 Eastman Kodak Company Vertical and planar TFTS on common substrate
CN104779300B (zh) * 2015-04-16 2016-05-25 京东方科技集团股份有限公司 一种多晶硅薄膜晶体管及其制作方法和显示装置
US10121553B2 (en) 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
KR102576428B1 (ko) 2016-04-29 2023-09-08 삼성디스플레이 주식회사 어레이 기판, 이를 포함하는 액정 표시 장치 및 어레이 기판의 제조 방법
US10217863B2 (en) 2016-06-28 2019-02-26 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with an asymmetric gate structure
CN106449667B (zh) * 2016-12-21 2017-12-22 京东方科技集团股份有限公司 阵列基板及其制作方法、显示装置
CN108428796B (zh) 2017-02-14 2021-10-15 元太科技工业股份有限公司 有机薄膜晶体管与显示装置
KR101905717B1 (ko) * 2017-03-02 2018-11-21 포항공과대학교 산학협력단 삼차원 적층구조의 듀얼 게이트 박막 트랜지스터 논리 회로
CN111052395A (zh) 2017-08-31 2020-04-21 美光科技公司 半导体装置、晶体管以及用于接触金属氧化物半导体装置的相关方法
WO2019046629A1 (en) 2017-08-31 2019-03-07 Micron Technology, Inc. SEMICONDUCTOR DEVICES, HYBRID TRANSISTORS, AND ASSOCIATED METHODS
TWI646691B (zh) * 2017-11-22 2019-01-01 友達光電股份有限公司 主動元件基板及其製造方法
US10297290B1 (en) 2017-12-29 2019-05-21 Micron Technology, Inc. Semiconductor devices, and related control logic assemblies, control logic devices, electronic systems, and methods
US10340267B1 (en) 2017-12-29 2019-07-02 Micron Technology, Inc. Semiconductor devices including control logic levels, and related memory devices, control logic assemblies, electronic systems, and methods
US10366983B2 (en) 2017-12-29 2019-07-30 Micron Technology, Inc. Semiconductor devices including control logic structures, electronic systems, and related methods
WO2019132999A1 (en) * 2017-12-29 2019-07-04 Intel Corporation Integrated circuit structures with vertical architecture
US10586795B1 (en) 2018-04-30 2020-03-10 Micron Technology, Inc. Semiconductor devices, and related memory devices and electronic systems
US11751391B2 (en) 2018-07-12 2023-09-05 Sunrise Memory Corporation Methods for fabricating a 3-dimensional memory structure of nor memory strings
KR102551995B1 (ko) 2018-11-16 2023-07-06 엘지디스플레이 주식회사 수직 구조 트랜지스터 및 전자장치
US11538804B2 (en) * 2019-01-09 2022-12-27 Intel Corporation Stacked integration of III-N transistors and thin-film transistors
CN110690257A (zh) * 2019-08-29 2020-01-14 福建华佳彩有限公司 一种tft阵列基板及其制造方法
US11515309B2 (en) 2019-12-19 2022-11-29 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor in a 3-dimensional thin-film transistor array
WO2021210865A1 (ko) * 2020-04-13 2021-10-21 고려대학교 세종산학협력단 수직 구조 전계효과 트랜지스터 및 그 제조방법
US11937424B2 (en) 2020-08-31 2024-03-19 Sunrise Memory Corporation Thin-film storage transistors in a 3-dimensional array of nor memory strings and process for fabricating the same
US11842777B2 (en) 2020-11-17 2023-12-12 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
TW202310429A (zh) 2021-07-16 2023-03-01 美商日升存儲公司 薄膜鐵電電晶體的三維記憶體串陣列

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4757361A (en) * 1986-07-23 1988-07-12 International Business Machines Corporation Amorphous thin film transistor device
GB2201544A (en) * 1987-02-27 1988-09-01 Philips Electronic Associated Vertical thin film transistor
NL8800222A (nl) * 1988-01-29 1989-08-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting waarbij op zelfregistrerende wijze metaalsilicide wordt aangebracht.
US5032529A (en) * 1988-08-24 1991-07-16 Harris Corporation Trench gate VCMOS method of manufacture
GB2223353A (en) * 1988-09-30 1990-04-04 Philips Electronic Associated Thin-film transistor
US5283456A (en) * 1992-06-17 1994-02-01 International Business Machines Corporation Vertical gate transistor with low temperature epitaxial channel
KR0136931B1 (ko) * 1994-05-12 1998-04-24 문정환 박막 트랜지스터의 구조 및 제조방법
JP3253808B2 (ja) * 1994-07-07 2002-02-04 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
KR100269600B1 (ko) * 1997-09-24 2000-10-16 김영환 박막트랜지스터의 제조방법
US6353245B1 (en) * 1998-04-09 2002-03-05 Texas Instruments Incorporated Body-tied-to-source partially depleted SOI MOSFET
JP2001051292A (ja) * 1998-06-12 2001-02-23 Semiconductor Energy Lab Co Ltd 半導体装置および半導体表示装置
US6140688A (en) * 1998-09-21 2000-10-31 Advanced Micro Devices Inc. Semiconductor device with self-aligned metal-containing gate
EP2988331B1 (en) * 2000-08-14 2019-01-09 SanDisk Technologies LLC Semiconductor memory device
JP2002184993A (ja) * 2000-12-11 2002-06-28 Sony Corp 半導体装置
US6982194B2 (en) * 2001-03-27 2006-01-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
GB0111423D0 (en) * 2001-05-10 2001-07-04 Koninkl Philips Electronics Nv An electronic device including a thin film transistor
TWI266386B (en) * 2001-10-03 2006-11-11 Hannstar Display Corp Dual vertical cannel thin film transistor for SRAM and manufacturing method thereof
US6461900B1 (en) * 2001-10-18 2002-10-08 Chartered Semiconductor Manufacturing Ltd. Method to form a self-aligned CMOS inverter using vertical device integration
US6853587B2 (en) * 2002-06-21 2005-02-08 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US6974981B2 (en) * 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6753239B1 (en) * 2003-04-04 2004-06-22 Xilinx, Inc. Bond and back side etchback transistor fabrication process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009177080A (ja) * 2008-01-28 2009-08-06 Toshiba Corp 半導体記憶装置
US9269827B2 (en) 2014-06-20 2016-02-23 Chunghwa Picture Tubes, Ltd. Oxidizing the source and doping the drain of a thin-film transistor
US9923099B2 (en) 2014-06-20 2018-03-20 Chunghwa Picture Tubes, Ltd. TFT with oxide layer on IGZO semiconductor active layer

Also Published As

Publication number Publication date
US20050236625A1 (en) 2005-10-27
US20050239238A1 (en) 2005-10-27
US20070228471A1 (en) 2007-10-04
US20050236671A1 (en) 2005-10-27
US7235437B2 (en) 2007-06-26
US20060049461A1 (en) 2006-03-09
US7265393B2 (en) 2007-09-04
US7238554B2 (en) 2007-07-03
US6995053B2 (en) 2006-02-07

Similar Documents

Publication Publication Date Title
JP2005311377A (ja) 縦型薄膜トランジスタ
JP3713232B2 (ja) 結晶質シリコン活性層を含む薄膜トランジスタの製造方法
US7754593B2 (en) Semiconductor device and manufacturing method therefor
JP4802364B2 (ja) 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び半導体層の抵抗制御方法
JP2008060524A (ja) 自己整合型の低不純物濃度ドレインを備えたリセスゲート薄膜トランジスタ、および当該トランジスタの形成方法
JPH10223889A (ja) Misトランジスタおよびその製造方法
JP3282582B2 (ja) トップゲート型薄膜トランジスタ及びその製造方法
US20060051905A1 (en) Method of fabricating planarized poly-silicon thin film transistors
US20060246637A1 (en) Sidewall gate thin-film transistor
JP2004063845A (ja) 薄膜トランジスタの製造方法、平面表示装置の製造方法、薄膜トランジスタ及び平面表示装置
KR100690910B1 (ko) 샐리사이드 공정 및 이를 사용한 반도체 소자의 제조 방법
JP4304374B2 (ja) トップゲート型薄膜トランジスタ
US7211489B1 (en) Localized halo implant region formed using tilt pre-amorphization implant and laser thermal anneal
US6180474B1 (en) Method for fabricating semiconductor device
JP4354099B2 (ja) 薄膜トランジスタの製造方法
JP2002190606A (ja) トップゲート型薄膜トランジスタの製造方法
JP4417327B2 (ja) 半導体装置の作製方法
KR100596879B1 (ko) 반도체 소자의 폴리레지스터형성방법
JP2003188386A (ja) 半導体装置およびその製造方法
KR100546723B1 (ko) 반도체 소자의 폴리레지스터 형성방법
JP2791420B2 (ja) 電界効果型半導体装置の作製方法
KR100228334B1 (ko) 반도체 장치의 전계효과트랜지스터 제조방법
JP2001094108A (ja) 電界効果トランジスタ、トランジスタアレイ基板、およびその製造方法
KR20230016746A (ko) 엑시머 레이저 어닐링을 이용한 초저접합 실리사이드층 형성방법
US20070004112A1 (en) Method of forming thin film transistor and method of repairing defects in polysilicon layer

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080701