JP2001237296A - 連続堆積装置 - Google Patents

連続堆積装置

Info

Publication number
JP2001237296A
JP2001237296A JP2000338006A JP2000338006A JP2001237296A JP 2001237296 A JP2001237296 A JP 2001237296A JP 2000338006 A JP2000338006 A JP 2000338006A JP 2000338006 A JP2000338006 A JP 2000338006A JP 2001237296 A JP2001237296 A JP 2001237296A
Authority
JP
Japan
Prior art keywords
substrate
processing
carrier
processing apparatus
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000338006A
Other languages
English (en)
Inventor
Avi Tepman
テプマン アヴィ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001237296A publication Critical patent/JP2001237296A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 基板、特に比較的平坦なガラス基板を処理す
る改良された装置および方法を提供する。 【解決手段】 本発明は全般に少なくとも1つのプロセ
スチャンバ96に主に配設されたキャリア122と、該
プロセスチャンバ96とロードロックチャンバ92、9
4間で基板114、115、116を搬送する少なくと
も1つのシャトル118、120とを有する基板処理装
置を提供する。複数のプロセスチャンバ、ロードロック
チャンバ、その他のチャンバは結合され、基板を処理す
る系列モジュラチャンバを形成可能である。キャリアは
処理環境に露出するだけ、すなわちキャリアは非プロセ
スチャンバ内を往復しないのが好ましい。よって、基板
の連続シーケンス処理中、キャリアの熱循環が低減され
る。キャリアはトラック123,125に沿ってプロセ
スチャンバ内を可逆的に移動される。隔壁によって離隔
された多数の処理区域によって複数の処理状態が同一プ
ロセスチャンバ内に発生可能となる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体処理の分野に
関する。特に、本発明は処理装置を経て基板を搬送する
装置および方法に関する。
【0002】
【従来の技術】半導体産業において、処理装置を経て基
板を移動する主な方法は二つある。一従来方法は図1に
示したクラスタツール装置を用いる。クラスタツールプ
ラットホーム2は一般にモジュール式マルチチャンバの
一体型処理装置のことである。これは通常中央ウェーハ
操作真空チャンバ20、32および多数の周辺プロセス
チャンバ24、26、28、36を含む。ウェーハ22
等の基板は、通常カセット10に保管されているが、ロ
ードロック12、14からロード及びアンロードされ、
環境状態に露出されることなく各種プロセスチャンバ内
の真空状態で処理される。各処理のためのウェーハ搬送
はウェーハ操作真空チャンバ20内の中央集中ロボット
16あるいは第2ウェーハ操作真空チャンバ32内のロ
ボット30によって処理され、各チャンバは真空状態に
維持されている。マイクロプロセッサコントローラ38
および関連ソフトウェアが具備されウェーハの処理およ
び移動を制御する。
【0003】ガラス基板、セラミックプレート、プラス
チックシート、ディスク等比較的大きい基板の場合、イ
ンライン装置と呼ばれる処理装置を経て基板を移動する
第2の方法が通常用いられる。ガラス基板はフラットパ
ネルディスプレイの製造に用いられが、該ディスプレイ
はアクティブマトリックステレビ、コンピュータディス
プレイ、液晶ディスプレイ(LCD)パネル、その他の
ディスプレイとして用いられる。代表的ガラス基板は寸
法が約550mmx650mmであり、基板サイズは約
650mmx830mm以上に増大する傾向にある。
【0004】図2は代表的モデュール式インライン装置
40の概略側面図である。該処理装置は直列配置のプロ
セスチャンバ42、44を含むが、これらは該一連のプ
ロセスチャンバの両端部のロードチャンバ46とアンロ
ードチャンバ48間に配設されるものである。一つのエ
レベータ50はロードチャンバ46の入口に配設され、
別のエレベータ52はアンロードチャンバ48からの出
口に配設される。プロセスチャンバ44等のプロセスチ
ャンバは化学気相堆積(CVD)チャンバ、物理気相堆
積(PVD)チャンバ、エッチングチャンバ、電気めっ
きチャンバ、その他のスパッタリング・プロセスチャン
バを含んでよい。キャリア戻りライン58はプロセスチ
ャンバ58の上方に配設され、エレベータ50、52に
結合される。各種プロセスチャンバは真空あるいは低圧
状態にあり、図3のインライン装置の概略平面図に示し
た1つ以上の隔離弁60、62、64、66、68によ
って離隔される。通常多数の基板54、56、70、7
2は図4、5のキャリアの概略正面・側面図に示したキ
ャリア74によって支持される。隔離弁は閉位置におい
て各チャンバを互いに封止し、また開位置において基板
54、56を該弁を介して隣接ステーションに搬送可能
とする。
【0005】図2に示したキャリア74はエレベータ5
0に隣接配置され、基板54、56、70、72が手作
業でキャリア74の受入ステーション51にロードされ
る。エレベータ50へのドア(図示せず)が開くとキャ
リア74はエレベータ内からトラック(図示せず)上に
配置可能となる。エレベータ50内の温度および圧力は
通常、環境状態にある。隔離弁60が開となるとキャリ
ア74はトラック上からロードチャンバ46に移動可能
となる。ロードチャンバ46は封止され、CVD処理の
場合約10mトル〜約50mトル、PVD処理の場合約
1mトル〜約5mトルの範囲の標準的真空状態までポン
プ汲み出しされる。別の隔離弁62が開となるとキャリ
ア74がプロセスチャンバ42に移動し、基板は処理に
好適な温度まで加熱される。別の隔離弁64が開となる
とキャリア74はトラックに沿ってプロセスチャンバ4
4に移動可能となる。プロセスチャンバ44がスパッタ
リングプロセスチャンバである場合、チャンバは複数の
ターゲット76、78を含んでよく、これらのターゲッ
トは基板が各ターゲットに隣接するトラックに沿って移
動する際に基板に対向するターゲット面から基板54、
56、70、72に材料をスパッタする。各スパッタリ
ングターゲットは陽極(通常はターゲット)と陰極(通
常は接地したチャンバ壁)間で生成されたイオン化した
ガス原子(イオン)によって基板に対向する側をボンバ
ードされ、ターゲットの粒子は除去されて基板へ向かい
該基板に堆積する。各ターゲットは基板から離隔した該
ターゲットの裏面に磁石(図示せず)を配設して、ター
ゲット面に略平行な磁界線―周囲では電子が回転軌道内
で捕捉され、スパッタリング用のガス原子との衝突及び
該ガス原子のイオン化の可能性を増大させる―を発生す
ることによってスパッタ率を向上させるのが好ましい。
次に基板54、56、70、72は隔離弁66を介して
アンローディングチャンバ48へ移動される。隔離弁6
6が閉となり、これによってアンローディングチャンバ
48からプロセスチャンバ44を封止する。隔離弁66
が開となるとキャリア74はアンローディングチャンバ
48から撤去可能となり、基板54、56、70、72
は通常手作業でキャリアからアンロードされる。また、
基板はアンローディングチャンバ内に留置しておき基板
に冷却時間を与えてもよい。基板がアンロードされた後
キャリア74はエレベータ52に入り、エレベータはキ
ャリア74を戻りライン58まで上昇させる。戻りライ
ン58のトラック装置(図示せず)はキャリアをエレベ
ータ50に戻し、該エレベータはキャリアを処理装置の
他端の受入ステーション51の位置に下降させて処理さ
れる次のバッチの基板を受け取る。
【0006】現在製造にインライン装置40が用いられ
ているが、このタイプのインライン装置には短所がいく
つかある。キャリア74は処理環境からエレベータ5
0、52およびキャリア戻りライン58内の周囲環境へ
移動される際、また処理環境に戻す際に熱循環を受け
る。この結果、堆積材料はキャリア74から剥離するか
除去され、基板に不要な粒子含有を生ずる。加うるに、
トラック装置は運転中に汚染物質を発生することがあ
り、該汚染物質はキャリアに付着しプロセスチャンバに
導入されることがある。エレベータおよびトラック装置
はシステムに複雑度を付加しており、故障低減のため各
種可動構成部品に保守が必要である。加うるに、キャリ
ア74は周囲環境において酸素を吸収するが、これはチ
ャンバ圧を増大させ、真空チャンバ内の酸素を除気する
際に堆積薄膜層の汚染を引き起こすことがある。キャリ
ア74の熱循環に加えて、複数セットの基板は環境状態
以上の温度で処理されるので、キャリア74の平均温度
は通常上昇する。各プロセスチャンバにおける大部分の
処理は温度に影響されやすいので、処理方式は通常所望
の動作温度を設定してムラのない堆積を得るようにす
る。従って、キャリア74からの伝熱は基板および/ま
たは処理に影響することがあり、製造開始時に生成され
た薄膜は平均温度の増大によって製造終了時に生成され
た薄膜と比較して変化する場合がある。代表的インライ
ン装置を用いたさらに別の問題は隣接プロセスチャン
バ、特に反応性処理を用いるチャンバ内の処理間のクロ
ス汚染である。反応性処理は適切な割合での2つ以上の
構成要素に依存する。隣接プロセスチャンバからの別材
料の流入は反応性処理を不安定にし堆積特性に影響する
ことがある。
【0007】
【発明が解決しようとする課題】従って、基板、特に比
較的平坦なガラス基板を処理する改良された装置および
方法がなお求められている。
【0008】
【課題を解決するための手段】本発明は全般に主として
少なくとも1つのプロセスチャンバおよび基板をプロセ
スチャンバとロードロックチャンバ間に搬送する少なく
とも1つのシャトル内に配設されたキャリアを有する基
板を処理する装置を提供する。複数のプロセスチャン
バ、ロードロックチャンバ、その他のチャンバは結合さ
れ基板を処理する一連のモジュール式チャンバを形成可
能である。キャリアは処理環境に露出されるだけ、すな
わちキャリアは非プロセスチャンバ内を往復しないのが
好ましい。よって、基板の連続シーケンス処理中、キャ
リアの熱循環は低減される。キャリアはトラックに沿っ
てプロセスチャンバ内を可逆的に移動される。隔壁によ
って離隔された多数の処理区域によって複数の処理状態
が同一プロセスチャンバ内に発生可能となる。
【0009】一態様において、本発明は少なくとも1つ
のプロセスチャンバと、該プロセスチャンバに結合され
た少なくとも1つのロードロックチャンバと、該ロード
ロックチャンバ内に配設されプロセスチャンバに連通可
能なシャトルと、該少なくとも1つのプロセスチャンバ
に主に配設されプロセスチャンバ内でのみ動作するよう
構成された基板キャリアと、を備える基板処理装置を提
供する。
【0010】別の態様において、本発明は少なくとも1
つのプロセスチャンバと、該プロセスチャンバに結合さ
れた少なくとも1つのロードロックチャンバと、ロード
ロックチャンバとプロセスチャンバ間を可動なシャトル
と、該プロセスチャンバ内に少なくとも一部分が配設さ
れる温度制御可能な基板キャリアと、を備える基板処理
装置を提供する。基板キャリア温度は好ましくは冷却温
度をキャリアに接触および/または放射するキャリアに
隣接した1つ(複数)の温度可変プレートを配置するこ
とによって制御される。
【0011】別の態様において、本発明は少なくとも1
つのプロセスチャンバと、該プロセスチャンバに結合さ
れた少なくとも1つのロードロックチャンバと、該ロー
ドロックチャンバとプロセスチャンバ間を可動なシャト
ルと、1つのプロセスチャンバ内の少なくとも2つの処
理区域と、を備える基板処理装置を提供する。
【0012】別の態様において、本発明はプレートと、
該プレート内に少なくとも一部分が配設され排気口と吸
気口を有する1つ以上のチャネルと、基板処理装置内の
基板キャリアと係合する、プレートに接続された複数の
上方突出ピンと、を備える基板処理装置を提供する。
【0013】別の態様において、本発明は基板キャリア
と、該基板キャリアに近接配置された温度可変プレート
と、該温度制御可能なプレートに結合された流体チャネ
ルと、を備える基板処理装置を提供する。
【0014】別の態様において、本発明は基板を基板処
理装置のプロセスチャンバ内に配設するステップと、該
基板をプロセスチャンバ内に少なくとも部分的に設けら
れた第1処理区域に隣接配置するステップと、該基板を
第1処理区域内で第1プロセスによって処理するステッ
プと、該基板をプロセスチャンバ内に少なくとも部分的
に設けられた第2処理区域に隣接配置するステップと、
該基板を第2処理区域内で該第1処理区域内の第1プロ
セスとは異なるプロセスによって処理するステップと、
を含む基板処理方法を提供する。
【0015】別の態様において、本発明は基板をプロセ
スチャンバ内に配設されたキャリアに搬送するステップ
と、次に該基板をプロセスチャンバ内で処理するステッ
プと、次に該基板をキャリアからプロセスチャンバの外
部のスペースへ搬送するステップと、を含む基板処理方
法を提供する。
【0016】別の態様において、本発明は基板キャリア
をプロセスチャンバ内に配設するステップと、基板を該
基板キャリア上に支持するステップと、基板をプロセス
チャンバ内で処理するステップと、プロセスチャンバ内
の基板キャリア温度を変更するステップと、を含む基板
処理方法を提供する。
【0017】上述の本発明の構成、利点および目的を達
成し詳細な理解が可能であるように、添付図面に図示し
た実施例を参照して上で要約した本発明のより詳細な説
明を行うことができる。
【0018】しかし、添付図面は単に本発明の代表的実
施例を示すにすぎず、従って、同様に有効な別の実施例
と認められる場合があるのでその範囲を限定するものと
はみなされない。
【0019】
【発明の実施の形態】本発明は全般に、1つ以上のチャ
ンバと、装置内に配設され基板を支持するとともに該1
つ以上のチャンバを経て基板を搬送する基板キャリアと
を有するインライン処理装置を提供する。一態様におい
て、本発明はローディングロードロックチャンバとアン
ローディングロードロックチャンバを含む。搬送シャト
ルが各ロードロックチャンバ内に配設され基板を装置内
のキャリアへ/から搬送する。また、反応性処理および
非反応性処理はプロセスチャンバ内の処理区域間に隔壁
を用いることで単一プロセスチャンバにおいて実施する
こともできる。隔壁は別々の処理間に従来の隔離弁を設
けずに反応性処理を発生可能とする。
【0020】図6、7は連続堆積インライン装置90の
それぞれ概略正面図、側面図である。装置90は装置9
0の一端部のローディングロードロックチャンバ92
と、装置90の他端部に配設されたアンローディングロ
ードロックチャンバ94と、両者間に配設された少なく
とも1つのプロセスチャンバ96とを含む。ローディン
グロードロックチャンバ92は隔離弁98によってプロ
セスチャンバ96から隔離されている。また、ローディ
ングロードロックチャンバ92はロボット110によっ
て運転される受取ステーション106に開口する弁10
2も含む。ロボット110は基板114をローディング
ロードロックチャンバ92に送達する。同様にアンロー
ディングロードロックチャンバ94は隔離弁100によ
ってプロセスチャンバから隔離されている。また、アン
ローディングロードロックチャンバ94はロボット11
2によって運転される受取ステーション108に開口す
る弁104も含む。ロボット112はアンローディング
ロードロックチャンバ94から基板116を回収する。
また、装置は各チャンバに隣接配置されたトラック12
7に沿って移動することによって両ロードロックチャン
バを運転するトラック型ロボットを含んでもよい。ロボ
ットは大気ロボットとして知られ、MECS、RORT
Z、JEL、Daihen、Komatsu等のメーカ
ーおよびその他当該技術で周知のメーカーから購入可能
である。
【0021】少なくとも1つのシャトル118がローデ
ィングロードロックチャンバ92内に配設され、別の少
なくとも1つのシャトル120がアンローディングロー
ドロックチャンバ94内に配設される。シャトル11
8、120は1つ以上の可逆モータあるいはギアアッセ
ンブリを用いてロードロックチャンバ92、94に搬入
/搬出され、逆方向にプロセスチャンバ96の反対側端
部に搬入されて、各シャトルは基板をプロセスチャンバ
96へ/から搬送し、次にシャトルをプロセスチャンバ
からそれぞれのロードロックチャンバへ後退可能とす
る。少なくとも1つのキャリア122がプロセスチャン
バ96内に配設されて基板を支持し、両方向に可動、す
なわちローディングロードロックチャンバ92およびア
ンローディングロードロックチャンバ94双方の方向に
可逆である。
【0022】3つのトラックがシャトル132、134
およびキャリア122の移動用に装置90内に配設さ
れ、各トラックは複数のガイドローラ126a−p(全
般にガイドローラ126)とピニオンギア128a−h
(全般にピニオン128)を備えるのが好ましい。別個
のトラック123、125はプロセスチャンバ96とそ
れぞれのロードロックチャンバ間のシャトル118、1
20の移動を支援する。第3キャリアトラック124が
プロセスチャンバ96内の移動のためキャリア122を
支援する。トラックは複数のガイドローラおよび/また
はピニオンギアを整列することによって形成され、サイ
ドレール(図示せず)を含んでよい。各トラックに沿っ
たガイドローラ126およびピニオンギア128の数量
はチャンバの長さ、シャトルおよびキャリアの長さ、基
板の大きさ等の要因によって変化することがある。シャ
トルトラック123はローディングロードロックチャン
バ92内に配設され、プロセスチャンバ96内に伸長す
る。シャトルトラック123は装置90の下部に配設さ
れたガイドローラ126とピニオンギア128を備え、
チャンバ92、96におけるシャトル118の移動用経
路を提供する。同様に別のシャトルトラック125はア
ンローディングロードロックチャンバ94内に配設さ
れ、プロセスチャンバ96内に伸長する。シャトルトラ
ック125は複数のガイドローラ126とピニオンギア
128を備え、チャンバ94、96におけるシャトルの
移動用経路を提供する。キャリアトラック124は隔離
弁98、100間を伸長し、基板114、115、11
6が処理される際チャンバ96におけるキャリア122
の移動用経路を提供するのが好ましい。キャリアトラッ
ク124は幅がシャトルトラック123、125より狭
く、複数のガイドローラ126とピニオンギア128を
備える。キャリアトラック124の幅が狭いことで、シ
ャトル〜キャリア間の基板搬送中キャリア122をシャ
トル118、120の下に配置可能である。ガイドロー
ラ126およびピニオンギア128はトラック123、
124、125に沿って適正間隔で離隔され、キャリア
およびシャトルがそれぞれのトラックに沿って移動され
る際キャリア122およびシャトル118、120上の
各レールが少なくとも2点で支持されるようにしてよ
い。キャリアトラック124はトラックレール間の幅、
すなわち間隔がシャトルトラック123、125とは異
なるのが好ましいが、ロボット110、112のロボッ
トブレードに類似した片持ちアッセンブリ、ロボット、
V型シャトルおよび/またはキャリアを含む別のより単
純な機構やより複雑な機構を用いてロードロックチャン
バ92、94とプロセスチャンバ96間で基板を送達し
てもよい。
【0023】ピンプレート132はローディングロード
ロックチャンバ92に隣接したプロセスチャンバ96の
端部に配設される。ピンプレート132はアルミあるい
は銅等の伝熱材料から形成されてよい。ピンプレート1
32は軸136およびリフトモータ140に結合され
る。複数のピン144がピンプレート132に接続さ
れ、プレートから上方向に配設される。ピンプレート1
32の温度を図12を参照して説明するように制御し、
好ましくは冷却剤入口ライン148および出口ライン1
50を介して冷却剤によって冷却してよい。同様にピン
プレート134はアンローディングロードロックチャン
バ94に隣接したプロセスチャンバ96の端部に配設さ
れる。ピンプレート134は軸138およびリフトモー
タ142に結合される。ピンプレート134は複数のピ
ン146を含み、プレートから上方向に配設される。同
様にピンプレート134の温度を制御し、好ましくは冷
却剤入口ライン152および出口ライン154を介して
冷却液によって冷却してよい。
【0024】図7に見られるように、プロセスチャンバ
は1つ以上の処理区域を含んでよく、基板が通過する際
に1つ以上の処理環境を維持可能である。例えば、1つ
以上のターゲット156、158、160、162は例
えばプロセスチャンバがスパッタリングチャンバである
場合プロセスチャンバ96内の基板115の上方に配設
してよい。同様に、CVDあるいはエッチング処理用の
シャワーヘッド(図示せず)およびその他別タイプの処
理用の構成部品は処理の必要性によっては基板に隣接配
置してよい。隔壁164、166、168、170は各
ターゲット156、158、160、162の間、及び
各ターゲット156、162の非隣接側に配設される。
隔壁は各ターゲットと隣接ターゲットとを離隔し、プロ
セスチャンバ96内の4つの処理区域172、174、
176、178等系列処理区域を画成するのが好まし
い。基板は異なる基板個所で多数の処理区域に同時に露
出される場合があるが、基板の一部分のみ、すなわち全
幅および部分長が、同時に単一区域の処理環境に露出さ
れるように、各区域は長さがそこを搬送される基板の直
線寸法より短くてよい。1つ以上のプロセスチャンバを
有する装置の場合、1つ以上の処理区域は各プロセスチ
ャンバ内に含まれてよい。処理区域172、174、1
76、178の下部はプロセスチャンバ96の処理環境
に開口しているので、処理される基板は隔離弁を介して
別のプロセスチャンバに入れることを要せずに一処理区
域から別の処理区域に移動可能である。各隔壁は処理さ
れる基板115に密接した位置、好ましくは基板が処理
される時基板から約1mmから約5mm離隔した位置に
伸長するのが好ましい。1つ以上の処理区域は処理区域
に侵入する反応性ガス用のガス吸気口165を含むこと
によって反応性処理を行うように構成されてよい。反応
性ガスは例えばターゲットスパッタリング中に処理区域
に流入し、反応性処理用の基板および/またはスパッタ
材料と反応してよい。反応性ガスを処理区域に流入させ
ないで、反応性処理に用いられるのと同じ処理区域を非
反応性処理に用いてもよい。
【0025】処理は処理区域で実施されるので、大型基
板の場合、ローディングロードロックチャンバ92に隣
接した隔離弁98は開となり、基板115が処理区域1
72、174、176、178を貫通移動できるように
該基板115に隙間を提供可能である。同様に、アンロ
ーディングロードロックチャンバ94に隣接した隔離弁
100も開となり基板に隙間を提供可能である。また、
キャリア122の速度は基板115がトラック124に
沿って処理区域に搬送あるいは移動されるか、あるいは
基板が処理区域内で処理されるかによって変化させてよ
い。処理速度の乗数x処理区域の基板上の各点の時間に
基づいて所望堆積厚あるいはエッチング完了が提供され
るように、速度は処理区域内で選択される。キャリア1
22の速度は堆積中には約5mm/sec〜約20mm
/secで、搬送中には約100mm/sec〜約200
mm/secであるのが好ましい。
【0026】コントローラ91が用いられ、基板キャリ
ア、ピンプレート、シャトル、トラック上のピニオン、
弁、その他関連装置フィーチャーの動作といった装置内
の様々な機能を制御する。コントローラ91はプログラ
ム可能なマイクロプロセッサを備え、メモリに記憶され
た装置制御ソフトウェアを実行するのが好ましく、好適
な実施例ではハードディスクドライブであり、アナログ
およびディジタル入力/出力ボード、インタフェースボ
ード、ステッパモータコントローラボード(図示せず)
を含んでよい。コントローラ91は装置構成部品ヘの電
力を制御し、オペレータに装置をモニタ・操作可能にす
るパネルを含む。光および/または磁気センサ(図示せ
ず)が一般に用いられ、可動構造体あるいはアッセンブ
リの位置を移動および判定する。
【0027】図8は各ロードロックチャンバ内に配設さ
れたシャトルの概略斜視図である。各シャトルは第1端
部190と第1端部190に対向する第2端部192を
有する。さらに各シャトルは第1側面194と、第2側
面196と、側面194、196および端部190、1
92を横断するか角度をなすような、シャトル118の
外周から内方に伸長する支持指部198a−f(全般に
支持指部198)を有する。各シャトルは第1側面19
4に沿った第1サイドレール200と第2側面196に
沿った第2サイドレール202を含む。サイドレール2
00、202は互いに平行かつクロス部材217、21
8によって離隔されている。クロス部材217、218
は装置内で処理される基板の厚さより大きい距離によっ
て指部198から離隔され、図7に示したピンプレート
132、134が基板を指部およびシャトル118、1
20から持ち上げ、それぞれピンプレートが基板を支持
している間にピンプレートから後退可能となっている。
サイドレール200、202はそれぞれ下面209、2
10に歯付きラック206、208を含む。歯付きラッ
ク206、208はそれぞれ歯部204、205を含
み、図8に1点鎖線で示したピニオンギア128と係合
するようになっている。各レールの内側段差面214、
216はガイドローラ126と係合するようになってい
る。
【0028】支持指部198の各端部は1つ以上の支持
パッド220a−f(全般に支持パッド220)を含ん
でよく、該支持パッドは指部から上方に伸長し、その上
に基板114が支持される。指部ガイド222a−f
(全般に指部ガイド222)は支持パッド220から外
側へ配設され、基板114を横方向に配置可能な表面を
形成する。支持指部198はシャトル118上に配置さ
れ、ピンプレート132内のピン144が基板114を
指部およびシャトル118の上方に支持して、支持指部
とピン間で干渉せずに基板をプロセスチャンバに送達し
た後プロセスチャンバ96から後退可能とする。支持指
部198およびクロス部材217、218の下部は図6
に示したキャリア122の上面より高いところにあり、
シャトル118が基板搬送中キャリア122の上に位置
することを可能にするのが好ましい。シャトル118は
約600℃以上の温度にさらされることがあるので、シ
ャトル118はステンレス鋼、セラミック、Invar
36(登録商標)、あるいはその他の温度耐性材料か
ら形成されてよい。同様に、パッドはセラミック、ステ
ンレス鋼、石英、あるいはその他の温度耐性材料等の材
料から形成されるのが好ましい。アンローディングロー
ドロックチャンバ94内のシャトル120はシャトル1
18と同様に構成される。
【0029】図9はレール200およびトラック123
あるいは135の配列を示すチャンバの概略部分横断面
図である。封入長孔230が内部チャンバ壁233に設
けられ、シャトル118のレール200が内部壁の開口
部234内に伸長可能であるのが好ましい。レール20
0の内側部214は図6、7に示したトラック123、
125上のガイドローラ126と係合する。また、長孔
230は処理環境をトラック123、125の構成部品
から十二分に離隔することによってガイドローラ126
によって生ずる汚染を低減する。同様の配列をトラック
124上のキャリア122に与えてよい。
【0030】図10はシャトル118と係合するピニオ
ン128を示す別のチャンバ横断面の概略図である。外
側チャンバ壁はこの概略図には示されていない。駆動機
構240はチャンバ92内に伸長する駆動軸アッセンブ
リ244に結合されたローディングロードロックチャン
バ92等の特定チャンバの内部壁233の外部にモータ
242を含む。モータ242は異なる方向にシャトルお
よび/またはキャリアを移動可能な可逆モータであって
よい。モータは可逆であってよい単数(複数)のギアボ
ックスを含んでよい。駆動軸アッセンブリ244は関連
チャンバの第1側面232に隣接する第1ピニオン12
8’と第2側面232’に隣接する第2ピニオン128
に結合される。また、駆動軸アッセンブリ244は第1
ピニオン128の内側に配設された第1ガイドローラ1
26と第2ピニオン128’の内側に配設された第2ガ
イドローラ126’にも結合される。ピニオン128は
歯付きラック206に噛合するよう構成され、ピニオン
128’は図9に示したシャトル118の歯付きラック
208と基板キャリア122上の同様のラックと噛合す
るよう構成される。また、駆動機構240は駆動軸アッ
センブリ244の回転に応じたコントローラ248入力
を供給するエンコーダ246も含んでよい。コントロー
ラ248は、駆動機構あるいはそれらの何らかの組合わ
せの連続又は同時運転が行われるように1つ以上の駆動
機構240を接続してよい。
【0031】図11は図10に示した駆動機構240の
別の実施例の概略図であり、モータ242は駆動軸アッ
センブリ244なしでピニオン128を駆動する。複数
の側面ガイドローラ249、250が図6〜7に示した
トラック123、125上のガイドローラ126に隣接
した特定チャンバに装着される。同様の配列をトラック
124上のキャリア122に与えてよい。側面ガイドロ
ーラ249、250はシャトル118 (あるいはキャ
リア122)上の上方伸長ガイドレール252と係合
し、シャトルあるいはキャリアをそれぞれのトラックに
沿って整列横方向に移動させる。ガイドローラ126、
126’はシャトルあるいはキャリアを支持する。ガイ
ドローラはTeflon(登録商標)被覆アルミ、Ve
spel(登録商標)、あるいは好ましくは粒子を著し
く発生せず且つ振動が抑制されるように柔軟なその他任
意の材料であってよい。
【0032】図12は基板キャリア122の概略斜視図
である。キャリア122はアルミあるいは銅、より好ま
しくはビードブラストおよび/または陽極酸化アルミ等
の伝熱材料から形成されるのが好ましい。物体のビード
ブラスト面は表面放射率を増大させる。放射率は同一温
度での黒体からの放射に対する表面からの放射の比であ
る。高放射率表面は、表面を陽極酸化又はビードブラス
ト,或いはそれらの組合わせによってといった、非処理
表面に対し放射率を増大させる表面処理によって形成し
てよい。例えば、非処理アルミ表面の標準的放射率は約
0.03であり反射性が高い。表面放射率は表面を陽極
酸化することによって約0.2〜約0.4の範囲まで、あ
るいは表面をビードブラストおよび陽極酸化することに
よって約0.6までさらに高く増大することができる。
ビードブラストは例えば約80ポンド/平方インチ(p
si)の空気圧でノズルを介して36粒度ガーネットを
放出し、アルミがねずみ色っぽくなるまでアルミ表面に
衝撃を与えることによって実施してよい。当該技術で周
知のようにこれ以外の圧力、材料、粒度を用いてもよ
い。
【0033】キャリア122は歯部268と第1側面2
64上に内側段差面272を有する歯付きラック260
と、歯部270と第2側面266上に内側段差面274
を有する歯付きラック262とを有するのが好ましい。
ラック260、262は図8に示した搬送シャトル11
8のラック206、208と同様であり、同様にガイド
ローラ126およびピニオン128と係合する。キャリ
ア122は基板115を支持し、基板用のヒートシンク
を提供する。複数の孔部276a−f(全般に孔部27
6)はキャリア122内に配設され、図13に示したピ
ンプレート132内のピン144が貫通可能となってい
る。キャリア122は基板115よりやや大きいのが好
ましく、その上にガイドストップ278a−f(全般に
ガイドストップ278)が配設され基板115を横方向
に保持する。
【0034】処理前および/または後のキャリア温度を
設定するため、温度可変ピンプレート132等の冷却機
構が各ロードロックチャンバに隣接したプロセスチャン
バに具備される。 図13はキャリア122の非基板受
取側に隣接して具備されるピンプレート132の概略斜
視図である。ピンプレート132はキャリア122と接
触するか近接配置され、キャリア温度、従ってその上に
支持される基板の温度を変化させる。ピンプレート13
2はその上に配設され、ピンプレート132の表面28
2から上方に伸長する複数のピン144a−f(全般に
ピン144)を有する。ピン144はキャリア122の
孔部276と協働してピン144が貫通可能となるよう
離隔される。ピンプレート132は水、グリコール、あ
るいはその他好適な流体等の冷却剤が通る、プレート1
32に形成されたチャネル284を具備することによっ
て温度可変であるのが好ましい。入口ライン148は冷
却剤をチャネル284に送出し、出口ラインはチャネル
284から離隔した冷却剤用ダクトを具備する。チャネ
ル284は例えばチャネル284の一部を2枚のプレー
トに形成してプレートを互いに封止したり、あるいはピ
ンプレート132の異なる側面と端部に穿孔して通路を
形成し、入口ライン148に接続する入口と出口ライン
150に接続する出口を残し残りの孔部に栓をすること
によって形成してよい。また、表面282は好ましくは
例えばビードブラストおよび/または陽極酸化によって
形成された高放射率表面であるので、伝熱は効果的に行
われる。
【0035】図6に示した基板115がピンプレート1
32によって持ち上げられ基板をキャリアとシャトル間
に搬送する場合、ピンプレート132はキャリア122
と物理的に接触し、キャリア122の温度を下げる。ま
た、ピンプレートを用いてキャリアを加熱してもよい。
キャリアを加熱/冷却することによって、基板の温度は
推論的に所望温度に上昇あるいは降下する。温度はモニ
タ可能なので、冷却あるいは加熱の物理的接触時間は制
御可能である。軸136はピンプレート132の下面に
装着され、図7に示したリフトモータ140は軸136
に装着される。リフトモータは、ピンプレートが基板を
シャトル118とキャリア122間に搬送する際にピン
プレート132を上昇・降下させる軸を上昇・降下させ
る。ピンプレート134は同様に構成してよい。
【0036】図14は図6、7に示した装置の別の実施
例の側面図である。図14に示した装置はプレート30
0を含み、プレート300の温度は図6、7に示したピ
ンプレート132、134と同様に制御可能である。プ
レート300はピンプレートと同様に冷却されるのが好
ましいが、所望なら、プレート300は加熱してよい。
プレート300は矩形状であってよく、プロセスチャン
バ96の側壁間等の数箇所に装着されるか、チャンバの
底部に接続されるスタンド307に支持されてよい。プ
レート300は、プレートの一面上かプレートの下から
出てもよい流体入口304と流体出口306とを有す
る。プレート300は冷却温度をプロセスチャンバ内の
様々な面に搬送することによってプロセスチャンバ内の
温度を下げることができる。プレート300は冷却され
たピンプレート132、134と共に用いるのが好まし
い。プレート300の上部308等高温のチャンバ面に
向いた面は処理して放射率を高めてよい。放射率の上昇
はプレート300の放射温度が基板115を支持するキ
ャリア122を含むプロセスチャンバ96内の高温面に
移動するのを助ける。例えば、プレート300は陽極酸
化あるいはビードブラストあるいはそれらの組合わせを
行って放射率を上昇できる。
【0037】図15、16はそれぞれプレート300の
正面図および側面図である。プレート300は1つ以上
のチャネル302が内部に形成されてよい。ピンプレー
ト同様、チャネル302は2枚のプレートに形成し、次
に互いに接合してチャネルを完成してよい。また、チャ
ネル302はプレート300の側面を穿孔し、プレート
側面でチャネルの各部を封止することによって形成し
て、冷却剤の流れがプレート300あるいは当業者周知
の他の方法を貫通するようにする。
【0038】図6〜13に示した装置の実施例は単一系
列チャンバを示す。本発明は多数系列チャンバに供給す
る中央受取ステーションに並列あるいは直列に設けられ
た多数系列チャンバに適用可能である。図17はローデ
ィングロードロックチャンバとプロセスチャンバとアン
ローディングロードロックチャンバとロボットとを有す
る装置の正面図である。装置は一端がローディングロー
ドロックチャンバ92に他端がアンローディングロード
ロックチャンバ94に結合された単一系列プロセスチャ
ンバ95、96を含む。カセット310、312、31
4は大気状態で作動されるトラックロボット316を支
持するトラック318の一端に配設される。各ロードロ
ックチャンバはトラックロボット316に結合される。
装置は図6〜13を参照して説明した系列チャンバ同様
に配置される。説明したように、基板はロボットによっ
てローディングロードロックチャンバ内に移動させら
れ、処理され、アンローディングロードロックチャンバ
から回収される。
【0039】図18は図17の装置の変形例を示し、両
側系列チャンバがトラックロボット316に結合されて
いる。第1系列チャンバはプロセスチャンバ95a、9
6aを含み、一端がローディングロードロックチャンバ
92aに結合され、他端がアンローディングロードロッ
クチャンバ94aに結合される。第2系列は一端がロー
ディングロードロックチャンバ92bに結合され、他端
がアンローディングロードロックチャンバ94bに結合
されたプロセスチャンバ95b、96bを含む。スル―
プット率は第1基板114aを第1系列チャンバ内の第
1ローディングロードロックチャンバ92aに、また第
1基板114aの処理中に第2基板114bを第2系列
チャンバ内の第2ローディングロードロックチャンバ1
14bにロードするロボット316によって増大可能で
ある。同様に基板116aは、基板116bがプロセス
チャンバ96bからアンローディングロードロックチャ
ンバ94に搬送されロボット316による撤去を待つ間
にロボットによってアンロードされてよい。チャンバ内
のタイミングおよびシーケンスによっては、ロボットの
ある中央ローディング領域と交差する3あるいは4系列
チャンバ等これ以外の構成も可能である。
【0040】作動 全般に図6、7を参照すると、作動中、基板114はロ
ーディングロードロックチャンバ92内の弁102を介
してロボット110によってローディングロードロック
チャンバ92に送達される。ロボット110は基板11
4を搬送シャトル118上に配設された支持指部198
上に配置する。ロボット110はローディングロードロ
ックチャンバ92から後退し、弁102が閉となる。ロ
ーディングロードロックチャンバ92はバキュームソー
ス(図示せず)によってCVD処理の場合約10mトル
〜約50mトル、PVD処理の場合約1mトル〜約5m
トルの範囲の真空状態にされる。二三のロードロックチ
ャンバでは、基板114はヒートランプ、抵抗コイル、
あるいはその他の加熱デバイスによって処理温度に加熱
してもよい。プロセスチャンバ96の隔離弁98は開と
され、シャトル118はシャトル118上のラック20
6と回転可能に係合するピニオン128によってトラッ
ク123に沿って移動される。センサ(図示せず)はシ
ャトル118の位置を判定し、コントローラ248に入
力を供給してシャトルの動作を制御する。
【0041】プロセスチャンバ96の内部において、キ
ャリア122はシャトル118と同様、キャリア122
上のラック260と回転可能に係合するピニオン128
によってキャリア122を移動させることによってピン
プレート132の上方に配置される。シャトル118は
ピンプレート132からキャリア122およびピン14
4の上方位置に移動されるとともに整列される。リフト
モータ140は軸136を持ち上げ、該軸はピンプレー
ト132をキャリア122と上昇接触させる。ピン14
4はキャリア122内の孔部276を上方貫通して伸長
し、基板を支持するシャトル118上の支持指部198
から基板(プロセスチャンバ内の115で示す)を持ち
上げる。シャトル118はローディングロードロックチ
ャンバ92内に後退し、隔離弁98は閉となり、これに
よりプロセスチャンバ96を封止する。リフトモータ1
40は軸136およびピンプレート132を降下させ、
よって基板115を支持するピン144を降下させる。
基板115はキャリア122支持面と当接し、ピン14
4はピンが少なくともキャリア122より下に下がるま
で降下し続ける。キャリア122はキャリア位置を検知
しコントローラ248に入力を供給するセンサ(図示せ
ず)を用いて、キャリア122上のラック260と係合
するピニオン128によってトラック124に沿って移
動される。ガイドローラ126はトラック124に沿っ
て移動するのでキャリア122と当接し、トラック12
4に沿ったキャリア122の整列維持を助ける。また、
ピン144はピンプレート132から分離され、例えば
ピンに結合された別のリフトモータ(図示せず)を用い
てピンプレート132とは無関係に上昇・降下させても
よい。ピンとピンプレートとの分離によって、ピンが基
板を上昇・降下する間ピンプレートはキャリアと長く接
触可能である。
【0042】キャリア122は処理位置に移動される。
例えば処理がスパッタリングを含む場合、キャリア12
2はターゲット156、158、160、162の少な
くとも1つの下の位置に移動される。電力がターゲット
に供給されターゲットをバイアスし、プラズマが発生さ
れる。プラズマからのイオンがターゲットに衝撃を与
え、ターゲットから材料を除去する。除去された材料の
一部は基板115への経路を進み、その上に堆積する。
隔壁171、173、175、177、179はスパッ
タされる特定ターゲットを隣接処理区域および/または
他のターゲットから隔離するのを助ける。基板115の
前縁は異なる材料から形成されたターゲットを有する別
の処理区域等、系列処理区域172、174、176、
178内の次の処理区域に移動される。電力が次の処理
区域内のターゲットに供給されてターゲットがスパッタ
され、ターゲット材料が先に堆積した材料の上に堆積す
る。処理は、基板が特定プロセスチャンバ96の管理状
態にて処理を完了するまで、系列処理区域172、17
4、176、178を通して継続される。説明したよう
に、処理区域が基板と同じ大きさであるか又はより大き
い必要がないのは、基板は特定区域で処理環境に露出さ
れるので処理区域を貫通して移動可能であるからであ
る。基板が処理区域を貫通すると、キャリア122はア
ンローディングロードロックチャンバ94に隣接配置さ
れたピンプレート134の上方位置に移動されるととも
に整列される。また、別のプロセスチャンバがプロセス
チャンバ96と直列に結合される場合、キャリアを次の
チャンバ内に移動させてもよく、あるいは基板を次のプ
ロセスチャンバ内の別のキャリアに搬送してもよい。ピ
ンプレート134が持ち上げられ、ピン146がキャリ
ア122を貫通して伸長し、これによりキャリア122
の上方に基板115を上昇させる。アンローディングロ
ードロックチャンバ94内の搬送シャトル120はトラ
ック125に沿って移動され、アンローディングロード
ロックチャンバ94からアンローディングロードロック
チャンバ94とプロセスチャンバ96間の隔離弁100
を経て、上昇基板115の下に配設された指部198を
有するキャリア122の上方位置に移動する。モータ1
42はピン146を降下させ、該ピンは基板115をシ
ャトル120の指部198に降下させる。シャトル12
0は隔離弁100を介してアンローディングロードロッ
クチャンバ94内に戻り、隔離弁100は閉となりプロ
セスチャンバを再び封止する。アンローディングロード
ロックチャンバ94が基板(アンローディングロードロ
ックチャンバ内の116で示す)を冷却してから、弁1
04が開とされ、ロボット112がさらなる処理のため
に基板116を回収するのを可能にする。キャリア12
2への搬送を待つローディングロードロックチャンバ9
2内の基板114、プロセスチャンバ96内で処理され
る基板115、アンローディングロードロックチャンバ
94からの搬送を待つ基板116等複数の基板を同時に
チャンバに配設してよい。
【0043】キャリア122はトラック124に沿って
ローディングロードロックチャンバ92からローディン
グロードロックチャンバ92の別の基板位置ヘ戻され
る。また、プロセスチャンバシーケンスによって自由度
が得られる場合、各ロードロックチャンバはローディン
グロードロックチャンバとして、またアンローディング
ロードロックチャンバとして使用してよい。処理用基板
をアンローディングロードロックチャンバ94からキャ
リア122上に配置し、プロセスチャンバ96を通って
ローディングロードロックチャンバ92へ移動させてよ
く、次に基板はローディングのためローディングロード
ロックチャンバへ移動される。
【0044】図2に示したインライン装置40でのよう
に、キャリア122は処理環境を離れず、温度変動や熱
循環も汚染環境への露出も被らないことが好ましい。シ
ャトル118、120はプロセスチャンバ96内に一時
的に配置され、主としてそれぞれのロードロックチャン
バ92、94内に保持されるが、キャリア122が受け
る材料堆積あるいはその他の処理結果を受けない。よっ
て、本発明は処理環境内に少なくとも大部分、好ましく
は全部保持された構成部品および非処理環境内に少なく
とも大部分保持された構成部品の中において異なる支持
構成部品の分離を提供する。また、本発明は堆積サイク
ル間あるいはシャトルから/への基板のローディングあ
るいはアンローディング時等に温度可変プレートと断続
的に当接することによって、あるいはプレートに近づけ
キャリア温度に影響を与えることによって、処理環境内
に保持されたキャリアの温度の制御を助ける。キャリア
は温度可変プレートによって冷却され、基板処理熱によ
ってキャリアの平均温度が上方に「クリープ」しないよ
うにする。
【0045】処理例―ITO/MoCr/MoCrスパ
ッタ堆積 処理装置は異なる材料および処理状態を用いる多様な処
理に利用可能である。次の例は単に図19〜23の側面
図に略示した反応性および非反応性処理区域を用いる場
合の一可能性を示すにすぎない。一般に平坦ガラスパネ
ル用のガラス基板に堆積される一物質は酸化インジウム
スズ(ITO)である。1つ以上のクロムモリブデン
(MoCr)層がITO層の上に堆積される。代表的な
平坦ガラス基板用材料の別の例はCr、ITO、Cr
O、Ta、Alを含む。
【0046】基板はローディングロードロックチャンバ
92内のシャトル118にロードされ、ローディングロ
ードロックチャンバ92内に約1mトル〜約50mトル
の真空状態を発生し、シャトル118は基板115をプ
ロセスチャンバ96内に移動した。基板115はピンプ
レート132の上方に配置されたキャリア122へ搬送
され、シャトル118はローディングロードロックチャ
ンバ92へ戻り、ピンプレート132は降下した。図1
9に示したように、キャリア122は基板115をIT
Oターゲット326を含む第1処理区域320の下の処
理位置に移動した。アルゴンあるいはその他の不活性ガ
スが処理区域に約34標準立方センチメートル(scc
m)の速度で流入し、処理を安定させるとともに第1処
理区域からの汚染物質排出を助けた。また、二原子酸素
も第1処理区域に約0.17sccmの速度で流入し
た。基板115の上方あるいは隣接して配置されたIT
Oターゲット326に約2000ワットを加えてプラズ
マを発生し、ITOターゲット326がスパッタされ約
40秒で基板上に約500ÅのITO層厚を形成した。
図20に示したように、基板115の大きさのため、キ
ャリアは基板115の一部を第2処理区域322および
第3処理区域324を越えて移動させ、第1処理区域3
20内の基板115の処理を終了した。
【0047】図21に示したように、基板115は第1
処理区域に隣接するか第1処理区域から遠隔していてよ
い第2処理区域322に移動された。基板が大きく、ま
た第1処理区域が第2処理区域に近接しているため、キ
ャリア方向が逆にされ基板115を第2処理区域322
と整列するよう移動した。また、基板115も第1処理
区域320を貫通移動するので、第2処理区域322を
堆積に備えて活性化してもよい。この例では、第2処理
区域322はMoCrターゲット328を含んでいた。
これ以外の材料を堆積するため、例えば酸化およびその
他反応ガスを用いる/用いないCr、ITO、Ta、A
lターゲットを含む対応類似材料ターゲットを用いても
よい。反応性処理を用いて基板115上にスパッタMo
Crの酸化層を形成し、堆積ITO層と次の各層間の粘
着性を向上させた。アルゴンあるいはその他の不活性ガ
スは第2処理区域322に約30sccmの速度で流入
した。また、二原子酸素も第2処理区域322に約30
sccmの速度で流入して反応性ガスを提供し、MoC
rスパッタ材料と反応しMoCrOの酸化粘着層を形成
した。基板115の上方に配置されたMoCrターゲッ
ト328に約1000ワットを加えてプラズマを発生
し、酸素の存在下でMoCrターゲット328がスパッ
タされ約4秒で約14ÅのMoCrO層厚を形成した。
【0048】図22に示したように、キャリア122は
再び方向を逆にされ、基板115を別層の処理位置に移
動した。この例では、非反応性処理でMoCrの200
0Å厚の層がMoCrO層上に堆積した。MoCrター
ゲット328、330を含む両処理区域は高いスル―プ
ット率のために利用された。アルゴンあるいはその他の
不活性ガスは各処理区域に約75sccmの速度で流入
した。第2あるいは第3処理区域322、324にそれ
ぞれ流入した酸素は実質的にゼロであった。基板115
の上方に配置された各MoCrターゲット328、33
0に約13000ワットを加えて処理区域322、32
4内にプラズマを発生し、MoCrターゲット328、
330が約44秒間スパッタされ約2000ÅのMoC
r層厚を形成した。
【0049】図23に示したように、基板は処理区域3
20、322、324から撤去され、さらなる処理のた
めアンローディングロードロックチャンバ94に搬送さ
れた。
【0050】シャトル、キャリア、基板、ロボット、チ
ャンバ、ターゲットおよびその他の装置構成部品の配向
の変形は可能である。加うるに、説明した「上方」、
「上面」、「下方」、「下」、「底部」、「側面」等の
動作および位置はすべてターゲット、チャンバ、キャリ
アおよびシャトル等の物体の位置に対するものである。
従って、本発明は任意のあるいはすべての構成部品を配
向し処理装置を通して所望の基板動作を達成すると考え
られる。
【0051】上記は本発明の好適な実施例を対象とする
が、基本範囲を逸脱しないなら別のさらなる本発明の実
施例を考案してよく、該範囲は請求項によって決定され
るものである。
【図面の簡単な説明】
【図1】代表的クラスタツール装置の概略平面図であ
る。
【図2】代表的インライン装置の概略側面図である。
【図3】図2に示したインライン装置内の各チャンバの
概略平面図である。
【図4】インライン装置内の基板キャリアの概略側面図
である。
【図5】インライン装置内の基板キャリアの概略端面図
である。
【図6】本発明の連続堆積インライン装置の概略平面図
である。
【図7】図6に示した連続堆積インライン装置の概略側
面図である。
【図8】シャトルの概略斜視図である。
【図9】チャンバの概略部分横断面図である。
【図10】ピニオンを示す別のチャンバ横断面の概略図
である。
【図11】図10に示した駆動機構の別の実施例の概略
図である。
【図12】基板キャリアの概略斜視図である。
【図13】ピンプレートの概略斜視図である。
【図14】温度可変プレートを有する、 図6に示した
装置の別の実施例の概略側面図である。
【図15】図14に示した温度可変プレートの概略平面
図である。
【図16】温度可変プレートの概略側面図である。
【図17】一対のロードロックチャンバとプロセスチャ
ンバとロボットを有する装置の平面図である。
【図18】2つのチャンバラインを有し、各ラインは2
つのロードロックチャンバと該2ライン間に配設された
ロボットとを有するプロセスチャンバとを有する装置の
平面図である。
【図19】例示的な処理シーケンスの概略図である。
【図20】例示的な処理シーケンスの概略図である。
【図21】例示的な処理シーケンスの概略図である。
【図22】例示的な処理シーケンスの概略図である。
【図23】例示的な処理シーケンスの概略図である。
【符号の説明】
90・・・インライン装置、92・・・ローディングロードロ
ックチャンバ、94・・・アンローディングロードロック
チャンバ、96・・・プロセスチャンバ、98、100・・・
離隔弁、108・・・受取ステーション、110、112・
・・ロボット、116・・・基板、118、120・・・シャト
ル、122・・・キャリア、123、125・・・トラック。

Claims (49)

    【特許請求の範囲】
  1. 【請求項1】 基板処理装置であって、前記装置は: a)少なくとも1つのプロセスチャンバと; b)前記プロセスチャンバに結合された少なくとも1つ
    のロードロックチャンバと; c)前記ロードロックチャンバ内に配設されプロセスチ
    ャンバに連通可能なシャトルと; d)前記少なくとも1つのプロセスチャンバに主に配設
    されプロセスチャンバ内でのみ動作するよう構成された
    基板キャリアと、を備える、基板処理装置。
  2. 【請求項2】 前記基板処理装置は少なくとも1つプロ
    セスチャンバの対向する側に装着された少なくとも2つ
    のロードロックチャンバを備える、請求項1記載の基板
    処理装置。
  3. 【請求項3】 前記少なくとも1つのロードロックチャ
    ンバに隣接配置されロードロックチャンバに連通可能な
    ロボットをさらに備える、請求項1記載の基板処理装
    置。
  4. 【請求項4】 前記プロセスチャンバ内に少なくとも一
    部分が配設され、第1垂直方向位置と第2垂直方向位置
    間を可動なピンプレートをさらに備える、請求項1記載
    の基板処理装置。
  5. 【請求項5】 前記ピンプレートはピンプレートに接続
    された複数のピンを備える、請求項4記載の基板処理装
    置。
  6. 【請求項6】 前記ピンプレートはピンプレート内に配
    設された流体チャネルを備える、請求項5記載の基板処
    理装置。
  7. 【請求項7】 前記プロセスチャンバ内の少なくとも1
    つの処理区域に近接配置される温度制御可能なプレート
    をさらに備える、請求項1記載の基板処理装置。
  8. 【請求項8】 前記温度制御可能なプレートは少なくと
    も0.2の放射率を有する少なくとも1つの表面を備え
    る、請求項7記載の基板処理装置。
  9. 【請求項9】 1つのプロセスチャンバ内に複数の処理
    区域をさらに備える、請求項1記載の基板処理装置。
  10. 【請求項10】 前記処理区域を離隔する複数の隔壁を
    さらに備える、請求項9記載の基板処理装置。
  11. 【請求項11】 前記処理区域の1つ以上に接続された
    少なくとも1つのガス吸気口をさらに備える、請求項1
    0記載の基板処理装置。
  12. 【請求項12】 各処理区域は別個のガス吸気口を備え
    る、請求項11記載の基板処理装置。
  13. 【請求項13】 前記プロセスチャンバ内に少なくとも
    一部分が配設されるガイドローラとピニオンギアを有す
    るトラックをさらに備える、請求項1記載の基板処理装
    置。
  14. 【請求項14】 前記トラックに結合されたコントロー
    ラをさらに備える、請求項13記載の基板処理装置。
  15. 【請求項15】 前記シャトルおよび基板キャリアは各
    々トラック上に配設された少なくとも1つのラックを備
    える、請求項13記載の基板処理装置。
  16. 【請求項16】 各々少なくとも1つのロードロックチ
    ャンバと少なくとも1つのプロセスチャンバを備える少
    なくとも2系統のチャンバをさらに備え、かつ少なくと
    も2系統のチャンバ間に配設された少なくとも1つのロ
    ボットをさらに備える、請求項1記載の基板処理装置。
  17. 【請求項17】 前記基板処理装置は同一プロセスチャ
    ンバ内に反応性処理環境と非反応性処理環境を備える、
    請求項1記載の基板処理装置。
  18. 【請求項18】 前記基板処理装置はトラックに結合さ
    れた1つ以上のモータを備え、前記1つ以上のモータは
    基板キャリアをトラックに沿って可逆的に移動する、請
    求項13記載の基板処理装置。
  19. 【請求項19】 前記1つ以上のモータは少なくとも1
    つの可逆モータを備える、請求項18記載の基板処理装
    置。
  20. 【請求項20】 基板処理装置であって、前記装置は: a)少なくとも1つのプロセスチャンバと; b)前記プロセスチャンバに結合された少なくとも1つ
    のロードロックチャンバと; c)ロードロックチャンバとプロセスチャンバ間を可動
    なシャトルと; d)前記プロセスチャンバ内に少なくとも一部分が配設
    される温度制御可能な基板キャリアと、を備える、基板
    処理装置。
  21. 【請求項21】 前記プロセスチャンバ内に配設された
    温度制御可能なピンプレートをさらに備える、請求項2
    0記載の基板処理装置。
  22. 【請求項22】 前記ピンプレートは内部に形成された
    流体チャネルを備える、請求項21記載の基板処理装
    置。
  23. 【請求項23】 前記ピンプレートはビードブラストし
    た上面をさらに備える、請求項21記載の基板処理装
    置。
  24. 【請求項24】 前記ピンプレートの動作を制御するコ
    ントローラをさらに備える、請求項21記載の基板処理
    装置。
  25. 【請求項25】 基板処理装置であって、前記装置は: a)少なくとも1つのプロセスチャンバと; b)前記プロセスチャンバに結合された少なくとも1つ
    のロードロックチャンバと; c)前記ロードロックチャンバとプロセスチャンバ間を
    可動なシャトルと; d)1つのプロセスチャンバ内の少なくとも2つの処理
    区域と、を備える、基板処理装置。
  26. 【請求項26】 前記処理区域を少なくとも部分的に離
    隔する処理区域に隣接した隔壁をさらに備える、請求項
    25記載の基板処理装置。
  27. 【請求項27】 少なくとも1つの処理区域は前記処理
    区域に隣接配置された基板を反応性処理する、請求項2
    5記載の基板処理装置。
  28. 【請求項28】 基板処理装置であって、前記装置は: a)プレートと; b)前記プレート内に少なくとも一部分が配設され排気
    口と吸気口を有する1つ以上のチャネルと; c)基板処理装置内の基板キャリアと係合する、プレー
    トに接続された複数の上方突出ピンと、を備える、基板
    処理装置。
  29. 【請求項29】 前記プレートは少なくとも1つの陽極
    酸化した面を有する、請求項28記載の基板処理装置。
  30. 【請求項30】 前記プレートは少なくとも1つのビー
    ドブラストした面を有する、請求項28記載の基板処理
    装置。
  31. 【請求項31】 前記プレートに結合された軸をさらに
    備える、請求項28記載の基板処理装置。
  32. 【請求項32】 前記軸に結合されたリフトモータをさ
    らに備える、請求項31記載の基板処理装置。
  33. 【請求項33】 基板処理装置であって、前記装置は: a)基板キャリアと; b)前記基板キャリアに近接配置された温度可変プレー
    トと; c)前記温度制御可能なプレートに結合された流体チャ
    ネルと、を備える、基板処理装置。
  34. 【請求項34】 前記温度可変プレートは冷却放射面に
    よって基板キャリアを冷却する、請求項33記載の基板
    処理装置。
  35. 【請求項35】 前記温度可変プレートは温度可変プレ
    ート上の放射率増大面処理によって基板キャリアの温度
    に影響を与える、請求項33記載の基板処理装置。
  36. 【請求項36】 前記温度可変プレートは基板キャリア
    との断続的係合によって基板キャリアの温度に影響を与
    える、請求項33記載の基板処理装置。
  37. 【請求項37】 温度可変プレートと基板キャリアとの
    係合を制御する、温度可変プレートに結合されたコント
    ローラをさらに備える、請求項36記載の基板処理装
    置。
  38. 【請求項38】 基板処理方法であって、前記方法は: a)基板を基板処理装置のプロセスチャンバ内に配設す
    るステップと; b)前記基板をプロセスチャンバ内にプロセスチャンバ
    に開口して設けられた第1処理区域に隣接配置するステ
    ップと; c)前記基板を第1処理区域内で第1プロセスによって
    処理するステップと; d)前記基板をプロセスチャンバ内にプロセスチャンバ
    に開口して設けられた第2処理区域に隣接配置するステ
    ップと; e)前記基板を第2処理区域内で前記第1処理区域内の
    第1プロセスとは異なるプロセスによって処理するステ
    ップと、を含む、基板処理方法。
  39. 【請求項39】 前記第2処理区域内で基板を処理する
    ステップは、第1処理区域内の第1プロセスとは異なる
    プロセスによって処理するステップを含む、請求項38
    記載の基板処理方法。
  40. 【請求項40】 前記第1プロセス後に第1処理区域を
    第1プロセスとは異なるプロセスに変更するステップを
    さらに含む、請求項38記載の基板処理方法。
  41. 【請求項41】 前記第1処理区域を通る第1方向から
    第2処理区域を通る第2方向へ基板の方向を逆にするス
    テップをさらに含む、請求項38記載の基板処理方法。
  42. 【請求項42】 前記第1プロセスは反応性プロセスを
    含む、請求項38記載の基板処理方法。
  43. 【請求項43】 基板処理方法であって、前記方法は: a)基板を基板処理装置のプロセスチャンバ内に配設さ
    れたキャリアに搬送するステップと;次に b)前記基板をプロセスチャンバ内で処理するステップ
    と;次に c)前記基板をキャリアからプロセスチャンバの外部の
    スペースへ搬送するステップと、を含む、基板処理方
    法。
  44. 【請求項44】 前記基板の搬送・処理ステップ中にプ
    ロセスチャンバ内にキャリアを保持するステップをさら
    に含む、請求項43記載の基板処理方法。
  45. 【請求項45】 前記プロセスチャンバ内のキャリアの
    方向を逆にするステップをさらに含む、請求項43記載
    の基板処理方法。
  46. 【請求項46】 基板処理方法であって、前記方法は: a)基板キャリアを基板処理装置のプロセスチャンバ内
    に配設するステップと; b)基板を前記基板キャリア上に支持するステップと; c)基板をプロセスチャンバ内で処理するステップと; d)プロセスチャンバ内の基板キャリア温度を変更する
    ステップと、を含む、基板処理方法。
  47. 【請求項47】 前記基板キャリアを変更するステップ
    は、温度前記基板キャリアをピンプレートに係合するス
    テップを含む、請求項46記載の基板処理方法。
  48. 【請求項48】 前記基板キャリアの温度を判定するス
    テップと、基板キャリアとピンプレートとの係合を制御
    するステップをさらに含む、請求項47記載の基板処理
    方法。
  49. 【請求項49】 前記基板キャリアを冷却するステップ
    は、基板キャリアに近接配置された温度可変プレートか
    ら冷却温度を放射するステップを含む、請求項46記載
    の基板処理方法。
JP2000338006A 1999-11-03 2000-11-06 連続堆積装置 Pending JP2001237296A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/432544 1999-11-03
US09/432,544 US6298685B1 (en) 1999-11-03 1999-11-03 Consecutive deposition system

Publications (1)

Publication Number Publication Date
JP2001237296A true JP2001237296A (ja) 2001-08-31

Family

ID=23716598

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000338006A Pending JP2001237296A (ja) 1999-11-03 2000-11-06 連続堆積装置

Country Status (5)

Country Link
US (1) US6298685B1 (ja)
EP (1) EP1098353A3 (ja)
JP (1) JP2001237296A (ja)
KR (1) KR100746579B1 (ja)
TW (1) TW469490B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005039279A (ja) * 2003-07-17 2005-02-10 Applied Materials Inc 表面テクスチャ化方法
JP2005522891A (ja) * 2002-04-15 2005-07-28 ヴィテックス・システムズ・インコーポレーテッド 多層コーティングを個別のシートにデポジットする装置
JP2007023380A (ja) * 2005-07-19 2007-02-01 Applied Materials Inc ハイブリッドpvd−cvdシステム
JP2015512153A (ja) * 2012-02-06 2015-04-23 ロート ウント ラウ アーゲー 処理モジュール

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6460369B2 (en) * 1999-11-03 2002-10-08 Applied Materials, Inc. Consecutive deposition system
US6962471B2 (en) * 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
KR100822872B1 (ko) * 2001-08-14 2008-04-17 삼성코닝정밀유리 주식회사 유리기판의 박막 코팅 방법
CN1996552B (zh) * 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
JP2003133230A (ja) * 2001-10-29 2003-05-09 Mitsubishi Heavy Ind Ltd フレキシブル基板の半導体処理装置
US6866255B2 (en) * 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
US20050129839A1 (en) * 2002-05-15 2005-06-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
KR100526118B1 (ko) * 2003-06-05 2005-11-08 참이앤티 주식회사 평면디스플레이용 작업 스테이지 시스템 및 이 시스템을이용한 평면디스플레이 작업 방법
DE10341244A1 (de) * 2003-09-03 2005-05-12 Creavac Creative Vakuumbeschic Einrichtung zur Vakuumbeschichtung wenigstens einer Aufzeichnungsschicht auf mindestens ein optisches Aufzeichnungsmedium
US7022202B2 (en) * 2004-01-08 2006-04-04 Mareiners, Llc Method for applying images to surfaces
KR101032438B1 (ko) * 2004-05-31 2011-05-03 엘지디스플레이 주식회사 액정표시패널의 제조장치
US7297397B2 (en) * 2004-07-26 2007-11-20 Npa Coatings, Inc. Method for applying a decorative metal layer
US7357203B2 (en) * 2004-09-28 2008-04-15 Oshkosh Truck Corporation Self-contained axle module
JP4918224B2 (ja) * 2005-01-21 2012-04-18 昭和シェル石油株式会社 透明導電膜製膜装置及び多層透明導電膜連続製膜装置
US7922881B2 (en) * 2005-02-28 2011-04-12 Tosoh Smd, Inc. Sputtering target with an insulating ring and a gap between the ring and the target
KR100965511B1 (ko) * 2005-08-01 2010-06-24 엘아이지에이디피 주식회사 기판 이송 시스템
US20060283688A1 (en) * 2005-06-10 2006-12-21 Applied Materials, Inc. Substrate handling system
US7438175B2 (en) * 2005-06-10 2008-10-21 Applied Materials, Inc. Linear vacuum deposition system
US7296673B2 (en) * 2005-06-10 2007-11-20 Applied Materials, Inc. Substrate conveyor system
US20080085402A1 (en) * 2006-10-09 2008-04-10 Leininger Marshall E Method for applying a decorative layer and protective coating
US7815683B2 (en) * 2006-10-16 2010-10-19 Warsaw Orthopedic, Inc. Implants with helical supports and methods of use for spacing vertebral members
WO2008106812A1 (en) * 2007-03-02 2008-09-12 Oerlikon Trading Ag, Trübbach Vacuum coating apparatus
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
KR100898038B1 (ko) * 2007-10-05 2009-05-19 한국원자력연구원 다층기판홀더 구조의 로드 락 챔버를 이용한 박막증착장치
JP5104257B2 (ja) * 2007-12-03 2012-12-19 株式会社日立プラントテクノロジー 基板貼り合せシステム
KR100979189B1 (ko) * 2007-12-20 2010-08-31 다이나믹솔라디자인 주식회사 연속 기판 처리 시스템
CN101970714A (zh) 2007-12-27 2011-02-09 埃克阿泰克有限责任公司 多通道真空镀膜系统
KR100966262B1 (ko) * 2008-03-27 2010-06-28 주식회사 피에스티 전후면 연속증착이 가능한 4챔버 플라즈마 장치
US20100044213A1 (en) * 2008-08-25 2010-02-25 Applied Materials, Inc. Coating chamber with a moveable shield
US20100075488A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
WO2011052050A1 (ja) * 2009-10-28 2011-05-05 三菱電機株式会社 空気調和装置
KR101202348B1 (ko) * 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US9371584B2 (en) * 2011-03-09 2016-06-21 Applied Materials, Inc. Processing chamber and method for centering a substrate therein
KR101277068B1 (ko) * 2011-05-13 2013-06-20 주식회사 에스에프에이 인라인 스퍼터링 시스템
AT12696U1 (de) * 2011-07-21 2012-10-15 Plansee Se Formteil
EP2605098B1 (en) * 2011-12-16 2015-04-01 Robert Bosch GmbH Control system and a control method for controlling a machine
KR101238534B1 (ko) * 2012-06-22 2013-02-28 권오익 리니어 다층박막 증착장치
US9731534B2 (en) 2013-07-25 2017-08-15 The Hillman Group, Inc. Automated simultaneous multiple article sublimation printing process and apparatus
US9333788B2 (en) * 2013-07-25 2016-05-10 The Hillman Group, Inc. Integrated sublimation transfer printing apparatus
US10011120B2 (en) * 2013-07-25 2018-07-03 The Hillman Group, Inc. Single heating platen double-sided sublimation printing process and apparatus
KR102314466B1 (ko) * 2014-10-06 2021-10-20 삼성디스플레이 주식회사 표시 장치의 제조 장치 및 표시 장치의 제조 방법
US9962979B2 (en) 2015-08-05 2018-05-08 The Hillman Group, Inc. Semi-automated sublimation printing apparatus
SG11201810635YA (en) * 2016-06-02 2018-12-28 Applied Materials Inc Gate valve for continuous tow processing
CN106428751B (zh) * 2016-08-26 2018-09-07 江苏天元中科生物技术有限公司 食品包装机输送装置及方法
KR20180086715A (ko) * 2017-01-23 2018-08-01 어플라이드 머티어리얼스, 인코포레이티드 반송챔버, 이를 포함하는 기판처리시스템 및 이를 이용한 기판처리시스템의 기판처리방법
KR102507755B1 (ko) * 2018-02-28 2023-03-08 주식회사 케이씨텍 기판 처리 장치
RU192228U1 (ru) * 2018-08-29 2019-09-09 Общество С Ограниченной Ответственностью "Изовак Технологии" Вакуумная установка для нанесения тонкопленочных покрытий на подложку
US11047050B2 (en) * 2018-10-30 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor tool having controllable ambient environment processing zones
US10923374B1 (en) * 2019-07-23 2021-02-16 Applied Materials, Inc. Walking beam chamber
KR102270758B1 (ko) * 2019-09-09 2021-06-30 에이엠티 주식회사 모듈 ic 핸들러의 모듈 ic 테스트장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01312072A (ja) * 1988-06-13 1989-12-15 Asahi Glass Co Ltd 真空処理装置
JPH07126848A (ja) * 1993-11-09 1995-05-16 Mitsubishi Heavy Ind Ltd 成膜装置の基板搬送装置
JPH08260149A (ja) * 1995-03-17 1996-10-08 Anelva Corp 減圧表面処理装置及び太陽電池製作装置
JPH10107126A (ja) * 1996-09-17 1998-04-24 Applied Materials Inc 冷却チャンバ及び冷却チャンバの作動方法
JPH10247675A (ja) * 1997-03-04 1998-09-14 Toshiba Corp マルチチャンバシステム及びその搬送台車並びにゲートバルブさらにはその排気制御方法及びその装置

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2114470B2 (de) 1971-03-25 1975-02-13 Flachglas Ag Delog-Detag, 4650 Gelsenkirchen Vorrichtung zum kontinuierlichen, einseitigen Beschichten von Platten, wie Glasscheiben, Keramik- oder Kunststoffplatten u. dgl. mittels Kathodenzerstäubung
US3850105A (en) 1972-12-29 1974-11-26 Ibm Apparatus for transferring articles through various processing sectors of a manufacturing system
US3973665A (en) 1975-03-07 1976-08-10 Gca Corporation Article delivery and transport apparatus for evacuated processing equipment
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4166563A (en) 1977-09-27 1979-09-04 Societe Suisse Pour L'industrie Horlogere Management Services, S.A. Transfer machine for sealing electronic or like components under vacuum
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4682927A (en) 1982-09-17 1987-07-28 Nacom Industries, Incorporated Conveyor system
JPS5953320A (ja) 1982-09-21 1984-03-28 Fujitsu Ltd 搬送装置
JPS6162739A (ja) 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
US4749465A (en) 1985-05-09 1988-06-07 Seagate Technology In-line disk sputtering system
US5110249A (en) 1986-10-23 1992-05-05 Innotec Group, Inc. Transport system for inline vacuum processing
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JPS63141342A (ja) 1986-12-04 1988-06-13 Ushio Inc 半導体ウエハ処理方法及びその装置
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4829445A (en) 1987-03-11 1989-05-09 National Semiconductor Corporation Distributed routing unit for fully-automated flexible manufacturing system
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
JPH0333058Y2 (ja) 1987-06-26 1991-07-12
EP0306967B1 (en) 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
KR970003907B1 (ko) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
EP0346815A3 (en) 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
DE3941110A1 (de) 1988-12-19 1990-06-28 Rif O Z Mikroelektroniki Vakuumeinrichtung zum aufdampfen von schichten
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
EP0423608B1 (en) 1989-10-20 1996-06-05 Applied Materials, Inc. Two-axis magnetically coupled robot
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
JP2600399B2 (ja) 1989-10-23 1997-04-16 富士電機株式会社 半導体ウエーハ処理装置
US5203443A (en) 1989-11-13 1993-04-20 Kabushiki Kaisha Shinkawa Conveying apparatus used in assembling semicondutors
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
JP2938160B2 (ja) 1990-07-20 1999-08-23 東京エレクトロン株式会社 真空処理装置
JP2893926B2 (ja) 1990-10-25 1999-05-24 神鋼電機株式会社 推力発生装置
US5668056A (en) 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5382126A (en) 1992-03-30 1995-01-17 Leybold Ag Multichamber coating apparatus
AU4652993A (en) 1992-06-26 1994-01-24 Materials Research Corporation Transport system for wafer processing line
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5535306A (en) 1993-01-28 1996-07-09 Applied Materials Inc. Self-calibration system for robot mechanisms
DE69323716T2 (de) 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
DE69304038T2 (de) 1993-01-28 1996-12-19 Applied Materials Inc Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP2683208B2 (ja) 1993-01-28 1997-11-26 アプライド マテリアルズ インコーポレイテッド ロボット機構を用いた搬入および搬出のためのワークピース位置合わせ方法および装置
US5377816A (en) 1993-07-15 1995-01-03 Materials Research Corp. Spiral magnetic linear translating mechanism
JP2683317B2 (ja) 1993-11-19 1997-11-26 マルヤス機械株式会社 コンベア
JPH07245285A (ja) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd 基板処理装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JP3732250B2 (ja) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
TW309503B (ja) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5879128A (en) 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5881649A (en) 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
EP0827186A3 (en) * 1996-08-29 1999-12-15 Tokyo Electron Limited Substrate treatment system
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01312072A (ja) * 1988-06-13 1989-12-15 Asahi Glass Co Ltd 真空処理装置
JPH07126848A (ja) * 1993-11-09 1995-05-16 Mitsubishi Heavy Ind Ltd 成膜装置の基板搬送装置
JPH08260149A (ja) * 1995-03-17 1996-10-08 Anelva Corp 減圧表面処理装置及び太陽電池製作装置
JPH10107126A (ja) * 1996-09-17 1998-04-24 Applied Materials Inc 冷却チャンバ及び冷却チャンバの作動方法
JPH10247675A (ja) * 1997-03-04 1998-09-14 Toshiba Corp マルチチャンバシステム及びその搬送台車並びにゲートバルブさらにはその排気制御方法及びその装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005522891A (ja) * 2002-04-15 2005-07-28 ヴィテックス・システムズ・インコーポレーテッド 多層コーティングを個別のシートにデポジットする装置
JP4677644B2 (ja) * 2002-04-15 2011-04-27 サムソン・モバイル・ディスプレイ・カンパニー・リミテッド 多層コーティングを個別のシートにデポジットする装置
JP2005039279A (ja) * 2003-07-17 2005-02-10 Applied Materials Inc 表面テクスチャ化方法
JP2007023380A (ja) * 2005-07-19 2007-02-01 Applied Materials Inc ハイブリッドpvd−cvdシステム
JP2015512153A (ja) * 2012-02-06 2015-04-23 ロート ウント ラウ アーゲー 処理モジュール

Also Published As

Publication number Publication date
TW469490B (en) 2001-12-21
EP1098353A2 (en) 2001-05-09
KR20010051426A (ko) 2001-06-25
EP1098353A3 (en) 2003-04-02
US6298685B1 (en) 2001-10-09
KR100746579B1 (ko) 2007-08-06

Similar Documents

Publication Publication Date Title
US6298685B1 (en) Consecutive deposition system
KR100867839B1 (ko) 연속적인 증착 시스템
US6382895B1 (en) Substrate processing apparatus
US6315879B1 (en) Modular deposition system having batch processing and serial thin film deposition
US6517303B1 (en) Substrate transfer shuttle
US6286230B1 (en) Method of controlling gas flow in a substrate processing system
KR101888828B1 (ko) 연속적으로 회전되는 원자층 증착 캐러셀 및 사용 방법들
US6183615B1 (en) Transport system for wafer processing line
JP5014603B2 (ja) 真空処理装置
TW200931577A (en) Vacuum treatment system, and method for carrying substrate
JP5208948B2 (ja) 真空処理システム
JP2001135704A (ja) 基板処理装置及び基板搬送用トレイの搬送制御方法
JPH083744A (ja) 真空処理装置、真空処理装置の中で基板を処理する方法、及び、真空処理装置用のロック
JP2005072525A (ja) 基板加熱装置及びマルチチャンバー基板処理装置
US11664207B2 (en) Film-forming apparatus, film-forming system, and film-forming method
JP2020026575A (ja) 成膜装置、成膜システム、および成膜方法
JP2007149948A (ja) 真空処理装置
KR101760667B1 (ko) 고생산성 박막증착이 가능한 원자층 증착 시스템
US20070138009A1 (en) Sputtering apparatus
JPWO2011007753A1 (ja) 基板処理装置
JP3753896B2 (ja) マグネトロンスパッタ装置
KR20040083623A (ko) 반도체 제조 장치
KR20200104549A (ko) 자동 물류 원자층 증착 시스템
KR20190125040A (ko) 고생산성 자동물류 원자층 증착 시스템
WO2018171908A1 (en) Apparatus for loading a substrate in a vacuum processing system, system for processing a substrate, and method for loading a substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071026

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100726

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100803

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110104