KR100746579B1 - 연속 증착 시스템 - Google Patents

연속 증착 시스템 Download PDF

Info

Publication number
KR100746579B1
KR100746579B1 KR1020000065134A KR20000065134A KR100746579B1 KR 100746579 B1 KR100746579 B1 KR 100746579B1 KR 1020000065134 A KR1020000065134 A KR 1020000065134A KR 20000065134 A KR20000065134 A KR 20000065134A KR 100746579 B1 KR100746579 B1 KR 100746579B1
Authority
KR
South Korea
Prior art keywords
substrate
processing
chamber
carrier
substrate processing
Prior art date
Application number
KR1020000065134A
Other languages
English (en)
Other versions
KR20010051426A (ko
Inventor
아비 테프만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010051426A publication Critical patent/KR20010051426A/ko
Application granted granted Critical
Publication of KR100746579B1 publication Critical patent/KR100746579B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 일반적으로 적어도 하나의 처리 챔버내에 주로 배열되는 캐리어 및 상기 처리챔버와 로드 록 챔버 사이로 기판들을 이송하기 위한 적어도 하나의 셔틀을 갖는 기판 처리 시스템을 제공한다. 복수의 처리챔버, 로드 록 챔버 및 다른 챔버들은 기판을 처리하는 일련의 모듈러 챔버를 형성하도록 결합될 수 있다. 바람직하게, 상기 캐리어는 처리환경에만 노출된다. 즉, 상기 캐리어는 비처리 챔버 내측으로 이동되지 않는다. 따라서, 기판의 연속적인 순차 처리 중에, 캐리어의 열적 싸이클이 감소된다. 상기 캐리어는 트랙을 따라 처리챔버 내부로 역이동된다. 격벽에 의해 분리된 다중 처리영역은 복수의 처리공정이 동일한 챔버 내에서 발생될 수 있게 한다.

Description

연속 증착 시스템 {CONSECUTIVE DEPOSITION SYSTEM}
도 1은 통상적인 클러스터 공구 시스템의 개략적인 평면도.
도 2는 통상적인 인라인 시스템의 개략적인 측면도.
도 3은 도 2에 도시된 인라인 시스템 내부에 있는 챔버에 대한 개략적인 평면도.
도 4는 인라인 시스템 내부에 있는 기판 캐리어의 개략적인 측면도.
도 5는 인라인 시스템 내부에 있는 기판 캐리어의 개략적인 단면도.
도 6은 본 발명의 연속 증착 인라인 시스템의 개략적인 평면도.
도 7은 도 6에 도시된 연속 증착 인라인 시스템의 개략적인 측면도.
도 8은 셔틀의 개략적인 사시도.
도 9는 챔버의 개략적인 부분 횡단면도.
도 10은 피니언을 도시하는 다른 챔버의 개략적인 횡단면도.
도 11은 도 10에 도시된 구동기구의 다른 실시예를 도시하는 개략도.
도 12는 기판 캐리어의 개략적인 사시도.
도 13은 핀 평판의 개략적인 사시도.
도 14는 온도 제어가능한 평판을 갖는, 도 6에 도시된 시스템의 다른 실시예를 도시하는 개략적인 측면도.
도 15는 도 14에 도시된 온도 제어가능한 평판의 개략적인 평면도.
도 16은 온도 제어가능한 평판의 개략적인 측면도.
도 17은 한 쌍의 로드 록 챔버, 처리 챔버 및 로봇을 갖는 시스템의 개략적인 평면도.
도 18은 두 개의 라인 사이에 배열된 로봇을 갖춘 처리 챔버 및 두 개의 로드 록 챔버를 각각 갖는 두 개의 챔버라인을 포함하는 시스템의 개략적인 평면도.
도 19 내지 도 23은 예시적인 처리 시퀀스의 개략적인 다이어그램.
* 도면의 주요 부분에 대한 부호의 설명 *
2 : 플랫폼 20, 32 : 진공 챔버
22 : 웨이퍼 30, 110 ; 로봇
42, 44, 96 : 처리챔버 90 : 인라인 시스템
92, 94 : 로딩 로드록 챔버 108 : 수납 스테이션
114, 115, 116 : 기판 118, 120 : 셔틀
122 : 캐리어 123, 124, 125, 127 : 트랙
126 : 안내 롤러 128 : 피니언 기어
132 : 핀 평판 146 : 핀
본 발명은 반도체 처리분야에 관한 것이며, 더욱 상세하게는 반도체 처리 시 스템을 통해 기판을 이송시키기 위한 장치 및 방법에 관한 것이다.
반도체 산업에 있어서, 반도체 처리시스템을 통해 기판을 이동시키기 위한 중요한 두 방법이 있다. 그 중 하나인 통상의 방법은 도 1에 도시된 클러스터 공구를 사용하는 것이다. 클러스터 공구 플랫폼(2)은 일반적으로 모듈러, 다중 챔버식 통합 처리시스템을 지칭한다. 상기 플랫폼은 통상적으로 중앙의 웨이퍼 처리 진공챔버(20,32) 및 다수의 주변 처리챔버(24,26,28,36)를 포함한다. 통상적으로 카세트(10)에 저장되는 웨이퍼(22)와 같은 기판은 로드 록(12,14)으로부터 퇴출되거나 로드 록에 적재되어 주위 조건에 노출되지 않고 다수의 처리시스템 내에서 진공하에 처리된다. 처리를 위한 웨이퍼의 이송은 웨이퍼 처리 진공챔버(20)내에 있는 중앙의 로봇(16)이나 진공 조건하에 유지되는 제 2 웨이퍼 처리 진공챔버(32) 내에 있는 로봇(30)에 의해 관리된다. 마이크로프로세서 제어기(38) 및 관련 소프트웨어는 웨이퍼의 처리 및 이동을 제어하도록 제공된다.
유리 기판, 세라믹 평판, 플라스틱 시이트, 및 디스크와 같은 상당히 커다란 기판을 처리하기 위해 처리시스템을 통해 기판을 이동시키는 제 2 방법을 통상적으로 인라인 시스템이라고 지칭한다. 유리 기판은 활성 매트릭스 텔레비젼, 컴퓨터 디스플레이, 액정 디스플레이(LCD) 패널, 및 기타 디스플레이로서 사용되는 평판형 디스플레이의 제조에 사용된다. 통상의 유리기판은 약 550㎜ × 650㎜의 칫수를 가지며 트렌드는 약 650㎜ × 830㎜ 이상으로 기판 크기를 증가시킨다.
도 2는 통상의 모듈러식 인라인 시스템(40)의 개략적인 측면도이다. 상기 처리 시스템은 직렬 배열의 처리챔버(42,44)를 갖는데, 상기 직렬 처리챔버는 직렬 처리챔버의 단부에 있는 로드 챔버(46)와 언로드 챔버(48) 사이에 배열된다. 승강기(50)는 로드 챔버(46)로의 입구에 배열되고 다른 승강기(52)가 언로드 챔버(48)의 출구에 배열된다. 처리챔버(44)와 같은 처리챔버는 화학 증착(CVD) 챔버, 물리 증착(PVD) 챔버, 에칭 챔버, 전기도금 챔버, 및 기타 스퍼터링 및 처리 챔버와 같은 증착챔버를 포함할 수 있다. 캐리어 복귀라인(58)은 처리챔버의 위에 배열되고 승강기(50,52)에 연결된다. 다수의 처리챔버는 진공 또는 낮은 압력하에 있고 도 3의 인라인 시스템의 개략적인 평면도에 도시되어 있는 바와 같이 하나 이상의 격리 밸브(60,62,64,66,68)에 의해 분리된다. 통상적으로, 다중 기판(54,56,70,72)은 도 4 및 도 5의 개략적인 정면도 및 측면도에 도시된 바와 같이 캐리어(74)에 의해 지지된다. 격리밸브들은 폐쇄 위치에 있는 각각의 챔버를 서로서로 밀봉시켜서 기판(54,56)들이 개방 위치에 있는 상기 격리밸브를 통해 인접 스테이션으로 이송되게 한다.
도 2에 도시된 바와 같이, 캐리어(74)는 수납 스테이션(51)에 있는 캐리어(74)에 기판(54,56,70,72)이 수동으로 장전되는 승강기(50)에 인접되게 위치된다. 승강기(50)에 대한 도어(도시않음)가 개방되어 캐리어(74)가 승강기내의 트랙(도시않음)상에 놓이게 된다. 격리밸브(60)가 개방되어 캐리어(74)가 로드 챔버(46) 내측으로 트랙상에서 이동된다. 상기 로드 챔버(46)는 밀봉되어 있고 CVD 처리를 위한 약 10 mTorr 내지 약 50 mTorr 및 PVD 처리를 위한 약 1 mTorr 내지 약 5 mTorr의 통상의 진공도 아래로 펌핑된다. 다른 격리밸브(62)도 개방되고 캐리어(74)는 처리에 적합한 온도로 기판이 가열되는 처리챔버(42) 내측으로 이동된다. 다른 격리 밸브(64)도 개방되고 캐리어(74)는 처리챔버(44) 내측으로 트랙을 따라 이동한다. 처리 챔버(44)가 스퍼터링 처리챔버이면, 상기 챔버는 기판이 각각의 타겟에 인접한 트랙을 따라 이동할 때 기판(54,56,70,72)과 대향하는 타겟 표면으로부터 재료를 스퍼터링하는 복수의 타겟(76,78)을 포함할 수 있다. 각각의 스퍼터링 타겟은 기판의 대향측에서 양극(통상적으로 타겟)과 음극(통상적으로 접지된 챔버 벽) 사이에 형성된 이온화된 가스원자(이온)와 충돌하며 타겟 입자들은 이동하여 기판상의 증착 지점을 향한다. 바람직하게, 각각의 타겟은 기판으로부터 이격된 타겟의 배면쪽에 배열된 자석(도시않음)을 구비하여 타겟면에 일반적으로 평행하게 자기력선을 발생시킴으로써 스퍼터링 비율을 개선하며, 상기 자기력선 주위의 전자들은 회전 궤도내에 포획되어 스퍼터링을 위한 가스 원자와의 충돌 가능성 및 이온화 가능성을 증가시킨다. 그후 기판(54,56,70,72)은 격리밸브(66)를 통해 언로드 챔버(48)로 이동된다. 격리밸브(68)는 폐쇄되어 처리챔버(44)를 언로드 챔버(48)로부터 밀봉시킨다. 격리밸브(68)는 개방되어 캐리어(74)가 언로드 챔버(48)로부터 이동될 수 있게 하며 통상적으로, 기판(54,56,70,72)은 캐리어로부터 수동으로 송출된다. 기판들은 또한 언로드 챔버내에 억류되어 기판이 냉각될 수 있는 시간을 제공한다. 기판이 송출된 후에, 캐리어(74)는 승강기(52)로 진입하며, 이때 승강기는 캐리어(74)를 복귀라인(58)으로 상승시킨다. 복귀라인(58)의 트랙 시스템(도시않음)은 다음에 처리될 기판 배치를 수납하도록 처리 시스템의 타단부에 있는 수납 스테이션(51)의 위치로 캐리어를 하강시키는 승강기(50)로 캐리어(74)를 복귀시킨다.
인라인 시스템(40)이 현재의 제조공정에 사용되고 있지만, 이러한 형태의 시스템은 여러 단점을 가진다. 캐리어(74)는 처리환경으로부터 승강기(50,52) 및 캐리어 복귀라인(58)의 주위환경으로 이동되어 처리환경으로 재복귀될 때 열적 싸이클이 수행된다. 그 결과, 증착재료가 박리되거나 캐리어(74)로부터 변위되어 기판상에 원치않는 입자들이 포함되게 된다. 또한, 트랙 시스템은 작동중에 오염물질을 발생시켜 캐리어에 부착되게 할 수 있으며 처리챔버 내측으로 이동될 수도 있다. 승강기와 트랙 시스템은 시스템에 대한 복잡성을 가중시키며 고장을 방지하기 위해 다수의 가동소자들에 대한 유지비가 소요되게 된다. 또한, 캐리어(74)는 챔버 압력을 증가시킬 수 있는, 주위환경내의 산소를 흡수하여 산소가 진공챔버로부터 이탈할 때 증착 필름층을 오염시키게 된다. 캐리어(74)의 열적 싸이클 이외에도, 캐리어(74)의 평균온도는 주위 온도 보다 높은 온도에서 다수의 기판들이 처리될 때 통상적으로 상승된다. 처리챔버내의 대부분의 공정들은 온도에 민감하므로, 통상적으로 소정의 작동온도를 설정하여 일정한 피복을 얻을 수 있도록 공정을 설계한다. 따라서, 캐리어(74)로부터의 열전달은 기판 및/또는 공정상에 영향을 끼칠 수 있으며 제조 초기에 생성된 필름이 평균온도가 증가되는 제조 말기에 생성된 필름에 비해 변화되게 된다. 통상의 인라인 시스템에 있어서의 또다른 단점은 인접 처리챔버, 특히 반응공정을 사용하는 챔버들에서의 처리공정 중에 발생되는 교차 오염이다. 반응공정은 두 개 이상의 구성요소에 적절한 비율로 의존한다. 인접 처리챔버로부터 다른 재료의 유입은 상기 반응공정을 불안정하게 하여 피복 특성에 영향을 끼친다.
그러므로, 본 발명의 목적은 기판, 특히 상당히 평판한 유리기판을 처리하기 위한 개선된 시스템 및 방법들을 제공하고자 하는 것이다.
본 발명은 일반적으로 적어도 하나의 처리챔버 내에 주로 배열되는 캐리어 및 상기 처리챔버와 로드 록 챔버 사이로 기판을 이송하기 위한 적어도 하나의 셔틀을 갖춘 기판 처리시스템을 제공한다. 복수의 처리 챔버, 로드 록 챔버 및 기타 챔버들이 기판을 처리하는 일련의 모듈러 챔버를 형성하도록 결합될 수 있다. 바람직하게, 상기 캐리어는 처리환경에만 노출된다. 즉, 캐리어는 미처리 챔버 내측으로는 이동되지 않는다. 따라서, 기판의 연속적인 순차처리 중에, 캐리어의 열적 싸이클이 감소된다. 캐리어는 트랙을 따라 처리챔버 내부로 역이동된다. 격벽에 의해 분리된 다중 처리영역은 동일한 챔버 내에서 복수의 처리공정을 수행할 수 있게 한다.
일면으로서, 본 발명은 하나 이상의 처리챔버와, 상기 처리챔버에 연결된 하나 이상의 로드 록 챔버와, 상기 로드록 챔버 내에 상기 처리챔버와 연통되게 배열되는 셔틀, 및 하나 이상의 처리챔버내에 주로 배열되어 상기 처리챔버 내에서만 작동하는 기판 캐리어를 포함하는 기판 처리시스템을 제공한다.
다른 일면으로, 본 발명은 하나 이상의 처리챔버와, 상기 처리챔버에 연결된 하나 이상의 로드록 챔버와, 상기 로드록 챔버와 처리챔버 사이에서 이동가능한 셔틀, 및 상기 처리 챔버 내에 적어도 부분적으로 배열되는 온도제어가능한 기판 캐 리어를 포함하는 기판 처리시스템을 제공한다. 기판 캐리어의 온도는 캐리어의 냉각온도와 접촉 및/또는 방열하는 캐리어에 인접되게 온도 제어가능한 평판을 배열함으로써 제어된다.
또다른 일면으로, 본 발명은 하나 이상의 처리챔버와, 상기 처리챔버에 연결된 하나 이상의 로드록 챔버와, 상기 로드록 챔버와 처리챔버 사이에서 이동가능한 셔틀, 및 하나의 처리챔버 내부에 있는 두 개 이상의 처리영역을 포함하는 기판 처리시스템을 제공한다.
또다른 일면으로, 본 발명은 평판과, 상기 평판내에 적어도 부분적으로 배열되고 출구 및 입구를 갖는 하나 이상의 채널, 및 상기 평판에 연결되어 기판 처리시스템내의 기판 캐리어와 결합하는 복수의 상향 돌출 핀을 포함하는 기판 처리장치를 제공한다.
또다른 일면으로, 본 발명은 기판 캐리어, 상기 기판 캐리어에 근접 배열된 온도 제어가능한 평판, 및 상기 온도 제어가능한 평판에 연결된 유체 채널을 포함하는 기판 처리시스템을 제공한다.
또다른 일면으로, 본 발명은 기판을 처리챔버 내부에 배열하는 단계와, 상기 처리챔버 내부에 적어도 부분적으로 배열된 제 1 처리영역에 인접하게 기판을 위치시키는 단계와, 기판을 상기 제 1 처리영역 내에서 제 1 공정으로 처리하는 제 1 처리단계와, 상기 처리챔버 내부에 적어도 부분적으로 배열된 제 2 처리영역에 인접하게 기판을 위치시키는 단계, 및 기판을 상기 제 1 처리영역 내의 제 1 공정과는 상이한 공정으로 제 2 처리영역에서 처리하는 제 2 처리단계를 포함하는 기판 처리방법을 제공한다.
또다른 일면으로, 본 발명은 기판을 처리챔버 내에 배열된 캐리어로 이송시키는 단계와, 기판을 상기 처리챔버내에서 처리하는 단계, 및 기판을 상기 캐리어로부터 상기 처리챔버 외측의 공간으로 이송시키는 단계를 포함하는 기판 처리방법을 제공한다.
또다른 일면으로, 본 발명은 기판 캐리어를 처리챔버 내에 배열하는 단계와, 기판 캐리어 상에 기판을 지지하는 단계와, 기판을 처리챔버내에서 처리하는 단계, 및 상기 처리챔버 내부의 기판 캐리어 온도를 변경시키는 단계를 포함하는 기판 처리방법을 제공한다.
본 발명의 전술한 특징, 장점 및 목적들을 더 상세히 이해할 수 있도록, 위에서 간단히 요약한 본 발명에 대해 첨부 도면에 도시한 실시예를 참조하여 더욱 상세히 설명한다.
그러나, 첨부된 도면은 본 발명의 통상적인 실시예들만을 도시한 것이므로, 이들 도면들이 본 발명의 범주를 한정하는 것이 아니며 본 발명과 동일한 효과를 갖는 실시예들이 있을 수 있다고 이해해야 한다.
본 발명은 일반적으로 하나 이상의 챔버 및 상기 하나 이상의 챔버를 통해 기판을 지지 및 이송하기 위해 시스템내에 배열되는 기판 캐리어를 갖춘 인라인 처리시스템을 제공한다. 일면으로서, 본 발명은 로딩 및 언로딩 로드록 챔버를 포함한다. 이송 셔틀은 각각의 로드록 챔버내에 배열되어 기판을 시스템내에 있는 캐리어로, 또한 캐리어로부터 이송한다. 또한, 반응 공정 및 비반응 공정이 상기 처 리챔버내에 있는 처리영역 사이에 격벽을 사용함으로써 단일의 처리챔버 내에서 수행될 수 있다. 상기 격벽들은 각각의 별도 공정 중에 통상의 격리밸브를 사용하지 않고도 반응 공정이 수행될 수 있게 한다.
도 6 및 도 7은 각각, 연속 증착 인라인 시스템(90)의 개략적인 평면도 및 측면도이다. 상기 시스템(90)은 시스템(90)의 한 단부에 배열된 로딩 로드록 챔버(92)와 시스템(90)의 타단부에 배열된 언로딩 로드록 챔버(94), 및 이들 사이에 배열된 하나 이상의 처리 챔버(96)를 포함한다. 상기 로딩 로드록 챔버(92)는 격리밸브(98)에 의해 처리챔버로부터 격리된다. 또한, 상기 로딩 로드록 챔버(92)도 로봇(110)에 의해 작동되는 수납 스테이션(106)에 대해 개방되어 있는 밸브(102)를 포함한다. 상기 로봇(110)은 기판(114)을 상기 로딩 로드록 챔버(92)로 분배한다. 유사하게, 언로딩 로드록 챔버(94)는 격리 밸브(100)에 의해 처리 챔버로부터 격리된다. 상기 언로딩 로드록 챔버(94)도 로봇(112)에 의해 작동되는 수납 스테이션(108)에 대한 개방된 밸브(104)를 포함한다. 상기 로봇(112)은 언로딩 로드록 챔버(94)로부터 기판(116)을 회수한다. 이와는 달리, 상기 시스템은 상기 챔버에 인접 배열된 트랙(127)을 따라 이동함으로써 양측 로드록 챔버들을 작동시키는 트랙형 로봇을 포함한다. 로봇은 대기압 로봇으로 공지되어 있으며 메크스, 로츠, 젤, 다이헨, 고마쓰 및 본 기술분야에 공지된 기타의 제작자들에 의해 상업화되어 있다.
적어도 하나의 셔틀(118)이 로딩 로드록 챔버(92)내에 배열되며 적어도 하나의 다른 셔틀(120)이 언로딩 로드록 챔버(94)내에 배열된다. 셔틀(118,120)은 하나 이상의 역회전 모터 또는 기어 조립체를 사용하여 로드록 챔버(92,94)로부터 처 리챔버(96)의 대향 단부로 역방향으로 이동되어서 셔틀이 기판을 처리챔버(96)로, 또는 처리챔버로부터 이송되게 한 후에 상기 처리챔버로부터 각각의 로드록 챔버로 회송될 수 있게 한다. 적어도 하나의 캐리어(122)는 기판을 지지하도록 처리챔버(96) 내에 배열되며 양방향, 즉 로딩 로드록 챔버(92)와 언로딩 로드록 챔버(94)의 방향으로 바뀔 수 있는 방향으로 이동가능하다.
바람직하게, 3 개의 트랙이 셔틀(118,120) 및 캐리어(122)의 운동을 위해 시스템(90)내에 배열되며, 각각의 트랙은 복수의 안내 롤러(126a-p; 일반적으로 안내롤러(126)) 및 피니언 기어(128a-h; 일반적으로 피니언(128)을 포함한다. 분리형 트랙(123,125)이 처리챔버(96)와 각각의 로드록 챔버 사이의 셔틀(118,120)의 운동을 지지한다. 제 3 캐리어 트랙(124)은 처리챔버(96)내의 운동을 위해 캐리어(122)를 지지한다. 상기 트랙은 복수의 안내 롤러 및/또는 피니언 기어를 정렬시킴으로써 형성되며 측면 레일(도시않음)을 포함할 수 있다. 각각의 트랙을 따라 이동하는 안내 롤러(126)와 피니언 기어(128)의 거리는 챔버의 길이, 셔틀과 캐리어의 길이, 및 기판의 크기와 같은 요인에 따라 변화한다. 셔틀 트랙(123)은 로딩 로드 록 챔버(92) 내에 배열되어 처리 챔버(96) 내측으로 연장되어 있다. 셔틀 트랙(123)은 시스템(90)의 하부에 배열된 안내 롤러(126)와 피니언 기어(128)를 포함하며 챔버(92,96)내에 셔틀(118)의 운동을 위한 통로를 제공한다. 유사하게, 다른 셔틀 트랙(125)이 언로딩 로드록 챔버(94) 내에 배열되어 처리챔버(96) 내측으로 연장되어 있다. 셔틀 트랙(125)은 복수의 안내 롤러(126) 및 피니언 기어(128)를 포함하며 챔버(94,96)내에 셔틀의 운동을 위한 통로를 제공한다. 캐리어 트랙(124)은 바람직하게 격리밸브(98,100)들 사이로 연장하며 기판(114,115,116)이 처리될 때 챔버(96) 내에 캐리어(122)의 운동을 위한 통로를 제공한다. 캐리어 트랙(124)은 셔틀 트랙(123,125)의 폭보다 좁으며 복수의 안내 롤러(126)와 피니언 기어(128)를 포함한다. 좁은 캐리어 트랙(124)의 폭은 셔틀과 캐리어 사이의 기판 이송을 위해 캐리어(122)가 셔틀(118,120) 아래에 위치될 수 있게 한다. 안내 롤러(126)와 피니언 기어(128)는 적절한 간격으로 트랙(123,124,125)을 따라 이격되어서 캐리어와 셔틀이 각각의 트랙을 따라 이동될 때 캐리어(122)와 셔틀(118,120) 상의 각각의 레일이 적어도 두 지점에서 지지될 수 있게 한다. 바람직하게 상기 캐리어 트랙(124)은 상이한 폭, 즉 셔틀 트랙(123,125)보다는 트랙 레일 사이에 상이한 간격을 가지며, 상기 로드록 챔버(92,94)와 상기 처리챔버(96) 사이로 기판을 이송하고 로봇(110,112)의 로봇 블레이드와 유사한 컨틸레버식 조립체, 로봇 및 V형 셔틀 및/또는 캐리어를 포함하는 단순하고 복잡한 기구가 사용될 수 있다.
핀 평판(132)은 로딩 로드록 챔버(92)에 인접한 처리 챔버(96)의 단부에 배열된다. 상기 핀 평판(132)은 알루미늄 또는 구리와 같은 열 전도성 재료로 제조될 수 있다. 상기 핀 평판(132)은 샤프트(136)와 리프트 모터(140)에 연결된다. 복수의 핀(144)은 핀 평판(132)에 연결되어 있으며 핀 평판으로부터 상향으로 배열된다. 핀 평판(132)의 온도는 제어가능하며 바람직하게는 도 12를 참조하여 설명하는 바와 같이, 냉각제 입구라인(148) 및 출구라인(150)을 통해 냉각제에 의해 냉각된다. 유사하게, 핀 평판(134)은 언로딩 로드록 챔버(94)에 인접되게 처리챔버(96)의 단부에 배열된다. 상기 핀 평판(134)은 샤프트(138) 및 리프트 모터(142)에 연결된다. 핀 평판(134)은 핀 평판으로부터 상향으로 배열된 복수의 핀(146)을 포함한다. 유사하게, 상기 핀 평판(134)의 온도는 제어가능하며 바람직하게 입구 라인(152) 및 출구 라인(154)을 통해 냉각제에 의해 냉각된다.
도 7에 상세히 도시한 바와 같이, 처리챔버는 기판이 통과될 때 하나 이상의 처리환경을 유지하는 하나 이상의 처리영역을 포함할 수 있다. 예를들어, 하나 이상의 타겟(156,158,160,162)은 예를들어, 처리챔버가 스퍼터링 챔버일 경우 처리챔버(96)내의 기판(115)위에 배열될 수 있다. 유사하게, CVD 또는 에칭 공정을 위한 샤워헤드(도시않음) 및 다른 형태의 공정을 위한 다른 구성요소가 처리공정의 필요성에 따라 기판 근처에 배열될 수 있다. 격벽(164,166,168,170)은 각각의 타겟(156,158,160,162)의 중간 및 각 타겟(156,162)의 비인접 측면에 배열된다. 격벽은 바람직하게 에칭 타겟을 인접 타겟과 분리하여 4 개의 처리영역과 같은 일련의 처리영역을 형성한다. 각각의 영역은 상기 영역을 통해 이송될 기판의 직선 칫수 보다 길이가 짧아서, 기판이 상이한 기판위치에서 다중 처리영역에 동시에 노출되더라도 단지 기판의 일부분, 즉 전체 폭 및 부분적인 길이가 단일 영역의 처리환경에 한 번에 동시 노출된다. 하나 이상의 처리챔버를 갖는 시스템을 위해서, 하나 이상의 처리영역이 각각의 처리챔버 내에 포함될 수 있다. 처리영역(172,174,176,178)의 하부분들은 처리챔버(96)의 처리환경에 대해 개방되어서, 처리될 기판은 격리밸브를 통해 다른 처리챔버 내측으로 진입할 필요없이 하나의 처리영역으로부터 다른 처리영역으로 이동될 수 있다. 각각의 격벽은 바람직하게 처리될 기판에 밀접된 위치, 바람직하게 약 1mm 내지 약 5㎜ 이격된 위치로 연장되어 있다. 하나 이상의 처리영역은 처리영역으로 진입하는 반응가스용 가스 입구(165)를 포함함으로써 반응공정을 제공하도록 구성되어 있다. 상기 반응가스는 예를들어, 타겟의 스퍼터링 중에 처리영역 내측으로 유입될 수 있으며 반응 공정을 위해 기판 및/또는 스퍼터된 재료와 반응한다. 반응 공정에 사용되는 동일한 처리영역이 반응가스를 처리영역으로 유입시키지 않음으로써 미반응 공정에 사용될 수 있다.
상기 공정이 대형 기판을 위해 처리영역 내에서 수행되므로, 로딩 로드록 챔버(92)에 인접한 격리밸브(98)는 처리영역(172,174,176,178)을 통해 완전히 이동시키기 위한 기판용 틈새를 제공하도록 개방될 수 있다. 또한, 캐리어(122)의 속도는 기판(115)이 트랙(124)을 따라 처리영역으로 이송 또는 이동되거나 기판이 처리영역에서 처리되느냐에 따라 변화될 수 있다. 상기 속도는 처리비율과 상기 처리영역에 있는 기판상의 각 지점에서의 지속시간을 곱한 값에 기초하여 소정의 증착 두께 또는 에칭의 완료를 제공하도록 상기 처리영역내에서 선택된다. 캐리어(122)의 속도는 바람직하게 증착 중에는 약 5 ㎜/sec 내지 약 20 ㎜/sec이고 이송 중에는 약 100 ㎜/sec 내지 200 ㎜/sec이다.
제어기(91)는 트랙 상에서의 기판 캐리어, 핀 평판, 셔틀, 피니언의 운동과 같은 시스템 내의 다양한 기능 및 기타 관련 시스템의 특성들을 제어하는데 사용된다. 상기 제어기(91)는 바람직하게 프로그램가능한 마이크로프로세서를 포함하며 바람직한 실시예에서 하드 디스크인 메모리 내에 저장된 시스템 제어 소프트웨어를 실행하며 아나로그 및 디지탈 입력/출력 보드, 인터페이스 보드, 및 스테퍼 모터 제어기 보드(도시않음)를 포함한다. 상기 제어기(91)는 시스템의 구성요소에 대한 전력을 제어하며 작동자가 시스템을 모니터하고 작동시킬 수 있게 하는 패널을 포함한다. 광학 및/또는 자기 센서(도시않음)는 일반적으로 가동 구조물 및 조립체의 위치를 결정하고 이동시키는데 사용된다.
도 8은 각각의 로드록 챔버내에 배열된 셔틀의 개략적인 사시도이다. 각각의 셔틀은 제 1 단부(190) 및 상기 제 1 단부(190)의 대향측에 있는 제 2 단부(192)를 가진다. 각각의 셔틀은 제 1 측면(194)과 제 2 측면(196) 및 상기 측면(194,196)과 단부(190,192)에 각을 이루거나 횡단하게 상기 셔틀(118)의 외측 원주로부터 내측으로 연장하는 지지 핑거(198a-f;일반적으로 지지핑거(198))도 가진다. 각각의 셔틀은 제 1 측면(194)에 따른 제 1 측면 레일(200) 및 제 2 측면(196)에 따른 제 2 측면 레일(202)을 포함한다. 상기 측면 레일(200,202)은 크로스 부재(217,218)에 의해 서로 평행하게 이격된다. 상기 크로스 부재(217,218)는 시스템 내에서 처리되는 기판의 두께보다 큰 거리만큼 핑거(198)로부터 이격되어서 도 7에 도시된 바와 같이 핀 평판(132,134)이 핑거 및 셔틀(118,120)로부터 기판을 상승시켜 핀 평판이 기판을 지지하는 동안 각각의 핀 평판으로부터 후퇴된다. 상기 측면 레일(200,202)은 하부면(209,210)상에 톱니형 래크(206,208)를 각각 포함한다. 상기 톱니형 래크(206,208)는 도 8에 점선으로 나타낸, 피니언 기어(128)와 결합하는 톱니(204,205)를 포함한다. 각각의 레일상에 있는 내향 계단면(214,216)은 안내레일(126)과 결합한다.
지지 핑거(198)의 단부들은 핑거로부터 상향으로 연장하고 상부에 기판(114)이 지지되는 하나 이상의 지지 패드(220a-f; 일반적으로 지지 패드(220))를 포함한 다. 핑거 가이드(222a-f; 일반적으로 핑거 가이드(222)로 지칭함)는 지지 패드(220)로부터 외측에 배열되며 기판(114)이 측면에 위치되는 표면을 형성한다. 상기 지지 핑거(198)는 셔틀(118)상에 위치되어서 핀 평판(132)내의 핀(144)이 핑거와 셔틀(118) 위에 있는 기판(114)을 지지하여 지지 핑거와 핀 사이의 간섭없이기판을 처리챔버에 분배한 후에 처리 챔버(96)로부터 후퇴될 수 있게 한다. 상기 지지 핑거(198)와 크로스 부재(217,218)의 하부는 바람직하게 도 6에 도시된 캐리어(122)의 상부면 보다 높게 위치되어 셔틀(118)이 기판 이송을 위해 캐리어(122) 위에 위치될 수 있게 한다. 셔틀(118)은 약 600℃ 이상의 온도에 노출될 수 있으므로, 셔틀(118)은 스테인레스 스틸, 세라믹, 인바(Invar) 36(등록상표), 또는 기타 내열재료로 제조된다. 유사하게, 상기 패드는 바람직하게 세라믹 스테인레스 스틸, 석영, 또는 기타 내열재료로 제조된다. 언로딩 로드록 챔버(94)내에 있는 셔틀(120)도 셔틀(118)과 유사하게 설계된다.
도 9는 레일(200)과 트랙(123,125)의 배열을 도시하는 챔버의 개략적인 부분 횡단면도이다. 바람직하게, 에워싸이는 슬롯(230)은 내측 챔버벽(233)내에 배열되어서 셔틀(118)의 레일(200)이 내측 벽의 개구(234)로 연장될 수 있게 한다. 레일(200)의 인보드부(214)는 도 6 및 도 7에 도시된, 트랙(123,125)상의 안내 롤러(126)과 결합한다. 슬롯(230)은 처리환경을 트랙(123,125)의 구성요소와 훨씬 더 충분하게 분리시킴으로써 안내 롤러(126)에 의해 발생된 오염을 감소시킨다. 트랙(124)상의 캐리어(122)에 대해 유사한 배열이 제공될 수 있다.
도 10은 셔틀(118)과 결합한 피니언(128)을 도시하는 다른 챔버의 개략적인 횡단면도이다. 외측 챔버 벽은 상기 도면에 도시되어 있지 않다. 구동기구(240)는 챔버(92)의 내측으로 연장하는 구동축 조립체(244)에 연결된, 로딩 로드록 챔버(92)와 같은 특정 챔버의 내측 벽(233) 외부에 모터(242)를 포함한다. 모터(242)는 셔틀 및/또는 캐리어를 상이한 방향으로 이동시킬 수 있는 역회전 가능한 모터일 수 있다. 모터는 역회전 가능한 기어박스를 포함한다. 구동축 조립체(244)는 제 1 측면(232)에 인접한 제 1 피니언(128) 및 관련 챔버의 제 2 측면(232')에 인접한 제 2 피니언(128')에 연결된다. 구동축 조립체(244)도 제 1 피니언(128)의 인보드에 배열된 제 1 안내 롤러(126) 및 제 2 피니언(128')의 인보드에 배열된 제 2 안내 롤러(126')에 연결된다. 상기 피니언(128)은 톱니형 래크(206)와 결합하도록 구성되며 상기 피니언(128')은 셔틀(118)의 톱니형 래크(208) 및 도 9에 도시된 기판 캐리어(122) 상의 유사한 래크와 결합하도록 구성된다. 상기 구동기구(240)도 구동축 조립체(244)의 회전에 반응하여 제어기(248)에 인풋을 제공하는 인코더(246)를 포함한다. 상기 제어기(248)는 구동기구의 순차 또는 동시 작동이나 이들의 조합 작동을 위해 하나 이상의 구동기구(240)와 연결할 수 있다.
도 11은 모터가 구동축 조립체(244) 없이 피니언(128)을 구동시키는 도 10에 도시된 구동기구(240)의 다른 실시예를 개략적으로 도시하는 도면이다. 복수의 측면 안내롤러(249,250)는 도 6 및 도 7에 도시된, 트랙(123,125)상의 안내 롤러(126)에 인접한 특정 챔버에 장착된다. 측면 안내 롤러(249,250)는 셔틀(118) (또는 캐리어(122))상의 상방향으로 연장하는 안내 레일(252)과 결합하여 각각의 트랙에 따른 정렬된 측면 방향으로 셔틀이 이동될 수 있게 한다. 안내 롤러(126,126')는 셔틀 또는 캐리어를 지지한다. 안내 롤러는 테프론(등록상표) 코팅된 알루미늄, 베스펠(Vespel;등록상표), 또는 바람직하게 입자들을 발생시키지 않고 진동을 감쇄시킬 수 있는 유연성을 갖춘 재료로 제조될 수 있다.
도 12는 기판 캐리어(122)의 개략적인 사시도이다. 캐리어(122)는 바람직하게 알루미늄 또는 동과 같은 열 전달재료, 더 바람직하게는 비드 블라스팅 처리 및/또는 양극처리된 알루미늄으로 제조된다. 물체의 비드 블라스팅 처리된 표면은 표면 복사율을 증가시킬 수 있다. 복사율은 동일한 온도에서 흑체로부터 방사된 방사선에 대해 표면으로부터 방사된 방사선의 비율이다. 고 복사율 표면은 표면의 양극처리 또는 비드 블라스팅 처리나 이들의 조합과 같은 미처리 표면에 대한 복사율을 증가시키는 표면처리에 의해 형성될 수 있다. 예를들어, 미처리 표면의 통상적인 복사율은 약 0.03이고 높은 반사율을 가진다. 상기 표면 복사율은 표면의 양극처리에 의해 약 0.2 내지 약 0.4 범위로 증가하고 상기 미처리 표면의 비드 블라스팅 및 양극처리에 의해 훨씬 더 높은 약 0.6으로 증가한다. 비드 블라스팅은 예를들어 제곱 인치당 약 80파운드의 공기압을 갖는 노즐을 통해 36그릿 사이즈 가아닛을 방출하여 알루미늄이 회색을 나타낼 때까지 알루미늄 표면을 충돌시킴으로써 달성된다. 다른 압력, 재료, 및 그릿 사이즈가 기술분야에 공지된 대로 사용될 수 있다.
상기 캐리어(122)는 바람직하게 제 1 측면(264)상에 내측 계단형 표면(272)과 톱니(268)를 갖는 톱니형 래크(260) 및 제 2 측면(266) 상에 내측 계단형 표면(274)과 톱니(270)를 갖는 톱니형 래크(262)를 가진다. 상기 래크(260,262)는 도 8에 도시된 이동 셔틀(118)의 래크(206,208)과 유사하며 유사한 방식으로 안내 롤러(126) 및 핀(128)과 결합한다. 상기 캐리어(122)는 기판(115)을 지지하며 기판용 히트 싱크를 제공한다. 복수의 구멍(276a-f; 일반적으로 구멍(276)으로 지칭함)이 캐리어(122)내에 배열되어서 도 13에 도시된 핀 평판내의 핀(144)이 관통될 수 있다. 상기 캐리어(122)는 바람직하게 기판(115) 보다 조금 크며 기판(115)을 측면에서 유지하도록 배열된 안내 스톱(278a-f; 일반적으로 안내 스톱(278)로 지칭함)을 가진다.
처리 전후에 캐리어의 온도를 설정하기 위해, 온도 제어가능한 핀 평판(132)과 같은 냉각기구가 각각의 로드록 챔버에 인접한 처리챔버내에 제공된다. 도 13은 캐리어(122)의 기판 비수납면에 인접되게 제공된 핀 평판(132)의 개략적인 사시도이다. 핀 평판(132)은 캐리어의 온도를 변경시켜 그 위에 지지된 기판의 온도를 변경시키도록 캐리어(122)에 인접 배열되거나 접촉되어 있다. 핀 평판(132)은 핀 평판(132)으로부터 상방향으로 연장하는 복수의 핀(144a-f; 일반적으로 핀(144)으로 지칭함)을 가진다. 상기 핀(144)은 핀이 관통하도록 캐리어(122)내에 있는 구멍(276)과 협동할 수 있는 공간을 가진다. 바람직하게, 상기 핀 평판(132)은 물, 글리콜, 또는 적합한 유체와 같은 냉각제가 통행하는 평판(132)내에 형성된 채널(284)을 제공함으로써 온도가 제어될 수 있다. 입구 라인(148)은 냉각제를 채널(284)에 분배하고 출구라인은 채널(284)로부터 떨어지게 냉각제용 도관을 제공한다. 채널(284)은 예를들어, 두 개의 평판내에 채널(248)을 형성하고 상기 두 개의 평판을 함께 밀봉시키거나 핀의 단부 및 다른 측면을 통해 천공함으로써 통로를 형성하고 다수의 잔여 구멍을 막고 입구를 입구 라인(1480과 연결하고 출구를 출구라인(150)과 연결함으로써 형성될 수 있다. 또한, 상기 표면(282)은 바람직하게 예를들어 비드 블라스팅 및/또는 양극처리에 의해 형성된 고 복사율 표면이므로 열전달이 효율적으로 수행된다.
도 6에 도시된 기판(115)이 핀 평판(132)에 의해 상승되어 캐리어와 셔틀 사이로 기판을 이송할 때, 상기 핀 평판(132)은 캐리어(132)와 물리적으로 접촉되어 캐리어(122)의 온도를 낮춘다. 이와는 달리, 핀 평판은 캐리어를 가열하는데 사용될 수 있다. 캐리어를 가열/냉각함으로써, 기판의 온도는 소정의 온도로 상승 또는 하강한다. 상기 온도는 냉각 또는 가열을 위한 물리적 접촉 중에 제어될 수 있도록 모니터된다. 샤프트(136)는 핀 평판(132)의 하부에 장착되고 도 7에 도시된 리프트 모터(140)는 샤프트(136)에 장착된다. 상기 리프트 모터는 핀 평판이 셔틀(118)과 캐리어(122) 사이로 기판을 이송할 때 핀 평판(132)을 승강시키는 샤프트를 승강시킨다. 상기 핀 평판(134)은 유사하게 설계될 수 있다.
도 14는 도 6 및 도 7에 도시된 다른 실시예의 측면도이다. 도 14에 도시된 시스템은 평판(300)의 온도가 도 6 및 도 7에 도시된 핀 평판(132,134)과 유사한 방식으로 제어될 수 있는 평판(300)을 포함한다. 바람직하게, 상기 평판(300)은 핀 평판과 유사하게 냉각될 수 있으나, 필요하다면 상기 평판(300)은 가열될 수 있다. 상기 평판(300)은 정방형 형상이며 처리챔버(96)의 측벽들 사이의 위치와 같은 여러 위치에 장착되거나 챔버의 바닥에 연결된 스탠드(307)상에 지지된다. 상 기 평판(300)은 평판의 하부로부터 또는 평판의 측면으로 빠져나가는 유체 출구(306) 및 유체 입구(304)를 가진다. 상기 평판(300)은 냉각된 온도를 처리챔버내의 다수의 표면에 방사적으로 이송시킴으로써 처리챔버 내부의 온도를 낮출 수 있다. 바람직하게, 상기 평판(300)은 냉각된 핀 평판(132,134)과 협동하게 된다. 평판(300)의 상부(308)와 같이 챔버의 고온 표면으로 지향된 표면은 복사열의 도움으로 처리될 수 있다. 복사율의 증가는 기판(115)을 지지하는 캐리어(122)를 포함하는 처리챔버(96) 내측의 고온 표면으로 평판(300)의 복사온도의 전달에 도움을 준다. 예를들어, 상기 평판(300)은 복사율을 증가시키도록 양극 처리 또는 비드 블라스팅 처리 또는 이들 방식의 조합에 의해 처리될 수 있다.
도 15 및 도 16은 각각, 평판(300)의 평면도 및 측면도이다. 상기 평판(300)은 내부에 형성된 하나 이상의 채널(302)을 가진다. 핀 평판과 유사하게, 상기 채널(302)은 채널을 완성하도록 서로 결합된 두 개의 평판내에 형성될 수 있다. 이와는 달리, 상기 채널(302)은 평판(300)의 측면을 천공하고 측면에 있는 채널 부분들을 밀봉하여 냉각제가 평판을 통해 흐르도록 하거나 본 기술분야의 공지방법으로 형성될 수 있다.
도 6 내지 도 13에 도시된 시스템의 실시예는 단일 열의 챔버를 도시한다. 본 발명은 다중 열의 챔버를 이송하는 중앙 수납 스테이션을 갖는 나란한 또는 단부대 단부 배열방식으로 설치되는 다중 열의 챔버에도 적용될 수 있다. 도 17은 로딩 로드록 챔버, 처리챔버, 언로딩 로드록 챔버, 및 로봇을 갖는 시스템의 개략적인 평면도이다. 상기 시스템은 한 단부가 로드록 챔버(92)에 연결되고 타단부가 언로딩 로드록 챔버(94)에 연결된 단일 열의 처리챔버(95,96)를 포함한다. 카세트(310,312,314)는 대기압 하에서 작동하는 트랙 로봇(316)을 지지하는 트랙(318)의 단부에 배열된다. 각각의 로드록 챔버는 트랙 로봇(316)에 연결된다. 상기 시스템은 도 6 내지 도 13을 참조하여 설명한 일련의 챔버와 유사하게 배열된다. 기판은 로봇에 의해 로딩 로드록 챔버로 이동되어 처리되고 전술한 바와 같이 언로딩 로드록 챔버로부터 회송된다.
도 18은 2열의 챔버가 트랙 로봇(316)에 연결된 도 17 시스템의 변형예이다. 제 1열의 챔버는 한 단부가 로딩 로드록 챔버(92a)에 연결되고 타단부가 언로딩 로드록 챔버(94a)에 연결된 처리챔버(95a,96a)를 포함한다. 제 2열의 챔버는 한 단부가 로딩 로드록 챔버(92b)에 연결되고 타단부가 언로딩 로드록 챔버(94b)에 연결된 처리챔버(95b,96b)를 포함한다. 처리능력은 제 1 기판(114a)이 처리되는 동안에, 제 1 기판(114a)을 제 1 열의 챔버내에 있는 제 1 로딩 로드록 챔버(92a) 내측에 장전하고 제 2 기판(114b)을 제 2 열의 챔버내에 있는 제 1 로딩 로드록 챔버(92b) 내측에 장전하는 로봇(316)에 의해 증가될 수 있다. 유사하게, 기판(116a)은 기판(116b)이 처리챔버(96b)로부터 언로딩 로드록 챔버(94b)로 이송되어 로봇(316)에 의한 제거가 대기되는 동안에 로봇에 의해 송출될 수 있다. 챔버내에서의 타이밍과 시퀀스에 따라, 중앙 로딩영역을 로봇과 교차시키는 3개 또느 4개 열의 챔버와 같은 다른 구성도 가능하다.
작동
도 6 및 도 7을 참조하면, 작동중에 기판(114)은 로딩 로드록 챔버(92)내의 밸브(102)를 통해 로봇(110)에 의해 로딩 로드록 챔버(92)로 분배된다. 상기 로봇(110)은 기판(114)을 이송 셔틀(118)상에 배열된 지지 핑거(198) 상에 위치시킨다. 로봇(110)이 로딩 로드록 챔버(92)로부터 후퇴되고 밸브(102)가 폐쇄된다. 상기 로딩 로드록 챔버(92)는 (도시않은)진공원에 의해, CVD 처리를 위해서는 약 10 내지 약 50 mTorr의 진공도 및 PVD 처리를 위해서는 약 1 내지 5 mTorr의 진공도를 가진다. 몇몇 로드록 챔버들에 있어서, 기판(114)은 가열 램프, 저항 코일, 또는 기타 가열장치에 의해 처리온도로 가열될 수 있다. 상기 격리밸브(98)는 처리챔버(96)에 대해 개방되며 상기 셔틀(118)은 셔틀(118)상의 래크(206)와 회전가능하게 결합하는 피니언(128)에 의해 트랙(123)을 따라 이동된다. 센서(도시않음)는 셔틀(118)의 위치를 결정하고 셔틀의 운동을 제어하기 위해 제어기(248)에 인풋을 제공한다.
처리 챔버(96)의 내측에는 셔틀(118)과 유사하게, 캐리어(122)가 핀 평판(132) 위에 위치되어 캐리어(122)상의 래크(260)와 회전가능하게 결합하고 있는 피니언(128)에 의해 이동된다. 상기 셔틀(118)은 평판(132)으로부터 캐리어(122)와 핀(144) 위로 위치되어 이들과 정렬된다. 리프트 모터(140)는 핀 평판(132)을 상승시켜 캐리어(122)와 접촉하게 하는 샤프트(136)를 상승시킨다. 핀(144)은 캐리어(122)내의 구멍(276)을 통해 상방향으로 연장되고 기판을 지지하는 셔틀(118)상의 지지 핑거(198)로부터 기판(처리챔버내에서 도면부호 115로 표시)을 들어올린다. 상기 셔틀(118)은 로딩 로드록 챔버(92) 내측으로 후퇴되고 격리밸브(98)가 폐쇄됨으로써 처리챔버(96)가 밀봉된다. 상기 리프트 모터(140)는 샤프트(136)와 핀 평판(132)을 하강시켜서 기판(115)을 지지하는 핀(144)을 하강시킨다. 기판(115)은 캐리어(122) 지지 표면과 접촉하고 핀(144)은 핀이 적어도 캐리어(122) 아래로 하강될 때까지 계속해서 하강한다. 상기 캐리어(122)는 캐리어(122)상의 래크(260)와 결합하는 피니언(128)에 의해 트랙(124)을 따라 이동하며 (도시않은)센서는 캐리어 위치를 검출하여 제어기(248)에 인풋을 제공한다. 상기 안내 롤러(126)는 트랙(124)을 따라 이동할 때 캐리어(122)와 접촉하여 트랙(124)을 따른 캐리어(122)의 정렬을 유지하는데 도움을 준다. 이와는 달리, 상기 핀(144)은 핀 평판(132)으로부터 분리되며 예를들어, 핀에 연결된 다른 리프트 모터(도시않음)의 사용에 의해 핀 평판(132)과는 별도로 상승 및 하강한다. 상기 핀 평판가 핀의 분리시에, 상기 핀 평판은 핀이 기판을 상승시키는 동안 캐리어를 오랫동안 접촉시킬 수 있다.
상기 캐리어(122)는 처리위치로 이동된다. 예를들어, 스퍼터링을 포함한 처리시에 상기 캐리어(122)는 적어도 타겟(156,158,160,162) 중의 하나의 타겟 아래의 위치로 이동된다. 동력이 타겟을 바이어스시키도록 타겟에 공급되며 플라즈마가 생성된다. 플라즈마로부터의 이온들이 타겟과 충돌하여 재료를 타겟으로부터 이동시킨다. 이동된 상기 재료의 일부는 기판(115)쪽으로 통행로를 따라 이동하여 그 상부에 증착된다. 격벽(171,173,175,177,179)은 인접 처리영역 및/또는 기타 타겟으로부터 스퍼터되는 타겟 입자들을 격리시키는데 도움을 준다. 기판(115)의 선단 엣지는 다른 재료로 제조된 타겟을 갖는 다른 처리영역과 같은, 일련의 처리영역내에 있는 다음 처리영역으로 이동된다. 전력이 다름 처리영역에 있는 타겟에 공급되며, 상기 타겟이 스퍼터되어 타겟 재료는 이전에 증착된 재료위에 증착된다. 상리 처리공정은 기판이 특정 처리챔버(96)에서의 공정을 완료할 때까지 일련의 처리영역(172,174,176,178)을 통해 계속된다. 전술한 바와 같이, 상기 처리영역은 기판이 특정영역내의 처리환경에 노출될 때 처리영역을 통해 이동될 수 있으므로, 기판과 동일한 또는 그 보다 큰 크기를 필요로 하지 않는다. 기판이 처리영역을 통과하면, 캐리어(122)는 상부 위치로 이동되어 언로딩 로드록 챔버(94)에 인접 배열된 핀 평판(134)과 정렬된다. 이와는 달리, 다른 처리챔버가 상기 처리챔버(96)와 직렬로 결합되면, 캐리어는 다름 챔버 내측으로 이동되거나 기판이 다음 처리챔버내의 다른 캐리어로 전달될 수 있다. 핀 평판(134)이 상승되고, 핀(146)이 캐리어(122)를 통해 연장됨으로써 기판(115)이 캐리어(122)위로 상승된다. 언로딩 로드록 챔버(94)내의 이송 셔틀(120)은 언로딩 로드록 챔버(94)로부터 언로딩 로드록 챔버(94)와 처리 챔버(96)를 통해 상승된 기판(115) 아래에 배열된 핑거(198)를 갖춘 캐리어(122) 위의 위치로 트랙(125)을 따라 이동한다. 모터(142)는 기판(115)을 셔틀(120)의 핑거(198) 상으로 낮추는 핀(146)을 하강시킨다. 상기 셔틀(120)은 격리밸브(100)를 통해 언로딩 로드록 챔버(94)로 뒤로 이동하며 상기 격리밸브(100)는 폐쇄되어 처리챔버를 다시 밀봉한다. 상기 언로딩 로드록 챔버(94)는 로봇(112)이 다음 처리를 위해 기판(116)을 후퇴시키도록 밸브(104)의 개방전에 기판(언로딩 로드록 챔버내에 도면 부호 116으로 표시)을 냉각시키도록 제공된다. 캐리어(122)로의 이송을 기다리는 로딩 로드록 챔버(92)내의 기판(114)과 같은 복수의 기판은 챔버내에 동시에 배열될 수 있으며, 상기 기판(115)은 처리챔버(96) 내에서 처리되며 기판(116)은 언로딩 로드록 챔버(94)로부터 이송되도록 대기된다.
캐리어(122)는 로딩 로드록 챔버(92)로부터 다른 기판을 위한 위로 로딩 로드록 챔버(92)쪽으로 트랙(124)을 따라 뒤로 이동한다. 이와는 달리, 처리챔버 시퀀스가 공정상의 유연성을 갖는다면, 각각의 로드록 챔버는 로딩 및 언로딩 로드록 챔버로서의 역할을 한다. 처리용 기판이 언로딩 로드록 챔버(94)로부터 캐리어(122)상에 놓이며 기판이 로딩 로드록 챔버 내측으로 장전되도록 이동되는 로딩 로드록 챔버(92)쪽으로 처리챔버(96)를 통해 후방으로 이동한다.
바람직하게, 상기 캐리어(122)는 처리환경에서 벗어나지 못하며 가변 온도 및 열적 싸이클 환경에 노출되지 않으며 도 2에 도시된 인라인 시스템(40)과 같은 오염 환경에 노출되지 않는다. 셔틀(118,120)은 처리챔버(96) 내에 간단히 위치되고 주로 각각의 로드록 챔버(92,94)내에 유지되며 재료 증착물이나 캐리어(122)가 수용하는 다른 처리결과를 수용하지 않는다. 따라서, 본 발명은 처리 환경내에 적어도 대부분, 바람직하게는 전체적으로 수용되어 있는 성분들 및 미처리 환경내에 적어도 대부분 유지되어 있는 성분들 내부로 다른 지지성분들의 분리를 제공한다. 또한, 본 본 발명은 셔틀로부터 기판을 송출하거나 셔틀에 기판을 장전시킬 때 또는 증착 싸이클과 같은 온도 제어가능한 평판을 간헐적으로 접촉시키거나 캐리어 온도에 영향을 주도록 평판에 근접시킴으로써 처리환경내에 유지되는 캐리어의 온도를 제어하는데 도움을 준다. 바람직하게, 캐리어는 온도 제어가능한 평판에 의해 냉각되어 기판 처리 중의 온도로 인해 크리이프를 발생시키는 온도 이상으로 상 승되는 것이 방지된다.
예시적인 공정 - ITO/MoCr/MoCr 스퍼터 증착
기판 처리시스템은 상이한 재료와 상이한 처리 공정을 갖는 다양한 공정에 사용될 수 있다. 다음의 예는 단지 도 19 내지 도 23의 측면도에 개략적으로 도시한 반응 및 미반응 공정영역을 사용할 수 있는 단지 하나의 예시적인 예에 불과하다고 이해해야 한다. 평탄한 유리 패널을 위한 유리 패널상에 증착되는 하나의 물질은 인듐 주석 산화물(ITO)이다. 하나 이상의 몰리브덴 주석(MoCr) 층이 상기 ITO 층 위에 증착된다. 평탄한 유리 패널을 위한 통상적인 재료의 다른 예는 Cr, ITO, CrO, Ta, 및 Al이다.
기판은 로딩 로드록 챔버(92)내에 있는 셔틀(118)상에 장착되며, 약 1 내지 약 50 mTorr의 진공이 로딩 로드록 챔버(92)내에 형성되며, 셔틀(118)은 기판(115)을 처리챔버(96) 내측으로 이동시킨다. 기판(115)은 핀 평판(132)위에 위치된 캐리어(122)로 이송되며, 셔틀은 로딩 로드록 챔버(92)로 복귀되며, 핀 평판(132)은 하강된다. 도 19에 도시한 바와 같이, 캐리어(122)는 ITO 타겟(326)을 포함하는 제 1 처리영역 아래에 있는 처리위치로 기판(115)을 이동시킨다. 아르곤 또는 다른 불활성 가스가 약 34 표준 제곱 센치미터(sccm)의 유동율로 상기 처리영역으로 유동하여 상기 처리를 안정화시키며 제 1 오염물 처리영역을 진공화하는데 도움을 준다. 또한, 2원자 산소가 약 0.17 sccm의 유동율로 제 1 처리영역으로 유동된다. 기판(115) 위에 또는 기판에 인접한 ITO 타겟(326)에 약 2000 와트의 전력이 인가되어 플라즈마가 형성되며, 상기 ITO 타겟(326)은 기판상에 약 500Å의 두께의 ITO층을 약 40초내에 형성하도록 스퍼터된다. 도 20에 도시한 바와 같이, 기판(115)의 크기로 인해 캐리어는 기판(115)의 일부분을 제 2 처리영역(322) 및 제 3 처리영역(324)으로 이동시켜 제 1 처리영역(320)에서의 기판의 처리를 완료한다.
도 21에 도시한 바와 같이, 기판(115)은 제 1 처리영역에 인접해 있거나 제 1 처리영역에서 멀리 떨어진 제 2 처리영역으로 이동된다. 기판의 크기 및 제 2 처리영역에 대한 제 1 처리영역의 근접으로 인해, 캐리어 방향은 제 2 처리영역(322)과 정렬되게 기판(115)을 이동시키도록 역전된다. 이와는 달리, 제 2 처리영역(322)은 기판(115)이 제 1 처리영역(320)을 통해 이동될 때에도 증착을 위해 활성화될 수 있다. 이러한 예에서, 제 2 처리영역(322)은 MoCr 타겟(328)을 포함한다. 다른 재료들을 증착시키기 위해 예를들어, Cr, ITO, Ta, 및 Al 타겟을 포함하는, 상기 타겟 재료에 대응하는 재료들이 사용될 수 있다. 반응 공정은 기판(115)상에 스퍼터된 MoCr 산화층을 생성하는데 사용되어 증착된 ITO 층과 다음 층 사이의 부착력을 증진시킨다. 아르곤 또는 기타 불활성 가스들이 약 30 sccm 유동율로 제 2 처리영역(322) 내측으로 유입된다. 또한, 반응가스를 제공하도록 2원자 산소가 약 30 sccm의 유동율로 제 2 처리영역(322) 내측으로 유입되어 MoCr 스퍼터 재료와 반응하여 MoCrO 산화 접착 층을 생성한다. 기판(115) 위에 위치된 MoCr 타겟(328)에 1000 와트의 전력이 인가되어 플라즈마를 생성하며, MoCr 타겟(328)이 산소 분위기 하에서 스퍼터되어 약 14 Å 두께의 MoCrO 층을 약 4초내에 형성한다.
도 22에 도시한 바와 같이, 캐리어(122)는 다시 방향을 바꿔 다른 층을 처리하기 위한 위치로 기판을 이동시킨다. 본 실시예에서, 2000Å 두께의 MoCr 층이 미반응 공정에서 MoCrO 층상에 증착되었다. MoCr 타겟(328,330)을 포함하는 양 처리영역은 높은 처리율을 위해 사용된다. 아르곤 또는 다른 불활성 가스가 약 75 sccm의 유동율로 각각의 처리영역으로 유입된다. 상기 제 2 또는 제 3 처리영역(322,324) 내측으로 각각 유입되는 산소는 실질적으로 없다. 기판(115) 위에 위치된 MoCr 타겟(328,330)의 각각에 13000 와트의 전력이 인가되어 상기 처리영역(322,324) 내에 플라즈마를 생성하며, MoCr 타겟(328,330)이 약 2000Å 두께의 MoCrO 층을 약 44초 동안 형성한다.
도 23에 도시된 바와 같이, 기판은 처리영역(320,322,324)으로부터 제거되어 다음 처리를 위해 언로딩 로드록 챔버(94)로 이송된다.
셔틀, 캐리어, 기판, 로봇, 챔버, 타겟 및 기타 시스템 요소들의 방위 변경은 가능하다. 또한, 본 명세서에서 사용한 "위", "상부", "아래", "하부", "바닥", "측면"과 같은 모든 운동 및 위치들은 타겟, 챔버, 캐리어 및 셔틀과 같은 물체의 위치와 관련된 것이다. 따라서, 본 발명에 의해 처리챔버를 통해 기판의 소정 운동을 달성하기 위해 어떤 또는 모든 요소들의 방향을 설정하는 것도 고려할 수 있다.
전술한 실시예들은 본 발명의 바람직한 실시예이므로, 본 발명의 기본 범주로부터 이탈함이 없는 본 발명의 다른 또한 추가의 실시예들이 있을 수 있으며 그 범주는 다음의 청구범위에 의해 결정된다.
전술한 본 발명에 따라 기판, 특히 상당히 평판한 유리기판을 처리하기 위한 개선된 시스템 및 방법들이 제공된다.

Claims (49)

  1. 기판 처리시스템으로서,
    하나 이상의 처리챔버;
    상기 처리챔버에 연결된 하나 이상의 로드록 챔버;
    상기 로드록 챔버내에 배열되고 상기 처리챔버와 연통된 셔틀; 및
    상기 하나 이상의 처리챔버 내에 배열되고 상기 처리챔버 내에서 단독으로 작동하는 기판 캐리어;를 포함하는 것을 특징으로 하는,
    기판 처리시스템.
  2. 제 1 항에 있어서,
    상기 기판 처리시스템이 하나 이상의 처리챔버의 대향측에 장착되는 두 개 이상의 로드록 챔버를 포함하는,
    기판 처리시스템.
  3. 제 1 항에 있어서,
    하나 이상의 로드록 챔버에 인접 배열되고 상기 로드록 챔버와 연통하는 로봇을 더 포함하는,
    기판 처리시스템.
  4. 제 1 항에 있어서,
    상기 처리챔버 내에 부분적 또는 전체적으로 배열되고 제 1 수직위치와 제 2 수직위치 사이에서 이동가능한 핀 평판을 더 포함하는,
    기판 처리시스템.
  5. 제 4 항에 있어서,
    상기 핀 평판이 상기 핀 평판에 연결된 복수의 핀을 포함하는,
    기판 처리시스템.
  6. 제 5 항에 있어서,
    상기 핀 평판이 상기 핀 평판 내에 배열된 유체 채널을 포함하는,
    기판 처리시스템.
  7. 제 1 항에 있어서,
    상기 처리챔버내의 하나 이상의 처리영역에 근접 배열된 온도 제어가능한 평판을 더 포함하는,
    기판 처리시스템.
  8. 제 7 항에 있어서,
    상기 온도 제어가능한 평판이 0.2 이상의 복사율을 갖는 하나 이상의 표면을 갖는,
    기판 처리시스템.
  9. 제 1 항에 있어서,
    하나의 처리 챔버내에 복수의 처리영역을 더 포함하는,
    기판 처리시스템.
  10. 제 9 항에 있어서,
    상기 처리영역을 분리시키는 복수의 격벽을 더 포함하는,
    기판 처리시스템.
  11. 제 10 항에 있어서,
    하나 이상의 처리영역에 연결된 하나 이상의 가스 입구를 더 포함하는,
    기판 처리시스템.
  12. 제 11 항에 있어서,
    상기 복수의 처리 영역 각각은 분리형 가스 입구를 포함하는,
    기판 처리시스템.
  13. 제 1 항에 있어서,
    상기 처리영역 내에 부분적 또는 전체적으로 배열된 피니언 기어와 안내 롤러를 갖춘 트랙을 더 포함하는,
    기판 처리시스템.
  14. 청구항 14은(는) 설정등록료 납부시 포기되었습니다.
    제 13 항에 있어서,
    상기 트랙에 연결된 제어기를 더 포함하는,
    기판 처리시스템.
  15. 제 13 항에 있어서,
    상기 셔틀 및 기판 캐리어가 각각 상기 트랙상에 배열된 하나 이상의 트랙을 포함하는,
    기판 처리시스템.
  16. 제 1 항에 있어서,
    하나 이상의 로드록 챔버와 하나 이상의 처리 챔버를 각각 포함하는 두 개 이상의 챔버라인, 및 상기 두 개 이상의 챔버라인 사이에 배열된 하나 이상의 로봇을 더 포함하는,
    기판 처리시스템.
  17. 제 1 항에 있어서,
    상기 기판 처리시스템이 동일한 처리챔버 내에 반응 처리환경과 미반응 처리환경을 포함하는,
    기판 처리시스템.
  18. 제 13 항에 있어서,
    상기 기판 처리시스템이 상기 트랙에 연결된 하나 이상의 모터를 포함하며, 상기 하나 이상의 모터가 트랙을 따라 역방향으로 기판 캐리어를 이동시키는,
    기판 처리시스템.
  19. 제 18 항에 있어서,
    상기 하나 이상의 모터가 하나 이상의 역회전 가능한 모터를 포함하는,
    기판 처리시스템.
  20. 기판 처리시스템으로서,
    적어도 하나의 처리챔버;
    상기 처리챔버에 연결된 하나 이상의 로드록 챔버;
    상기 로드록 챔버와 처리챔버 사이에서 이동가능한 셔틀; 및
    상기 처리챔버내에 부분적 또는 전체적으로 배열된 온도 제어가능한 기판 캐리어;를 포함하는,
    기판 처리시스템.
  21. 제 20 항에 있어서,
    상기 처리챔버내에 배열된 온도 제어가능한 핀 평판을 더 포함하는,
    기판 처리시스템.
  22. 제 21 항에 있어서,
    상기 핀 평판이 내부에 형성된 유체 채널을 포함하는,
    기판 처리시스템.
  23. 제 21 항에 있어서,
    상기 핀 평판이 비드 블라스팅 처리된 상부면을 더 포함하는,
    기판 처리시스템.
  24. 청구항 24은(는) 설정등록료 납부시 포기되었습니다.
    제 21 항에 있어서,
    상기 핀 평판의 운동을 제어하는 제어기를 더 포함하는,
    기판 처리시스템.
  25. 기판 처리시스템으로서,
    하나 이상의 처리챔버;
    상기 처리챔버에 연결된 하나 이상의 로드록 챔버;
    상기 로드록 챔버와 처리챔버 사이에서 이동가능한 셔틀; 및
    하나의 처리챔버 내에 있는 두 개 이상의 처리영역;을 포함하는,
    기판 처리시스템.
  26. 제 25 항에 있어서,
    상기 처리영역을 부분적 또는 전체적으로 분리시키고 상기 처리영역에 인접한 격벽을 더 포함하는,
    기판 처리시스템.
  27. 제 25 항에 있어서,
    상기 하나 이상의 처리영역이 상기 처리영역에 인접 배열된 기판을 각각 처리하는,
    기판 처리시스템.
  28. 기판 처리장치로서,
    평판;
    상기 평판 내에 부분적 또는 전체적으로 배열되고 출구 및 입구를 갖는 하나 이상의 채널; 및
    기판 처리시스템 내의 기판 캐리어와 결합하는 평판에 연결된 복수의 상향 돌출 핀;을 포함하는,
    기판 처리장치.
  29. 제 28 항에 있어서,
    상기 평판이 하나 이상의 양극처리된 표면을 갖는,
    기판 처리장치.
  30. 제 28 항에 있어서,
    상기 평판이 하나 이상의 비드 블라스팅 처리된 표면을 갖는,
    기판 처리장치.
  31. 제 28 항에 있어서,
    상기 평판에 연결된 샤프트를 더 포함하는,
    기판 처리장치.
  32. 제 31 항에 있어서,
    상기 샤프트에 연결된 리프트 모터를 더 포함하는,
    기판 처리장치.
  33. 기판 처리시스템으로서,
    기판 캐리어;
    상기 기판 캐리어에 근접 배열된 온도 제어가능한 평판; 및
    상기 온도 제어가능한 평판에 연결된 유체 채널;을 포함하는,
    기판 처리시스템.
  34. 제 33 항에 있어서,
    상기 온도 제어가능한 평판이 냉각된 복사 표면으로 기판 캐리어를 냉각시키는,
    기판 처리시스템.
  35. 제 33 항에 있어서,
    상기 온도 제어가능한 평판이 상기 온도 제어가능한 평판상에 복사율을 증가시키는 표면처리에 의해 기판 캐리어의 온도에 영향을 주는,
    기판 처리시스템.
  36. 제 33 항에 있어서,
    상기 온도 제어가능한 평판이 상기 기판 캐리어와의 단속적인 결합에 의해 기판 캐리어의 온도에 영향을 주는,
    기판 처리시스템.
  37. 청구항 37은(는) 설정등록료 납부시 포기되었습니다.
    제 36 항에 있어서,
    상기 기판 캐리어와 온도 제어가능한 평판과의 결합을 제어하는 온도제어 가능한 평판에 연결된 제어기를 더 포함하는,
    기판 처리시스템.
  38. 기판 처리방법으로서,
    기판 처리시스템의 처리챔버내에 기판을 배열하는 단계;
    상기 처리챔버 내에 배열되고 상기 처리챔버에 대해 개방된 제 1 처리영역에 인접하게 기판을 위치시키는 단계;
    상기 제 1 처리영역 내에서의 제 1 공정으로 상기 기판을 처리하는 단계;
    상기 처리챔버내에 배열되고 상기 처리챔버에 대해 개방된 제 2 처리영역에 인접하게 기판을 위치시키는 단계; 및
    상기 제 1 처리영역 내에서의 제 1 공정과 다른 제 2 공정으로 상기 제 2 처리영역 내의 상기 기판을 처리하는 단계;를 포함하는,
    기판 처리방법.
  39. 제 38 항에 있어서,
    상기 제 2 처리영역 내의 상기 기판을 처리하는 단계가 상기 제 1 처리영역 내의 제 1 공정과 다른 제 2 공정으로 처리하는 단계를 포함하는,
    기판 처리방법.
  40. 제 38 항에 있어서,
    상기 제 1 처리공정 후의 제 1 처리영역 내에서 제 3 공정을 수행하는 단계를 더 포함하며, 상기 제 3 공정은 상기 제 1 공정과 다른,
    기판 처리방법.
  41. 제 38 항에 있어서,
    제 1 처리영역을 통한 제 1 방향으로부터 제 2 처리영역을 통한 제 2 방향으로 기판의 방향을 바꾸는 단계를 더 포함하는,
    기판 처리방법.
  42. 제 38 항에 있어서,
    상기 제 1 공정이 반응 공정을 포함하는,
    기판 처리방법.
  43. 기판 처리방법으로서,
    기판 처리시스템의 처리챔버 내에 배열된 캐리어로 기판을 이송하는 단계;
    처리챔버 내에서 기판을 처리하는 단계; 및
    캐리어로부터 처리챔버의 외측 공간으로 기판을 이송시키는 단계;를 포함하는,
    기판 처리방법.
  44. 제 43 항에 있어서,
    기판의 이송 및 처리 중에 캐리어를 처리챔버 내에 유지하는 단계를 더 포함하는,
    기판 처리방법.
  45. 제 43 항에 있어서,
    상기 처리챔버 내측의 캐리어의 방향을 바꾸는 단계를 더 포함하는,
    기판 처리방법.
  46. 기판 처리방법으로서,
    기판 캐리어를 기판 처리시스템의 처리챔버 내에 배열하는 단계;
    기판 캐리어 상에 기판을 지지하는 단계;
    상기 처리챔버 내에서 기판을 처리하는 단계; 및
    상기 처리챔버 내측에 있는 기판 캐리어의 온도를 변경시키는 단계;를 포함하는,
    기판 처리방법.
  47. 제 46 항에 있어서,
    상기 기판 캐리어의 온도를 변경시키는 단계가 기판 캐리어를 핀 평판과 결합시키는 단계를 포함하는,
    기판 처리방법.
  48. 제 47 항에 있어서,
    기판 캐리어의 온도를 결정하는 단계 및 기판 캐리어와 핀 평판과의 결합을 제어하는 단계를 더 포함하는,
    기판 처리방법.
  49. 제 46 항에 있어서,
    상기 기판 캐리어에 근접 배열된 온도 제어가능한 평판으로부터 냉각열을 방열시켜 상기 기판 캐리어를 냉각시키는 단계를 포함하는,
    기판 처리방법.
KR1020000065134A 1999-11-03 2000-11-03 연속 증착 시스템 KR100746579B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/432,544 1999-11-03
US09/432,544 US6298685B1 (en) 1999-11-03 1999-11-03 Consecutive deposition system
US9/432,544 1999-11-03

Publications (2)

Publication Number Publication Date
KR20010051426A KR20010051426A (ko) 2001-06-25
KR100746579B1 true KR100746579B1 (ko) 2007-08-06

Family

ID=23716598

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000065134A KR100746579B1 (ko) 1999-11-03 2000-11-03 연속 증착 시스템

Country Status (5)

Country Link
US (1) US6298685B1 (ko)
EP (1) EP1098353A3 (ko)
JP (1) JP2001237296A (ko)
KR (1) KR100746579B1 (ko)
TW (1) TW469490B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100966262B1 (ko) * 2008-03-27 2010-06-28 주식회사 피에스티 전후면 연속증착이 가능한 4챔버 플라즈마 장치
KR101238534B1 (ko) * 2012-06-22 2013-02-28 권오익 리니어 다층박막 증착장치
KR101277068B1 (ko) * 2011-05-13 2013-06-20 주식회사 에스에프에이 인라인 스퍼터링 시스템
KR101880787B1 (ko) * 2011-07-21 2018-07-20 플란제 에스이 성형 부품

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6460369B2 (en) * 1999-11-03 2002-10-08 Applied Materials, Inc. Consecutive deposition system
US6962471B2 (en) * 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
KR100822872B1 (ko) * 2001-08-14 2008-04-17 삼성코닝정밀유리 주식회사 유리기판의 박막 코팅 방법
CN1996553A (zh) * 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
JP2003133230A (ja) * 2001-10-29 2003-05-09 Mitsubishi Heavy Ind Ltd フレキシブル基板の半導体処理装置
US6866255B2 (en) * 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
US8808457B2 (en) * 2002-04-15 2014-08-19 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US20050129839A1 (en) * 2002-05-15 2005-06-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
KR100526118B1 (ko) * 2003-06-05 2005-11-08 참이앤티 주식회사 평면디스플레이용 작업 스테이지 시스템 및 이 시스템을이용한 평면디스플레이 작업 방법
TWI342582B (en) * 2003-07-17 2011-05-21 Applied Materials Inc Method of surface texturizing
DE10341244A1 (de) * 2003-09-03 2005-05-12 Creavac Creative Vakuumbeschic Einrichtung zur Vakuumbeschichtung wenigstens einer Aufzeichnungsschicht auf mindestens ein optisches Aufzeichnungsmedium
US7022202B2 (en) * 2004-01-08 2006-04-04 Mareiners, Llc Method for applying images to surfaces
KR101032438B1 (ko) * 2004-05-31 2011-05-03 엘지디스플레이 주식회사 액정표시패널의 제조장치
US7297397B2 (en) * 2004-07-26 2007-11-20 Npa Coatings, Inc. Method for applying a decorative metal layer
US7357203B2 (en) * 2004-09-28 2008-04-15 Oshkosh Truck Corporation Self-contained axle module
JP4918224B2 (ja) * 2005-01-21 2012-04-18 昭和シェル石油株式会社 透明導電膜製膜装置及び多層透明導電膜連続製膜装置
US7922881B2 (en) * 2005-02-28 2011-04-12 Tosoh Smd, Inc. Sputtering target with an insulating ring and a gap between the ring and the target
KR100965511B1 (ko) * 2005-08-01 2010-06-24 엘아이지에이디피 주식회사 기판 이송 시스템
US7296673B2 (en) * 2005-06-10 2007-11-20 Applied Materials, Inc. Substrate conveyor system
US20060283688A1 (en) * 2005-06-10 2006-12-21 Applied Materials, Inc. Substrate handling system
US7438175B2 (en) * 2005-06-10 2008-10-21 Applied Materials, Inc. Linear vacuum deposition system
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US20080085402A1 (en) * 2006-10-09 2008-04-10 Leininger Marshall E Method for applying a decorative layer and protective coating
US7815683B2 (en) * 2006-10-16 2010-10-19 Warsaw Orthopedic, Inc. Implants with helical supports and methods of use for spacing vertebral members
RU2471015C2 (ru) * 2007-03-02 2012-12-27 Эрликон Солар АГ Вакуумная установка для нанесения покрытий
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
KR100898038B1 (ko) * 2007-10-05 2009-05-19 한국원자력연구원 다층기판홀더 구조의 로드 락 챔버를 이용한 박막증착장치
JP5104257B2 (ja) * 2007-12-03 2012-12-19 株式会社日立プラントテクノロジー 基板貼り合せシステム
KR100979189B1 (ko) * 2007-12-20 2010-08-31 다이나믹솔라디자인 주식회사 연속 기판 처리 시스템
US20090169751A1 (en) 2007-12-27 2009-07-02 Exatec Llc Multi-Pass Vacuum Coating Systems
US20100044213A1 (en) * 2008-08-25 2010-02-25 Applied Materials, Inc. Coating chamber with a moveable shield
US20100075488A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
JPWO2011052050A1 (ja) * 2009-10-28 2013-03-14 三菱電機株式会社 空気調和装置
KR101202348B1 (ko) * 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US9371584B2 (en) * 2011-03-09 2016-06-21 Applied Materials, Inc. Processing chamber and method for centering a substrate therein
EP2605098B1 (en) * 2011-12-16 2015-04-01 Robert Bosch GmbH Control system and a control method for controlling a machine
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
US10011120B2 (en) * 2013-07-25 2018-07-03 The Hillman Group, Inc. Single heating platen double-sided sublimation printing process and apparatus
US9731534B2 (en) 2013-07-25 2017-08-15 The Hillman Group, Inc. Automated simultaneous multiple article sublimation printing process and apparatus
US9333788B2 (en) * 2013-07-25 2016-05-10 The Hillman Group, Inc. Integrated sublimation transfer printing apparatus
KR102314466B1 (ko) * 2014-10-06 2021-10-20 삼성디스플레이 주식회사 표시 장치의 제조 장치 및 표시 장치의 제조 방법
MX2016010077A (es) 2015-08-05 2017-03-10 Hillman Group Inc Aparato de impresion por sublimacion semi-automatizado.
WO2017210590A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Gate valve for continuous tow processing
CN106428751B (zh) * 2016-08-26 2018-09-07 江苏天元中科生物技术有限公司 食品包装机输送装置及方法
KR20180086715A (ko) * 2017-01-23 2018-08-01 어플라이드 머티어리얼스, 인코포레이티드 반송챔버, 이를 포함하는 기판처리시스템 및 이를 이용한 기판처리시스템의 기판처리방법
KR102507755B1 (ko) * 2018-02-28 2023-03-08 주식회사 케이씨텍 기판 처리 장치
RU192228U1 (ru) * 2018-08-29 2019-09-09 Общество С Ограниченной Ответственностью "Изовак Технологии" Вакуумная установка для нанесения тонкопленочных покрытий на подложку
US11047050B2 (en) 2018-10-30 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor tool having controllable ambient environment processing zones
US10923374B1 (en) * 2019-07-23 2021-02-16 Applied Materials, Inc. Walking beam chamber
KR102270758B1 (ko) * 2019-09-09 2021-06-30 에이엠티 주식회사 모듈 ic 핸들러의 모듈 ic 테스트장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980019186A (ko) * 1996-08-29 1998-06-05 히가시 데쓰로 기판 처리시스템(substrate treatment system)

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2114470B2 (de) 1971-03-25 1975-02-13 Flachglas Ag Delog-Detag, 4650 Gelsenkirchen Vorrichtung zum kontinuierlichen, einseitigen Beschichten von Platten, wie Glasscheiben, Keramik- oder Kunststoffplatten u. dgl. mittels Kathodenzerstäubung
US3850105A (en) 1972-12-29 1974-11-26 Ibm Apparatus for transferring articles through various processing sectors of a manufacturing system
US3973665A (en) 1975-03-07 1976-08-10 Gca Corporation Article delivery and transport apparatus for evacuated processing equipment
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4166563A (en) 1977-09-27 1979-09-04 Societe Suisse Pour L'industrie Horlogere Management Services, S.A. Transfer machine for sealing electronic or like components under vacuum
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4682927A (en) 1982-09-17 1987-07-28 Nacom Industries, Incorporated Conveyor system
JPS5953320A (ja) 1982-09-21 1984-03-28 Fujitsu Ltd 搬送装置
JPS6162739A (ja) 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
US4749465A (en) 1985-05-09 1988-06-07 Seagate Technology In-line disk sputtering system
US5110249A (en) 1986-10-23 1992-05-05 Innotec Group, Inc. Transport system for inline vacuum processing
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JPS63141342A (ja) 1986-12-04 1988-06-13 Ushio Inc 半導体ウエハ処理方法及びその装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4829445A (en) 1987-03-11 1989-05-09 National Semiconductor Corporation Distributed routing unit for fully-automated flexible manufacturing system
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
JPH0333058Y2 (ko) 1987-06-26 1991-07-12
DE3855871T2 (de) 1987-09-11 1997-10-16 Hitachi Ltd Vorrichtung zur Durchführung einer Wärmebehandlung an Halbleiterplättchen
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
KR970003907B1 (ko) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
EP0346815A3 (en) 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
JPH0762252B2 (ja) * 1988-06-13 1995-07-05 旭硝子株式会社 真空処理装置
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
DE3941110A1 (de) 1988-12-19 1990-06-28 Rif O Z Mikroelektroniki Vakuumeinrichtung zum aufdampfen von schichten
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
ES2130295T3 (es) 1989-10-20 1999-07-01 Applied Materials Inc Aparato de tipo robot.
JP2600399B2 (ja) 1989-10-23 1997-04-16 富士電機株式会社 半導体ウエーハ処理装置
US5203443A (en) 1989-11-13 1993-04-20 Kabushiki Kaisha Shinkawa Conveying apparatus used in assembling semicondutors
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
JP2938160B2 (ja) 1990-07-20 1999-08-23 東京エレクトロン株式会社 真空処理装置
JP2893926B2 (ja) 1990-10-25 1999-05-24 神鋼電機株式会社 推力発生装置
US5668056A (en) 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5382126A (en) 1992-03-30 1995-01-17 Leybold Ag Multichamber coating apparatus
JPH07508617A (ja) 1992-06-26 1995-09-21 マティリアルズ リサーチ コーポレイション ウエハ処理工程ラインのための輸送装置
EP0608633B1 (en) 1993-01-28 1999-03-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US5535306A (en) 1993-01-28 1996-07-09 Applied Materials Inc. Self-calibration system for robot mechanisms
JP2683208B2 (ja) 1993-01-28 1997-11-26 アプライド マテリアルズ インコーポレイテッド ロボット機構を用いた搬入および搬出のためのワークピース位置合わせ方法および装置
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
EP0608620B1 (en) 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
US5377816A (en) 1993-07-15 1995-01-03 Materials Research Corp. Spiral magnetic linear translating mechanism
JP3073376B2 (ja) * 1993-11-09 2000-08-07 三菱重工業株式会社 成膜装置の基板搬送装置
JP2683317B2 (ja) 1993-11-19 1997-11-26 マルヤス機械株式会社 コンベア
JPH07245285A (ja) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd 基板処理装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JPH08260149A (ja) * 1995-03-17 1996-10-08 Anelva Corp 減圧表面処理装置及び太陽電池製作装置
JP3732250B2 (ja) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
TW309503B (ko) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5879128A (en) 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5881649A (en) 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
US6602348B1 (en) * 1996-09-17 2003-08-05 Applied Materials, Inc. Substrate cooldown chamber
JPH10247675A (ja) * 1997-03-04 1998-09-14 Toshiba Corp マルチチャンバシステム及びその搬送台車並びにゲートバルブさらにはその排気制御方法及びその装置
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980019186A (ko) * 1996-08-29 1998-06-05 히가시 데쓰로 기판 처리시스템(substrate treatment system)

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
한국 특허공개공보 101998019186호

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100966262B1 (ko) * 2008-03-27 2010-06-28 주식회사 피에스티 전후면 연속증착이 가능한 4챔버 플라즈마 장치
KR101277068B1 (ko) * 2011-05-13 2013-06-20 주식회사 에스에프에이 인라인 스퍼터링 시스템
KR101880787B1 (ko) * 2011-07-21 2018-07-20 플란제 에스이 성형 부품
KR101238534B1 (ko) * 2012-06-22 2013-02-28 권오익 리니어 다층박막 증착장치

Also Published As

Publication number Publication date
US6298685B1 (en) 2001-10-09
KR20010051426A (ko) 2001-06-25
TW469490B (en) 2001-12-21
JP2001237296A (ja) 2001-08-31
EP1098353A2 (en) 2001-05-09
EP1098353A3 (en) 2003-04-02

Similar Documents

Publication Publication Date Title
KR100746579B1 (ko) 연속 증착 시스템
KR100867839B1 (ko) 연속적인 증착 시스템
KR100682163B1 (ko) 하이브리드형 pvd-cvd 시스템
JP6529973B2 (ja) バッチ処理用傾斜プレート及びその使用方法
US6949143B1 (en) Dual substrate loadlock process equipment
KR101888828B1 (ko) 연속적으로 회전되는 원자층 증착 캐러셀 및 사용 방법들
US7432201B2 (en) Hybrid PVD-CVD system
US6183615B1 (en) Transport system for wafer processing line
TWI774283B (zh) 用於產生派形加工的電漿源組件、處理腔室及方法
US20070017445A1 (en) Hybrid PVD-CVD system
JP2016510946A (ja) 噴射器から基板までの間隙の制御のための装置および方法
US20170372926A1 (en) Substrate treating unit, baking apparatus including the same, and substrate treating method using baking apparatus
KR100327716B1 (ko) 진공처리시스템및진공처리시스템내에서의기판조작방법
KR101760667B1 (ko) 고생산성 박막증착이 가능한 원자층 증착 시스템
US20070138009A1 (en) Sputtering apparatus
KR20190000934A (ko) 12각형 이송 챔버 및 이를 갖는 프로세싱 시스템
CN115443528A (zh) 用于半导体处理系统的底部净化
KR102068618B1 (ko) 고생산성 자동물류 원자층 증착 시스템
KR20220163422A (ko) 증착 장치, 프로세싱 시스템 및 광전자 디바이스의 층을 제조하는 방법
KR20210144932A (ko) 가스 유동 시스템
KR20040083623A (ko) 반도체 제조 장치
KR20080069440A (ko) 고속 기판 처리 시스템
KR20140140464A (ko) 원자층 증착 장치
KR20100098086A (ko) 기판 처리 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee