EP3781958A1 - Profilierung einer integrierten schaltung und anomaliedetektion - Google Patents

Profilierung einer integrierten schaltung und anomaliedetektion

Info

Publication number
EP3781958A1
EP3781958A1 EP19789206.0A EP19789206A EP3781958A1 EP 3781958 A1 EP3781958 A1 EP 3781958A1 EP 19789206 A EP19789206 A EP 19789206A EP 3781958 A1 EP3781958 A1 EP 3781958A1
Authority
EP
European Patent Office
Prior art keywords
values
classification
distance
sensor
ics
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
EP19789206.0A
Other languages
English (en)
French (fr)
Other versions
EP3781958A4 (de
Inventor
Evelyn Landman
Yahel DAVID
Eyal Fayneh
Shai Cohen
Yair Talker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Proteantecs Ltd
Original Assignee
Proteantecs Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Proteantecs Ltd filed Critical Proteantecs Ltd
Publication of EP3781958A1 publication Critical patent/EP3781958A1/de
Publication of EP3781958A4 publication Critical patent/EP3781958A4/de
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31707Test strategies
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2801Testing of printed circuits, backplanes, motherboards, hybrid circuits or carriers for multichip packages [MCP]
    • G01R31/2803Testing of printed circuits, backplanes, motherboards, hybrid circuits or carriers for multichip packages [MCP] by means of functional tests, e.g. logic-circuit-simulation or algorithms therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2894Aspects of quality control [QC]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31718Logistic aspects, e.g. binning, selection, sorting of devices under test, tester/handler interaction networks, Test management software, e.g. software for test statistics or test evaluation, yield analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/004Artificial life, i.e. computing arrangements simulating life
    • G06N3/006Artificial life, i.e. computing arrangements simulating life based on simulated virtual individual or collective life forms, e.g. social simulations or particle swarm optimisation [PSO]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N7/00Computing arrangements based on specific mathematical models
    • G06N7/01Probabilistic graphical models, e.g. probabilistic networks

Definitions

  • the invention relates to the field of integrated circuits.
  • Integrated circuits may include analog and digital electronic circuits on a flat semiconductor substrate, such as a silicon (Si) wafer. Microscopic transistors are printed onto the substrate using photolithography techniques to produce complex circuits of billions of transistors in a very small area, making modern electronic circuit design using ICs both low cost and high performance. ICs are produced by assembly lines of factories, termed foundries, that have commoditized the production of ICs, such as complementary metal-oxide- semiconductor (CMOS) ICs. Digital ICs contain billions of transistors arranged in functional and/or logical units on the wafer, and are packaged in a metal, plastic, glass, ceramic casing, and/or the like.
  • CMOS complementary metal-oxide- semiconductor
  • ICs are tested during production for detection of defects, such as by using wafer tests, package tests, circuit tests, end-user device operational tests, and/or the like.
  • wafer testing may determine bad ICs on each wafer tested, and the defective ICs may be discarded.
  • package/circuit/device testing may test the product operation prior to end-user use, and defective products/batches discarded.
  • marketing, warranty, and maintenance statistics may reflect on the compliance between the requirements, specs, design, and testing during the product development.
  • DPPM defective parts per million
  • DFF Data Feed Forward
  • Bi-variant and multi-variant analyses try to find empirical relationships between two or more tests. Tests that have strong correlations may be used to identify outliers within the populations. This may improve quality for many market segments because bi-variant and multi-variant outliers may be devices that are difficult to screen out by regular test programs. These outliers may have high correlation to devices that may fail prematurely, be returned as RMAs, and/or the like.
  • ICs for automotive applications are specified to satisfy long-term reliability requirements and be less sensitive to electromechanical stresses. Robustness of automotive ICs may be related to individual IC products whose test parameters are well-centered in the distributions of the main parameters reported in the datasheets of the ICs. Part-average testing (PAT) may identify the ICs with more well centered parameters, i.e., strongly on spec.
  • PAT Part-average testing
  • PAT may be adopted by semiconductor companies to help them meet the stringent requirements of the automotive industry (such as Automotive Electronics Council: AEC-QOOl-Rev D). Reliability studies have shown that semiconductor parts that have abnormal characteristics tend to be higher contributors to long-term quality and reliability problems. Devices that originally passed all manufacturing tests but may be considered "outliers" compared to other parts in the same population or lot may be more likely to fail in the field. This may be the basis for PAT, which proactively identifies outliers for exclusion from production shipments. PAT may operate by modifying the pass/fail test limits based on statistical sampling of multiple devices.
  • PAT may be a dynamic test.
  • EWS Electrical Wafer Sort
  • the testing procedure of a silicon wafer containing ICs may be performed by a mechanical probe that makes contact to the pads of each IC on the wafer.
  • the probe card may be electrically connected through cables to the testing equipment.
  • An automated system sequentially tests all the dies contained in the wafer.
  • the data log file containing the measurement results may be analyzed by software to compute mean (m) and standard deviation (s). Once the distributions of parameters are known, the outlier parts that passed the test but are not in the range may be identified. For example, parts are rejected and considered out of specifications at PAT 4 sigma test, such as outside of the range of from p-4*s to p+4*s.
  • a computerized method for IC classification and/or outlier detection comprising: providing a wafer comprising a plurality of ICs in accordance with an IC design, wherein the IC design comprises a plurality of sensors; and using at least one hardware processor for testing each of the plurality of ICs by: collecting a plurality of sensor values, the plurality of sensor values including sensor values from each of the plurality of sensors; and comparing the plurality of collected sensor values to a classification scheme, thereby obtaining a classification for each tested IC.
  • the method further comprises recording the classification of each tested IC.
  • the step of providing comprises manufacturing the wafer based on the IC design using a fabrication process.
  • a computerized method for semiconductor integrated circuit (IC) classification comprising using at least one hardware processor for: receiving an IC design and data descriptive of a fabrication process.
  • the at least one hardware processor is used for simulating a plurality of IC electronic operations based on the IC design and fabrication process to produce a plurality of simulated IC operation values and a plurality of simulated device operation values.
  • the at least one hardware processor is used for factorizing the plurality of simulated IC operation values and the plurality of simulated device operation values to determine a subset of operational values for sensor placement.
  • the at least one hardware processor is used for selecting some of the subset of operational values producing a sensor placement set, and for each of the selected subset, analyzing the IC design to incorporate within the IC design one of a plurality of sensors configured to the specific electronic operation, wherein each element of the sensor placement set comprises a type of sensor and a location within the IC design, wherein the sensor placement set is configured to produce a plurality of sensor values that are sensitive to the IC design and the fabrication process.
  • the at least one hardware processor is used for classifying the IC design into operational classes based on the plurality of sensor values thereby producing a classification scheme, wherein the operational classes comprise at least one outlier class.
  • the classes (operational, outliers, etc.) may be determined either from the pre-Si simulated data or/and from the post-Si wafer test data.
  • a computerized method for IC anomaly detection comprising using at least one hardware processor for manufacturing a wafer based on an IC design using a fabrication process, wherein the wafer comprises a plurality of ICs, and wherein the IC design comprises a plurality of sensors.
  • the at least one hardware processor is used for testing each of the plurality of ICs by: collecting a plurality of sensor values, at least one of the plurality of sensor values from each of the plurality of sensors; comparing the plurality of sensor values to a classification scheme; and recording the classification of the tested IC.
  • a testing device for reducing the test time of each of a plurality of ICs, comprising at least one hardware processor.
  • the hardware processor is configured for testing each of a plurality of ICs by: collecting a plurality of sensor values, at least one of the plurality of sensor values from each of a plurality of sensors incorporated into each of the plurality of ICs; comparing the plurality of sensor values to a classification scheme, thereby obtaining a classification for each tested IC; and reducing the test time for each tested IC based on the classification.
  • the classification scheme is based on simulations of a plurality of IC operations of the IC design and the fabrication process, wherein the simulations are at least one of at least one complete IC design simulation, at least one partial IC design simulation, and at least one device simulation for the fabrication process.
  • the classification scheme is based on a plurality of training sensor values collected during a pre-production tape-out test.
  • the classification scheme is based on the collected plurality of sensor values.
  • the classification scheme comprises an outlier class.
  • the comparing comprises estimating high coverage measurements from the plurality of sensor values using at least one of a function and a rule determined from a training set of sensor values and training high coverage measurements.
  • the factorizing comprises incorporation of post-Si wafer testing data into determining the subset.
  • the factorizing comprises incorporation of end-customer use data into determining the subset.
  • the selecting comprises incorporation of post-Si wafer testing data into determining the sensor placement set.
  • the factorizing comprises incorporation of end-customer use data into determining the sensor placement set.
  • any of the computerized methods herein disclosed further comprise using the least one hardware processor for marking an IC package of the tested IC with unique identifications based on the classification.
  • each of the plurality of sensors is selected from the group consisting of a rising time delay sensor, a falling time delay sensor, a frequency maximum detection circuit, an IC unit total leakage current detection sensor based on a frequency conversion circuit, an IC unit voltage drain to drain leakage current detection sensor based on a frequency conversion circuit, an IC unit voltage source to drain leakage current detection sensor based on a frequency conversion circuit, an IC unit SRAM minimal voltage frequency conversion circuit, and/or the like.
  • any of the methods herein disclosed are embodied in a computer program product.
  • any of the methods herein disclosed are embodied in a computerized system.
  • any of the computerized methods herein disclosed further comprise using the least one hardware processor for marking an IC package of the tested IC with unique identifications based on the classification.
  • any of the computerized methods herein disclosed further comprise using the least one hardware processor for discarding a defective IC.
  • the each of the plurality of sensors is selected from the group consisting of a rising time delay sensor, a falling time delay sensor, a frequency maximum detection circuit, an IC unit total leakage current detection sensor based on a frequency conversion circuit, an IC unit voltage drain to drain leakage current detection sensor based on a frequency conversion circuit, and an IC unit voltage source to drain leakage current detection sensor based on a frequency conversion circuit.
  • the computerized method further comprises: (i) from each tested IC, determining a set of parameters of the fabrication process based on the classification and the plurality of sensor values, (ii) selecting a second set of parameters of the fabrication process based on the IC design and the sets of parameters of the fabrication process for each IC, and (iii) a second manufacturing of a second wafer using the second set of parameters, wherein the selecting is performed by at least one of a manual process and an automatic computation.
  • the computerized method further comprises determining at least one of (i) a sensor value shift and (ii) an outlier using the classification scheme during at least one of a package testing, a circuit testing, a burn-in testing, a high- temperature operating life testing, and a final product testing.
  • the classification comprises a hierarchical data structure for determining the classification of each die.
  • the method further comprises: identifying the classification scheme based on a plurality of classification values by: establishing a matrix representation from the plurality of classification values, each row of the matrix representation comprising classification values in respect of one of the plurality of ICs; computing a covariance matrix from the matrix representation and a singular value decomposition (SVD) for the covariance matrix; determining, using the SVD, a plurality of distance values, each distance value representing a respective distance between one IC of the plurality of ICs and another IC of the plurality of ICs; and identifying, from the plurality of distance values, at least one family, thereby defining the classification scheme.
  • SVD singular value decomposition
  • the step of identifying, from the plurality of distance values, at least one family comprises: classifying a first IC of the plurality of ICs in a first family, such that the first family is defined by the first IC; comparing a distance value, from the plurality of distance values, representing a distance between the first IC and a second IC of the plurality of ICs with a predetermined threshold; if the distance value is less than the predetermined threshold, classifying the second IC in the first family; and if the distance value is greater than the predetermined threshold, classifying the second IC in a second family, such that the second family is defined by the second IC.
  • the step of identifying, from the plurality of distance values, a plurality of families further comprises, for each other IC of the plurality of ICs: identifying a group of distance values, from the plurality of distance values, each distance value in the group of distance values representing a distance between the other IC and a respective IC of the plurality of ICs that defines a respective family; comparing each distance value from the group of distance values with the predetermined threshold; if a distance value from the group of distance values, representing a distance between the respective other IC and a specific IC of the plurality of ICs that defines a specific family, is less than the predetermined threshold, classifying the other IC in the specific family; and if all the distance values from the group of distance values are greater than the predetermined threshold, classifying the other IC in a new family, such that the new family is defined by the other IC.
  • the step of computing a covariance matrix from the matrix representation comprises normalizing the
  • the plurality of classification values are based on one or more of: simulated sensor values determined from a simulation of the IC design; a plurality of training sensor values collected during a pre-production tape-out test; and measured sensor values.
  • the step of identifying the classification scheme based on the plurality of classification values is at least part of the step of comparing the plurality of collected sensor values to a classification scheme, thereby obtaining a classification for each tested IC.
  • the plurality of classification values are based on simulated sensor values determined from a simulation of the IC design.
  • the step of identifying the classification scheme based on a plurality of classification sensor values takes place before the step of comparing the plurality of collected sensor values to a classification scheme, thereby obtaining a classification for each tested IC, the step of comparing the plurality of collected sensor values to a classification scheme comprising: establishing a collected data matrix representation from the plurality of collected sensor values, each row of the matrix representation comprising collected sensor values in respect of one of the plurality of ICs; computing a collected data covariance matrix from the collected data matrix representation and a singular value decomposition (SVD) for the collected data covariance matrix; determining, using the SVD, a plurality of collected data distance values, each distance value representing a respective distance between one IC of the plurality of ICs and another IC of the plurality of ICs; and classifying, from the plurality of distance values, each of
  • the step of computing a covariance matrix from the matrix representation comprises normalizing the matrix representation prior to computing the covariance matrix to define normalization coefficients thereby.
  • the step of computing a collected data covariance matrix from the collected data matrix representation comprises normalizing the matrix representation using the defined normalization coefficients prior to computing the collected data covariance matrix.
  • the matrix representation is established from simulated sensor values determined from a simulation of the IC design and/or design signature values and/or catalog values.
  • the step of determining, using the SVD, a plurality of distance values comprises: defining a set of Pre-Si SVD signatures by identifying vectors of the SVD for which the corresponding principal values of the SVD are greater than a pre-defined value and/or a pre-defined number of principal vectors of the SVD for which the principal values of the SVD are the greatest; and determining the plurality of distance values using the set of Pre-Si SVD signatures.
  • the step of determining the plurality of distance values using the Pre-Si SVD signatures comprises calculating at least some of the plurality of distance values, each of the at least some of the plurality of distance values being based on a distance between one of the Pre-Si SVD signatures and another of the Pre-Si SVD signatures.
  • the step of determining the plurality distance values using the set of Pre-Si SVD signatures comprises: configuring a plurality of estimators from the simulated sensor values and the set of Pre-Si SVD signatures, each estimator generating an estimated SVD signature from input sensor values; estimating from the plurality of estimators, for each of the plurality of ICs, a respective Post-Si SVD signature from the plurality of sensor values; and calculating at least some of the plurality of distance values, each of the at least some of the plurality of distance values being based on a distance between one of the Post-Si SVD signatures and another of the Post-Si SVD signatures.
  • the step of determining the plurality distance values using the set of Pre-Si SVD signatures further comprises calculating further distance values of the plurality of distance values, each of the further distance values being based on a distance between the Pre-Si SVD signature for one IC and the Post-Si SVD signature for another IC.
  • the method further comprises computing at least one conversion rule comprising an input data and an output data.
  • FIG. 1 shows schematically a computerized system for integrated circuit profiling and outliers detection
  • FIG. 2 shows flowcharts of methods for integrated circuit profiling and anomaly detection
  • FIG. 3A shows schematically a first circuit for IC unit high-coverage timing- margin detection
  • FIG. 3B shows schematically a second circuit for IC unit high-coverage timing- margin detection
  • FIG. 4 shows a radar (spider) plot of IC profiles
  • FIG. 5 shows a graph of distributions of values for different IC profiles
  • FIG. 6 shows a graph of distribution separation between two different IC profiles
  • FIGs. 7A thru 7D show a graph of distributions of values for two IC profiles under varying voltage and temperature
  • FIG. 8 shows a graphical distribution of simulated values for an IC profile and an outlier
  • FIG. 9 shows a graphical distribution of the error between the values of a simulated High-coverage measurement and its estimator, while an outlier is planted;
  • FIG. 10A shows a first systematic-shift detection
  • FIG. 10B shows a second systematic- shift detection
  • FIG. 11 shows example IDDQ measurement distributions for devices formed on a wafer, classified into families
  • FIG. 12 shows example cycle-time measurement distributions for devices formed on a wafer of FIG. 11, classified into families;
  • FIG. 13 shows, for the ICs formed on a wafer of FIG. 11, a histogram of differences between IDDQ measurements and the average value for the Family associated with the respective IC;
  • FIG. 14 shows the data shown in FIG. 11, illustrating identification of an outlier die.
  • Si manufacturing (process) space is the joint distribution of Si-related parameters (process parameters) over a large number of manufactured dies.
  • the process space is the joint distribution of device-related parameters over a large number of manufactured dies, for example, the distribution of a device threshold voltage over a large number of manufactured dies.
  • the process space affects the performance distribution of a large number of manufactured dies, for example, the joint distribution of Maximum-frequency (Fmax) and leakage current (Ioff) across many dies.
  • Fmax Maximum-frequency
  • Ioff leakage current
  • process space or manufacturing space mean the set of possible manufacturing parameters (i.e., tolerances, manufacturing variability, etc.) as represented by the manufacturing of a specific die.
  • a certain process-technology is characterized by the device types that are manufactured using the technology (i.e., process, fab, etc.), for example:
  • SVT-type N or P devices manufactured with standard threshold voltage
  • LVT-type N or P devices manufactured with low threshold voltage
  • ULVT-type N or P devices manufactured with ultra-low threshold voltage.
  • Post-Si data (or Post-Silicon Data)
  • a Sensor/ Agent is an electrical circuit, implemented on the die, that is used to sense or measure a certain device parameter, a sub-circuit parameter, a die-level parameter, and/or the like. For example, a sensor measures the delay of a certain logic cell.
  • IC design operational parameters are simulated electronic parameters (i.e., voltage, current, delay, etc.) of a specific IC design with a specific simulated manufacturing process, such as the electrical parameters of an IC design over the process space.
  • This may be a finite set, such as a large number, of possible parameters that may be measured, such as the set of all possible parameters at circuit locations that may be candidates for placing a sensor (agent).
  • the IC design is determined by the device-types, device-sizes, device occurrence, device locations, devices connectivity, and/or the like, that are used in the specific logic units (cells) of the IC design.
  • the parameters may be simulated over the manufacturing space by performing Monte-Carlo (MC) simulations. For example, distributions of parameter values are simulated for the average leakage current of a specific unit within the IC design.
  • the IC design parameters are used as an input for the singular value decomposition (SVD) computation.
  • Device-process parameters are sets of simulated device operational parameters, such as a catalog of device operational parameters, for individual (single) devices in a specific process, such as transistors, FETs, diodes, and/or the like.
  • the device parameters are simulated over the manufacturing space by performing Monte-Carlo (MC) simulations.
  • the catalog includes MC data of the saturation current of a certain device (IDSAT).
  • IDSAT MC data of the saturation current of a certain device
  • Inter-Connect simulated values are a set of simulated delay-parameters values of Inter-Connect networks. For example, the delay of Resistor-Capacitor (RC) networks connecting devices. The delay value of the RC networks is extracted over the manufacturing space by performing Inter-Connect extraction methods followed by a circuit simulation.
  • RC Resistor-Capacitor
  • Classification or profiling is the process of binning dies into Si-profiles, where each bin (profile) comprises a cluster of IC-design parameters and resulting device- level-parameters, such as high coverage parameters.
  • the IC-design parameters and device-process parameters may be used as an input for the profiling process.
  • the sensor values and/or certain high coverage measurements may be used as input for the profiling process.
  • a specific cluster of signatures (data) values and distributions that may apply to field performance For example, a specific cluster of the manufacture- space (data) values and distributions that may apply to field performance (specs, defects, etc.).
  • a group of physical dies with the same Si-profile/classification is a group of MC samples, for which the simulated IC Design Simulation Values and the Device-Process Simulated Values have the same Si- profile/classification as those of the physical family members.
  • the singular value corresponds to a principal vector may be the variance at the vector direction.
  • the inputs to the SVD computation are the IC-design simulation values and device-process simulation values, and the output is a subset (i.e., such as a Device- Reduced-Representation, DRR) of the IC-design parameters that attempt to provide the most information efficiently, such as with the least number of parameters, least number of sensors, least value of sensor Silicon area, least value of total sensor power, and/or the like.
  • the subset i.e., DRR
  • the subset may be used for finding the most informative potential locations of the sensor agent placements in the IC design, and thus the resulting sensor values may be used to gain maximum information on a specific die being tested.
  • the final sensor placements may be determined from this subset and implemented in tape out tests during the manufacturing ramp up to best classify the dies and detect manufacturing outliers.
  • the output subset may be chosen to be significantly smaller than the complete set of inputs and estimated using a predefined set of sensors to reduce the computation cost needed during testing of physical dies.
  • unsupervised learning/clustering methods such as Self-Organized Map (SOM)
  • SOM Self-Organized Map
  • a high-coverage measurement is a Post-Si die-level measurement that characterizes a certain die, for example, the total leakage current of a certain die measured during IDDQ testing, or a large-scale timing-margin measurement of the IC logic paths during functional testing using the circuit.
  • the HCM and sensor values may be analyzed to correlate between them directly. For example, a set of measured sensor values predicts (estimates) the HCM, such as without profiling the dies as described above using MC simulations.
  • the predicted HCM may be estimated with a function, such as a function determined using Machine-Learning (ML) algorithms, modeling techniques, and/or the like.
  • ML Machine-Learning
  • An estimator is a function and/or rule that converts between values (i.e., defines the relationships between the die operational values analytically, empirically, heuristically, etc.), such as using sensor values as input arguments to determine a profile (profile classifier), predicted HCM values (HCM conversion function), predict subset (DRR) values, predict manufacturing point, and/or the like.
  • profile profile classifier
  • HCM conversion function predicted HCM values
  • DRR predict subset
  • manufacturing point means the set of parameters that determine the manufacturing of the IC design. Similar relationships may be found between HCM values and sensor values. The results of the die testing and Protean analysis may better determine the specific dies that may operationally meet or exceed the engineering specifications.
  • An outlier is a die whose measured HCM and/or like does not match the value range expected from the die’s profile, such as expected from the distribution of the values from measurements of a group of ICs of the same profile (i.e., mean plus or minus a multiple number of standard deviations).
  • an outlier may be a die whose measured HCM and/or like does not match a predicted HCM and/or like value that was calculated by the estimator function. These outliers represent dies manufactured with defects, manufacturing anomalies, and/or the like, that do not belong to the simulated profiles.
  • Described herein are devices, systems, and methods, for die classification (profiling) and/or outlier detection and/or manufacturing point binning/estimation. These processes may benefit integrated circuit manufacturing (IC) and testing, such as to improve wafer testing, and thereby producing fewer defects in the end-user product due to IC failure (i.e., performance or operational outlier detection).
  • IC integrated circuit manufacturing
  • a hardware processor and/or like executes instructions to analyze an IC design and incorporates multiple sensor agents based on the IC design.
  • the IC design with sensors may be simulated Pre-Si or measured Post-Si to determine the sensor output values for specific designs, fabrication processes, random defects, manufacturing point estimation and/or the like.
  • the distributions of the sensors values may be reflected in the high- coverage measurements values, such as providing a correlation between them.
  • the distribution of the measured values may not match the estimated distribution value from the simulations and may change in time or between IC testing systems, or between IC operational systems and thus indicate a potential manufacturing/performance/environmental and/or the like type of long term value shift, differences between IC testing systems and/or sets of hardware and/or like.
  • the sensor agents may be iteratively repositioned within the IC design to increase the sensitivity to outliers and/or increase the numerical separation of sensor values between families of (i.e., performance and/or operationally) similar ICs and/or to increase the sensitivity of the sensor values to the manufacturing point. These numerical value distributions may be clustered to form combinations of different ranges of sensor values used to identify the classes/families. Rule-based and/or analytical functions may describe the relationship between the sensor values, Manufacturing point (Process-Binnig), HCM values, and IC families, so given one of the data sets, the others may be determined, at least in part, such as by probabilities.
  • the sensor agents may be distinct circuits incorporated to the IC design that measure IC operational parameters of unites, sub-units, cells, sub-cells, devices, of the IC design, such as digital delay timing, rising edge delay, falling edge delay, device-leakage current, and/or the like.
  • the sensor values are collected for each IC during wafer testing (i.e., to compute classes and/or outliers).
  • ICs i.e., dies
  • HCM values that are not within the constraints of the relationship rules/functions (i.e., estimators)
  • Dies incorporating manufacturing outliers/anomalies may be determined using multivariate analysis, outlier algorithms, and/or the like.
  • the data used for the relationship computations may be the results of at least two of the simulated IC design superset data, the device-process simulation data, the simulated sensor value data, the SVD results subset (DRR’s, e.g., determined by the principal components, etc.), the SVD results with heuristic rules subset, the simulated HCM data, measured HCM data, the measured sensor values (i.e., during testing), operational data (i.e., defect analysis, on-specification determination, etc.), operational conditions i.e., voltage/temperature and/or the like.
  • DRR SVD results subset
  • the simulated HCM data measured HCM data
  • the measured sensor values i.e., during testing
  • operational data i.e., defect analysis, on-specification determination, etc.
  • operational conditions i.e., voltage/temperature and/or the like.
  • the simulation data is used to classify the IC design-process combinations into profiles, such as predicted operational profiles based on simulated data.
  • the profiles may be used to mark the ICs and detect outliers, thereby reducing defects and improving reliability.
  • an improved yield is determined from the detection of systematic shifts in sensor values over time (i.e., manufacturing volume, etc.)
  • manufacturing equipment drift issues, correction of process point for shift detected downstream, and/or the like may be detected by comparing the sensor values at wafer testing, package testing, circuit testing, device testing, end-of-life testing, and/or the like.
  • the shift data detected over time may be used to adjust the manufacturing process parameters (i.e., process point) so that yield is matched toward sales demand of different profiles of the same IC.
  • a hierarchal data structure is used for classification to produce hierarchal classes.
  • a hierarchy of profiles is determined during wafer testing, such as when some classes in a sub-hierarchy may inherent parameters, specification, performance metrics, physical metrics, operational metrics, and/or the like.
  • the testing data is used to determine profiles. For example, relationships between tested sensor values may determine that the IC is defective and not all tests need to be performed, thereby saving testing costs.
  • testing data and simulation data are used to determine profiles.
  • operational defect data is used to determine relationships, such as data acquired from analysis of post-sale customer use, defect logging, defect analysis, and/or the like.
  • estimated manufacturing point may be used for a further tuning/adjusting of the manufacturing process for increasing yield, improving ICs performance and/or the like.
  • FIGs. 1 and 2 show (respectively) a schematic of a computerized system 100 and flowcharts 200 and 210 of methods (Pre- Si 200 and Post-Si 210, respectively) for integrated circuit profiling, manufacturing- point prediction, and outliers detection.
  • System 100 comprises one or more hardware processors 101, a non-transitory computer-readable storage medium 102, a user interface 103, and a network interface 104.
  • Storage medium 102 has encoded thereon program code, comprising processor instructions to execute on hardware processor(s) 101, thereby causing hardware processor(s) 101 to perform actions.
  • the program code is said to be configured to perform actions, though it is understood that the program code processor instructions are configured to perform the actions when executed on hardware processor(s) 101, and the actions are performed by hardware processor(s) 101. It may also be understood that the configuration of the program code is a specific arrangement of processor instructions that cause hardware processor(s) 101 to operate in a non-conventional manner, such as by execution of the unique program code.
  • the program code comprises a Sensor Selector 102A that is configured to receive 201 an IC design and a target process for manufacturing the ICs, and based on the IC design and target process, is configured to perform 202 simulations on the IC design to determine the distribution of operational values (IC Design Simulation Values and Inter-Connect simulation values i.e., simulation superset), and also simulate the individual electronic component operational values over the manufacturing process space (Device-process Simulation Values - i.e., Catalog).
  • Sensor Selector 102A is configured to perform 202 Monte-Carlo (MC) simulations to simulate individual ICs characteristic, IC signature generation, produce resulting sensor values (i.e., agents value), and/or the like.
  • Sensor Selector 102A is configured to compute 203 a covariance matrix of the data for use in the factorization 205.
  • Sensor Selector 102A is configured to compute 204 sensitivity/weight vectors and is configured to factorize 205 (i.e., perform SVD on) the simulated values (IC design and device-process) the simulations to produce singular-value decompositions (SVDs), and a resulting subset of potential sensor locations.
  • the SVD factorization results allow enhancing the sensitivity of the signatures to the individual IC’s characteristics and design signatures and may be used to iteratively select the sensors and further increase the sensitivity of the signatures.
  • Sensor location and type selection 206 within the IC design may be done based on SVD subset, such as the top- k principal components (i.e., top k components that explain 95% of the variance), etc.
  • the program code comprises a Profiler/Estimator 102B that receives 208 separation ratios and the signature SVDs, selects 206 sensor locations from the SVD subset based on the separation ratios to produce relationships between the IC classes, the HCM parameters and the sensor values.
  • the program code is also configured to compute the Estimators block (FIG. 2). This block produce estimators, such as relationship rules, functions, and/or the like, that are used to compute the SVD- subset values by the sensors readout during the post/Si stage.
  • the program code is configured to compute 207 relationships between measured (i.e., from testing) and simulated (i.e., pre-Si) parameters (i.e., estimators/rules/ profilers/classifiers etc.) that receive an individual IC’s SVD-subsets and determine the class (i.e., category/family/profile) of performance for that IC based on the signatures and classifiers.
  • Profiler/Estimator 102B may also be configured to receive 209 operational statistics, such as defect statistics, defect engineering analyses, and/or the like, and these used to align the simulated and measured classes with the performance of the IC product in the field.
  • the Pre-Si stage may be optional in embodiments, although features of this stage may be implemented with reference to a Post-Si stage.
  • ICs 130 with incorporated sensors are manufactured 211 (i.e., post-Si flowchart 210).
  • a Die Classifier/Profiler Manufacturing-point predictor and Anomaly Detector and Systematic shift detector 102C is configured to test 212 each die (i.e., IC) of a wafer (or receive the tests results for each IC from a tester 140 through network interface 104 and a network 120).
  • Die Classifier/Profiler Manufacturing-point predictor and Anomaly Detector and Systematic shift detector 102C is configured to use the relationships with the data received from the IC test to determine 213 IC profiles (from the simulations and classification), manufacturing outliers (i.e., anomalies) and manufacturing point.
  • Die Classifier/Profiler Manufacturing-point predictor and Anomaly Detector and Systematic shift detector 102C is configured classify 213 each IC according to the tested sensor values, determine that an IC is a manufacturing outlier, and/or the like.
  • One approach for classifying dies may use the steps 203 - 207 from the Pre-Si flowchart 200, but with reference to Post-Si data (as will be discussed below).
  • die Classifier/Profiler Manufacturing-point predictor and Anomaly Detector and Systematic shift detector 102C receives the high coverage measures and the Pre-Si estimators to detect 213 when the IC may be an outlier of the classification scheme. Outliers may be discarded 214, and the classification may be used to mark 215 the IC classes on the IC (such as track the IC class according to the location on the wafer), IC packaging (such as a different grade of IC according to the class, and/or the like.
  • the Systematic shift may marked 216 on ICs, used for planning new ICs, improving yield to ICs, used for recalibrating manufacturing parameters, and/or the like.
  • the estimated manufactured point may be used for tuning/adjusting of the dies manufacturing process for increasing yield, improving IC’s performance, and/or the like.
  • IC profiling and IC signatures may allow increasing the quantity and quality of parameters used for the multivariate analysis in determining IC classes and outliers. Since classes (i.e., family’s) are not environment dependent (i.e., invariant across voltage and temperature), dies from the same classification should behave the same way at different die environments like SORT, Final-test, and system. When the behavior of a certain die is different between environments it may indicates a problem in the environment. Reference is now made to FIG. 10A and FIG. 10B, which demonstrate a detection of a systematic shift within and between environments. FIG.
  • FIG. 10A demonstrates a detection of a pre-profiling systematic shift, i.e., a detection of a parameter shifts at the wafer-SORT testing conditions.
  • FIG. 10B demonstrates a detection of a post-profiling systematic shift i.e., change in the environmental conditions that split a family into two groups before and after the change in conditions.
  • the sensor values later used during the IC testing, device testing, failure analysis, and/or the like may better differentiate between categories/families/profiles of ICs and thus allow classification based on performance, outlier detection during testing, process binning, manufacturing point estimation, and/or the like.
  • Post-Si IC profiling may be considered the classification of individual ICs to one of multiple Si characteristic range i.e., process parameter range mapped into device electrical parameters range.
  • the profiling may be performed by collecting from an IC a number of sensor values converted to SVD-signatures and comparing the SVD-signatures to the range of SVD-signatures assigned to a specific profile, such as by a classification method.
  • the profiling may be performed directly by comparing the sensors values to ranges assigned to specific profiles, without classification based on Pre-Si simulations.
  • the SVD-signatures are generated Pre-Si based on device characteristics and unique Design-related signatures that are based on individual cells within the IC and the connectivity between cells within the IC.
  • a set of device parameters characteristics is defined as the device parameters CATALOG.
  • the Design-related signature generation may be an automatic process that may be simulated with electronic design analysis (EDA) and dynamic-timing analysis simulation tools, MC tools and circuit simulation tools.
  • Design related signatures may be clustered to reflect significant performance differences of a given IC design manufactured by a specific fab, etc.
  • the clustering, classification, selection of sensors, selection of sensor locations, and/or the like, may be based on empirical or a-priori knowledge of the process (i.e., fab) and circuit design expected behavior.
  • design related signatures include values that estimate (for a variety of voltages and temperatures):
  • FIG. 3A and FIG. 3B show circuits for IC unit timing margin detection. These circuits are an enhancement of the circuits described in US provisional application no. 62/586,423, filed December 5, 2017, and titled "INTEGRATED CIRCUIT FAILURE PREDICTION DEVICE", incorporated herein by reference in its entirety.
  • sensor value As used herein, the terms sensor value, sensor agent, sets of sensor values, signatures, and/or the like are used interchangeably to mean the sets of sensor values (i.e., data) from an IC used for the techniques disclosed herein.
  • a generic Pre-Si design related signature generation process may be defined as follows:
  • Stepl Define a represented vector of measurements M
  • Step2 Run MC-simulation to extract the measurement M joint-statistics behavior
  • a generic Pre-Si Device-related parameter signature generation process may be defined as follows:
  • Stepl Define a vector of process parameters P
  • Step2 Run MC-simulation to extract the parameters P joint-statistics behavior, joint-statistic with the measurements M behavior, and ⁇ or the like.
  • the process may be: Stepl: Define Fmax measurement as the maximum operation frequency of the IC design.
  • Fmax may be determined by Critical-Paths (CPs) of the IC, i.e., paths that comprise a limiting delay thus limiting the maximum frequency
  • CPs Critical-Paths
  • Step2 Find the CP in the specific IC design for each of multiple manufacturing process values (i.e., fab parameter ranges). For example, determine a set of CPs that limit the frequency throughout the entire manufacturing ranges.
  • the Fmax signature manifests itself as the Minimal Margin per Unit (MMU) signature.
  • MMU Minimal Margin per Unit
  • the MMU signature measures the minimal margin per unit of the IC per MC point. Namely, the MMU apply the Fmax signature per unit. At post-Si the MMU signature may be measured by the circuits shown in FIG. 3A & FIG. 3B, given a certain configuration of the IC inputs.
  • the total leakage signature of a specific IC design may be generated as follows:
  • Stepl Define total leakage measurement as the sum of all cell’s leakage:
  • Step2 Extract each cell’s leakage current at each process point in the manufacturing range using Monte-Carlo (MC) simulations.
  • the total cells delay signature of a specific IC design may be generated as follows:
  • Stepl Define Total cell-delay measurement as the sum of all cells delay:
  • Step2 The cell delay at each process point is computed using Monte-Carlo (MC) simulations
  • the total inter-connect (RC) delay signature of a specific IC design may be generated as follows:
  • Stepl Define total RC-delay measurement as the sum of all RC delays: Step2: Extract an RC delay at each process point using Monte-Carlo (MC) simulations and different RC models.
  • MC Monte-Carlo
  • the delay signature may be generated to represent individual rise and fall transitions with all VT’s, per VT type, and/or the like.
  • delay signatures may be computed using the following equations.
  • the minimal voltage is based on the SRAM operation of a specific IC design to be generated as follows:
  • Stepl Define the minimal required voltage as the minimal voltage required by all SRAM cells:
  • Step2 The minimal voltage required at each process point is computed using Monte-Carlo (MC) simulations.
  • a Delay sensor may sense the delay of a certain logic cell. It may be
  • Ring-oscillator circuit that its frequency reflects the average delay of the logic cells.
  • Another delay- sensor may sense the delay of the rising-edge of a certain logic cell and the falling-edge of a logic cell in a separate way.
  • a leakage-sensor may be used to sense the leakage of a certain P-device and a certain N-device in separate ways, as described in US provisional application no. 62/614,706 Entitled “INTEGRATED CIRCUIT SUB-THRESHOLD LEAKAGE SENSOR", incorporated herein by reference in its entirety.
  • the contribution factor of each Vth-type may be computed for each signature.
  • the contribution factor reflects the contribution of each Vth-type to the leakage or to the average delay signatures and or/like.
  • the contribution factors may be generated as part of the signature generation process or computed by linear regression.
  • the vector of contributing factors for each process parameter may be considered, where the maximum over the data may be referred as the sensitivity vector and denoted by w.
  • p com P lete denote the set of process parameters obtained from the device parameter catalog.
  • P denote a subset of p com P lete which is obtained after selecting parameters from p com P lete f or which the values in the sensitivity vector are above a given threshold.
  • S denote the set of signatures at each of the operation points (M signature-operation point samples). Namely, S- L is the MC samples of a given signature at a given operation point (V,T).
  • - S j denotes the part missing in the catalog and all the s’s added to it, for a fully estimation of the j-th signature-operation point - S j ⁇ P U ⁇ s i ⁇ ; ⁇ is the estimator of s j given P U ⁇ s i ⁇ ; ⁇ .
  • the singular value corresponds to a principal vector may be the variance at the vector direction.
  • a reduced dimensional data domain should be considered, for example, an IC design that may be sensitive to 2 Vth-types may be spread by 8 process parameters (dimensions) before reduction ->(applying SVD); ⁇ VT,IDS ⁇ x ⁇ p,n ⁇ x ⁇ SVT,LVT ⁇ .
  • the orthogonal basis of the matrix ⁇ may be extracted. Each direction of the orthogonal basis may be a linear combination of the process parameters.
  • the SVD also provides metrics of each of the principal directions.
  • the following steps may determine the profiling of an IC design and a process using the sensor agents:
  • Profiling step (1) [00145] Define the distance between 2 samples (i,/) as the weighted q-norm distance D( ⁇ ,/) computed with the given # of SVD signature (input)
  • weighted q-norm can be generalized by considering multiple sets of weights (for example, the values of the principal vector) and a procedure for choosing which member of the set should be chosen (for example, the one for which the distance is maximal) Du
  • SVDi is a vector consisting of the SVD signatures of sample i and U is the principal vectors matrix.
  • the distance between a sample to a certain family is the distance to the sample that defines the family
  • the above procedure may be performed on a batch of samples, for example on a set of MC samples or a group of dies with known test results (for example, in case that the tester is offline), or performed online, for example when the tester is online.
  • FIG. 4 show the profiling results, families are defined by the median of device parameters per device types ⁇ VT,IDS ⁇ x ⁇ p,n ⁇ x ⁇ SVT,LVT ⁇ . Each vertex of the polygon represents a normalized device parameter value (in sigma terms) with respect to its typical/center value.
  • FIG. 5 show the spread of the Pre-Si average leakage-current signature over the profiling process resulted families.
  • FIG. 6 is a zoom version of FIG. 5 showing 2 families at a bounded sigma range, Family A range: -1.37 to 0.23 sigma’s, Family B range: -0.03 to 1.63 sigma’s.
  • FIGs. 7A,7B,7C and 7D show the stability/invariance of the profiling process & resulted families per voltage and temperature.
  • the distance computed by the chosen SVD signatures may be smaller than the real distance.
  • the real distance between samples i and j defined by A F (i,j): J ⁇ n w n (pf - p] ⁇ ) 2
  • Estimators are functions that generate an output value based on the sensor values. Generating estimators for the SVD signatures based on the sensor’s values may be done using a Gaussian estimator, a Lasso estimator, a Ridge regression estimator, Generalized adaptive model estimators, splines, Neural network, and/or the like.
  • the estimation error may be empirically computed by validation data. Based on the error, the distance between each sample to it the associated family is smaller than r + e with a probability smaller than 1 - d.
  • the Protean classifier block classifies dies into families at the Post-Si stage.
  • the input for the block is pre-Si data composed of SVD-signatures estimators and the separation radios, and post-Si sensor values from the testing equipment.
  • SVD signatures values are computed for each sample.
  • the estimators may be tuned, adjusted, improved and/or the like based on data collected at Post-Si stage. Families are generated as follows:
  • families of ICs may be classified Post-Si by the median of device parameters per device types ⁇ VT,IDS ⁇ x ⁇ p,n ⁇ x ⁇ SVT,LVT ⁇ .
  • Each vertex of the polygon represents a normalized device parameter value (in sigma terms) with respect to its typical/center value.
  • histograms may be built per profile and per sensor measurements and or high coverage measurements.
  • post-SI sensor values are collected per family for high coverage measurement and for sensors values.
  • the data may be normalized when it is not normal distributed.
  • a mean and variance may be computed for each data set and based on a desired false-positive rate the bounds on the high coverage measurements may be computed per family.
  • the signatures are re- used for separating to different classes/families. Therefore, they can’t be out of a given limit.
  • anomaly testing is done after profiling.
  • testing may measure the bounds of high coverage measurement per family.
  • the family classification of the current die may be used as input for testing.
  • the die is classified as outlier'.
  • the high coverage measurements bounds may be computed by considering the joint-distribution of the high coverage measurements for each of the families.
  • classification and/or estimators are used for package testing.
  • anomaly testing is done after and/or during High-Temperature- Operating-Life test (HTOL) or Bum-In test (BI). Dies that were classified to the same family by the profiling process belongs to the same Si-profile and are expected to have a close behavior over the same stress conditions. Means that the performance degradation of dies from the same family is expected to be bounded during and after the tests. Dies that show performance degradation outside the family-bounds are identified as outliers and may be rejected.
  • HTOL High-Temperature- Operating-Life test
  • BI Bum-In test
  • a high coverage measurement may be an IC-level measurement that reflects the total die behavior, for example, total die leakage at a certain temperature.
  • Another example is the timing-margin of a large-scale paths.
  • the histogram is build according the following steps:
  • the bounds on high coverage measurements can be taken as the empirical lower and upper alpha-quantile values, where alpha is determined by the false positive rate. This approach may be applied when a specific high coverage measurement for a given family cannot be normalized and/or the like.
  • an estimator may be built for each high coverage measurements based on the the Post-Si data. Then, an histogram on the estimator error, namely, the difference between the estimated value and the real high coverage measurement may be built. Then the above procedure (1-5) may be applied on this histogram.
  • FIG. 8 and FIG. 9 show a demonstration by experiment of the Outlier detection process.
  • FIG. 9 show the experiment results, the outlier that was shift by 1 -sigma is emphasized by the Outlier-detection process as 7-sigma WRT estimator average.
  • FIGs. 8 and 9 demonstrate outlier’s detection based on building histogram (FIG. 8) and estimators (FIG. 9). Namely, an estimator for the high coverage measurement is computed. Then, the measured high coverage value is compared to the estimated one and the die is detected as outlier based on a given false positive rate.
  • different sensors may yield different cluster separations, and thus have different sensitivities to the sensor selection and locations within the IC design. For example, good separation between the clusters based on Vt NLVT on and Vt PLVT on, but an overlap may exist between the two clusters.
  • the separation of the average-leakage signature and total leakage signature may determine profiles.
  • different high coverage parameters may yield different cluster separations, and thus have different sensitivities to detecting an IC’s profile classification.
  • FIG. 4 shows a radar (spider) plot of IC profiles.
  • the graph shows the profile, i.e., process origin of profiles 1, 8, and 13.
  • the radar (spider) plots are another way to visualize the process profiles.
  • FIG. 5 shows a graph of distributions of values for different IC profiles.
  • FIG. 6 shows a graph of distribution separation between two different IC profiles.
  • FIG. 7A thru 7D show a graph of distributions of values for two IC profiles under varying voltage and temperature.
  • the profiling process can be performed using only Post-Si data.
  • the inputs to the profiling algorithm are: a) radius R; b) a file of ICs agents’ readouts; c) a smooth parameter l and d) a constant k.
  • V O 0 d 7 create the families based on the procedure described in
  • v icl and v ic2 are the normalized vectors representing icl and ic2 respectively and D’ is the matrix computed in step 6.
  • D max
  • the profiling process described in the immediately preceding paragraphs can be performed in an incremental mode.
  • the Post-Si data may arrive in batches or by using Pre-Si & and Post-Si data, in which the Pre-Si data is considered the first batch.
  • the profiling algorithm is described as follows (with an example of using Pre-Si as first batch and then Post-Si data as a second batch): 1) run the post-Si data algorithm with the pre-Si data instead of the post-Si data (the normalization coefficients of each agent and the distance matrix should be stored and at this stage, the Families are generated based on the Pre-Si data); 2) when the post-Si data has arrived, normalize it with the normalization coefficients computed based on the pre-Si data, with the distances being computed using the distance matrix computed based on the pre-Si data; and 3) the algorithm uses the new data to generate new families: if possible, it will add the new data to the pre-defined families and if not, it will create new families, making sure that each of the new data is associated to the closest family (new/pre-defined).
  • the algorithm will check if additional agents are needed to improve its performance. It will be done by performing the following steps using the Pre-Si data: 1) compute the distance between all the MC points; and 2) for each signature and/or process parameter, compute the distance (in sigmas) between all the MC points.
  • const a constant (const) such that:
  • the const value should not be large such that the equations always holds, namely, const value should be such that const X dist pr0 f iiing ⁇ M C t ⁇ -> MC j ) ⁇ r for some fraction of the number of MC points which is stable when we enlarge the number of MC.
  • the method uses a wafer comprising a plurality of ICs in accordance with an IC design.
  • the IC design comprises a plurality of sensors (which may provide diagnostic and/or performance information regarding the functionality of the IC).
  • the method comprises using at least one hardware processor for testing each of the plurality of ICs. This is advantageously effected by: collecting a plurality of sensor values, the plurality of sensor values including sensor values from each of the plurality of sensors (preferably at least one sensor value from each of the sensors); comparing the plurality of collected sensor values to a classification scheme, thereby obtaining a classification for each tested IC. Beneficially, the classification of each tested IC is then recorded.
  • the method may include manufacturing the wafer based on the IC design using a fabrication process.
  • the step of comparing the plurality of collected sensor values to a classification scheme may include determining or identifying the classification scheme in embodiments.
  • the classification scheme is optionally based on one or more sets of data.
  • the one or more sets of data may include: simulations of a plurality of IC operations of the IC design and the fabrication process (in which case, the simulations may be at least one of at least one complete IC design simulation, at least one partial IC design simulation, and at least one device simulation for the fabrication process); a plurality of training sensor values collected during a pre-production tape- out test; and the collected plurality of sensor values.
  • the sets of data may include pre-Si and/or post-Si data.
  • the classification scheme may comprise an outlier class.
  • the classification may comprise a hierarchical data structure for determining the classification of each die.
  • the step of comparing preferably comprises estimating high coverage measurements from the plurality of sensor values using at least one of a function and a rule.
  • the function and/or rule may be determined from a training set of sensor values and training high coverage measurements, for example.
  • the at least one hardware processor may be used for: marking an IC package of the tested IC with unique identifications based on the classification; and/or discarding a defective IC.
  • a set of parameters of the fabrication process may be determined based on the classification and the plurality of sensor values.
  • a second set of parameters of the fabrication process may be selected based on the IC design and the sets of parameters of the fabrication process for each IC.
  • a second manufacturing of a second wafer may then be performed using the second set of parameters.
  • the selecting is advantageously performed by at least one of a manual process and an automatic computation. In that way, a wafer comprising a plurality of ICs is provided in accordance with an IC design, in particular with the IC design comprising a plurality of sensors.
  • the classification scheme may be identified based on a plurality of classification values.
  • the classification values may be based on one or more of: Pre-Si or simulated sensor values; Pre-Si design signatures; operational parameters determined from a simulation of the IC design (Pre-Si Catalog simulated values) and Post-Si measured sensor values.
  • the classification values are preferably normalized.
  • the classification scheme may be implemented by the following process.
  • a matrix representation is established from the plurality of classification values, each row of the matrix representation comprising classification values in respect of one of the plurality of ICs (in other words, a matrix in which each column relates to a specific, different parameter, such as a sensor value or other data and each row relates to a certain IC, although the transverse of such a matrix could be used in other implementations).
  • the process may continue as follows: computing a covariance matrix from the matrix representation and a singular value decomposition (SVD) for the covariance matrix; determining, using the SVD (in a variety of ways, as will be discussed below), a plurality of distance values.
  • SVD singular value decomposition
  • Each distance value represents a respective distance between one IC of the plurality of ICs and another IC of the plurality of ICs (for instance, based on known mathematical techniques for determining such distance values); and identifying, from the plurality of distance values, at least one family, thereby defining the classification scheme (in particular, comparing the distance values against a threshold, specified as a radius).
  • each of the ICs is represented by a vector based on sensor values (these may be the collected sensor values for Post-Si data and/or the simulated sensor values for Pre-Si data).
  • a matrix is defined based on the sensor values (each row of the matrix being a vector for a single IC), which are advantageously normalized.
  • the covariance matrix of this matrix is calculated.
  • a SVD is performed on the covariance matrix and the SVD (specifically, the principal values from the SVD) is used to create a distance matrix.
  • the distance matrix is also determined using a smoothing parameter l and a constant (integer) k (such that only the first k principal values from the SVD are used).
  • the distances between ICs are calculated from the distance matrix and the (normalized) vectors for the ICs.
  • the ICs are grouped based on their distances from one another (within a“radius”) to define the Families. Each Family may be identified by a representative vector.
  • Pre-Si and Post- Si data are used, the distance between the measured IC using the Post-Si data and a representative vector for each Family defined using Pre-Si data is also calculated.
  • a matrix is defined based on Pre-Si data, such as one or more of: (normalized) simulated sensor values; operational parameters determined from a simulation of the IC design (Catalog values); and (normalized) design Signature values (each row of the matrix being a vector for a single IC).
  • the covariance matrix of the matrix is calculated.
  • An SVD is performed on the covariance matrix.
  • a set of Pre-Si SVD signatures are defined/denoted by identifying vectors of the SVD for which the corresponding principal values of the SVD are greater than a pre-defined value (d) and/or identifying vectors of the SVD for which the corresponding principal values of the SVD are the greatest (maximal).
  • the plurality of distance values may be determined using the set of Pre-Si SVD signatures (specifically, if only Pre-Si data is used). For example, at least some of the plurality of distance values may be calculated, each of the at least some of the plurality of distance values being based on a distance between one of the Pre-Si SVD signatures and another of the Pre-Si SVD signatures. If Post-Si data is used, a plurality of estimators are configured (built) from the simulated sensor values and the set of Pre-Si SVD signatures. Each estimator is configured to generate an estimated SVD signature from input sensor values.
  • Each of the ICs (At Post-Si) and MCs (At Pre-Si) represented by its own SVD signatures values. Then the plurality of estimators are used to estimate, for each of the plurality of ICs, a respective Post-Si SVD signature from the plurality of collected sensor values. At least some of the plurality of distance values are calculated, wherein each of the at least some of the plurality of distance values is based on a distance between one of the Post-Si SVD signatures and another of the Post-Si SVD signatures.
  • the ICs are grouped based on their distances from one another (within the“radius”) to define the Families. Each Family may be identified by a representative vector (from the signatures).
  • the distance between the measured IC using the Post-Si data and a representative vector for each Family defined using Pre-Si data is also calculated.
  • further distance values of the plurality of distance values are calculated, each of the further distance values being based on a distance between one of the Pre-Si SVD signatures and one of the Post-Si SVD signatures.
  • the identification of one or more families, from the plurality of distance values may typically comprise: classifying a first IC of the plurality of ICs in a first family, such that the first family is defined by the first IC (in other words, the first IC analyzed may always define a new family, since no families have previously been defined and thus, the first IC represents the first family); comparing a distance value, from the plurality of distance values, representing a distance between the first IC and a second IC of the plurality of ICs with a predetermined threshold (for example, such that the distance value between the two ICs is either greater than or less than the threshold); if the distance value is less than the predetermined threshold, classify the second IC in the first family (because the distance between the two ICs is small, so that they are considered to be in the same family); and if the distance value is greater than the predetermined threshold, classify the second IC in a second family, such that the second family is defined by the second IC (in other words,
  • the identifying of the plurality of families, from the plurality of distance values may further comprise a procedure for each other IC of the plurality of ICs.
  • This procedure may proceed in the following way. Initially, a group of distance values, from the plurality of distance values, are identified. Each distance value in the group of distance values represents a distance between the other IC and a respective IC of the plurality of ICs that defines a respective family (in other words, the group of distance values may only be concerned with distance values between the other IC, which is the IC being classified, and each of the ICs that represents a family).
  • Each distance value from the group of distance values is then compared with the predetermined threshold (this is advantageously the same predetermined threshold as used for the first and second ICs and is beneficially the same for all ICs on the same wafer). A number of results are possible from this comparison. If a distance value from the group of distance values, which represents a distance between the other IC (that is the IC currently being classified) and a specific IC of the plurality of ICs that defines a specific family (that is, an IC that represents a family), is less than the predetermined threshold, the other IC is classified in the specific family (such that the two ICs are considered in the same family). This condition, if it is met at all, should only be met for one family.
  • the other IC is classified in a new family, such that the new family is defined by the other IC. This procedure is advantageously repeated for each unclassified IC (other IC) until all of the plurality of ICs have been classified.
  • the plurality of classification values may be based on one or more of: simulated sensor values determined from a simulation of the IC design (Pre-Si data); one or more design signatures; a plurality of training sensor values collected during a pre-production tape-out test; and measured sensor values for a batch of devices, which may include any number of ICs, a lot, many lots and/or the wafer (Post-Si data).
  • Post-Si data simulated sensor values determined from a simulation of the IC design
  • the plurality of classification values may be based on the collected sensor values for the wafer.
  • the identification of the classification scheme based on the plurality of classification values may be at least part of the step of comparing the plurality of collected sensor values to a classification scheme, thereby obtaining a classification for each tested IC.
  • the identification procedure may be followed first for the Pre-Si data (for instance, such that the plurality of classification values are based on simulated sensor values determined from a simulation of the IC design), resulting in Families being identified.
  • the step of identifying the classification scheme based on a plurality of classification values may take place before the step of comparing the plurality of collected sensor values to a classification scheme, thereby obtaining a classification for each tested IC (in particular using Post-Si data, especially based on a distance matrix and/or distance function, as described herein).
  • the comparing of the plurality of collected sensor values to a classification scheme may be implemented by following a further procedure (in the direct approach discussed above), as follows.
  • a collected data matrix representation may be established from the plurality of collected sensor values. Each row of the matrix representation comprises collected sensor values in respect of one of the plurality of ICs (such that the collected data matrix representation has the same format as the matrix representation discussed above).
  • a plurality of collected data distance values may be determined.
  • Each distance value represents a respective distance between one IC of the plurality of ICs and another IC of the plurality of ICs, with the plurality of ICs preferably including both simulated ICs (from the step of identifying) and actual ICs (from the collected data), considered as separate ICs (although the simulated ICs will normally be simulations of the actual ICs).
  • the distances between the plurality of the Post-Si ICs and/or the plurality of the Pre-Si ICs are thereby computed by the distances functions/matrix computed based on the Pre-Si data. From the plurality of distance values, each of the ICs may then be classified according to the classification scheme. This classifying advantageously follows the procedure discussed above (in the preceding two paragraphs).
  • the matrix representation may be normalized, for example prior to computing the covariance matrix from the matrix representation (in other words, the covariance matrix is computed from the normalized matrix representation). Normalization coefficients may be defined thereby. Where Pre- Si and Post-Si data are both used, collected data matrix representation may be normalized using the defined normalization coefficients (that is, from the Pre-Si data).
  • FIG. 12 there are shown example cycle-time measurement distributions for the devices formed on a wafer of FIG. 11, classified into families.
  • the ICs were profiled/classified into Families based on data from a post-Si agent. Family 2 is highlighted.
  • the measured cycle-time of each of the ICs is also presented in the plot, showing the distribution of cycle-time within the wafer.
  • the results of the profiling process are that different Families were created per the different Si type, that the cycle-time range of each Family is narrower than the cycle-time distribution range of the whole wafer (the full Si range). This further evidences that ICs were profiled based on physical Si parameters.
  • FIG. 12 there are shown example cycle-time measurement distributions for the devices formed on a wafer of FIG. 11, classified into families.
  • the ICs were profiled/classified into Families based on data from a post-Si agent. Family 2 is highlighted.
  • the measured cycle-time of each of the ICs is also presented in the plot, showing the
  • a histogram of differences between IDDQ measurements and the average value for the Family associated with the respective IC This illustrates the reduced IDDQ range within a Family.
  • the histogram shows the distribution relative to an average: for each of the ICs, the value is the difference between the IC measured IDDQ to family IDDQ-center in terms of o(IDDQ).
  • This histogram shows the typical distance of ICs to their family’s centers with respect to the IDDQ measurement. It was observed that the typical distance is not affected by temperature changes. Hence, the Families that were generated are invariant to the temperature which evidences that ICs were profiled based on physical Si parameters.
  • the outlier IC was identified by implementing the concept of Family correlation to a High-Coverage Measurement (HCM).
  • HCM High-Coverage Measurement
  • the HCM is IDDQ.
  • the outlier is IC_x which is one of the ICs that belongs to Family number-9.
  • IC_x passed the IDDQ test with respect to the full Si sample, i.e. IC_x measured IDDQ value is within the range of the full Si sample IDDQ distribution.
  • IC_x also passed the IDDQ test with respect to the IDDQ distribution of Wafer-A, i.e. its IDDQ measurement is within the IDDQ distribution of its own wafer.
  • IC_x was identified as an outlier with respect to the measured IDDQ distribution of Family number-9. From FIG. 13, it can be observed that the average sigma value of a Family-IDDQ that was generated by the profiling algorithm is around 0.15.
  • the distance for IC_x from the center of Familiy-9 (its own Family) is 1.55 sigma. Its distance, in terms of number of sigmas, is in the order of 10 (1.55/0.15). On that basis (having a distance that is at least a predetermined multiple of the average distance within the family), it was detected as an outlier.
  • nouns as common nouns, proper nouns, named nouns, and the/or like is not intended to imply that embodiments of the invention are limited to a single embodiment, and many configurations of the disclosed components can be used to describe some embodiments of the invention, while other configurations may be derived from these embodiments in different configurations.
  • circuits and physical structures are generally presumed, it is well recognized that in modem semiconductor design and fabrication, physical structures and circuits may be embodied in computer readable descriptive form suitable for use in subsequent design, test or fabrication stages as well as in resultant fabricated semiconductor integrated circuits. Accordingly, claims directed to traditional circuits or structures may, consistent with particular language thereof, read upon computer readable encodings and representations of same, whether embodied in media or combined with suitable reader facilities to allow fabrication, test, or design refinement of the corresponding circuits and/or structures. Structures and functionality presented as discrete components in the exemplary configurations may be implemented as a combined structure or component.
  • a computer readable medium includes at least disk, tape, or other magnetic, optical, semiconductor (e.g., flash memory cards, ROM), or electronic medium and a network, wireline, wireless or other communications medium.
  • Embodiments of the present invention may be used to fabricate, produce, and/or assemble integrated circuits and/or products based on integrated circuits.
  • the present invention may be a system, a method, and/or a computer program product.
  • the computer program product may include a computer readable storage medium (or media) having computer readable program instructions thereon for causing a processor to carry out aspects of the present invention.
  • the computer readable storage medium can be a tangible device that can retain and store instructions for use by an instruction execution device.
  • the computer readable storage medium may be, for example, but is not limited to, an electronic storage device, a magnetic storage device, an optical storage device, an electromagnetic storage device, a semiconductor storage device, or any suitable combination of the foregoing.
  • a non-exhaustive list of more specific examples of the computer readable storage medium includes the following: a portable computer diskette, a hard disk, a random access memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or Flash memory), a static random access memory (SRAM), a portable compact disc read-only memory (CD- ROM), a digital versatile disk (DVD), a memory stick, a floppy disk, a mechanically encoded device having instructions recorded thereon, and any suitable combination of the foregoing.
  • RAM random access memory
  • ROM read-only memory
  • EPROM or Flash memory erasable programmable read-only memory
  • SRAM static random access memory
  • CD- ROM compact disc read-only memory
  • DVD digital versatile disk
  • memory stick a floppy disk
  • any suitable combination of the foregoing includes the following: a portable computer diskette, a hard disk, a random access memory (RAM), a read-only memory (ROM), an eras
  • a computer readable storage medium is not to be construed as being transitory signals per se, such as radio waves or other freely propagating electromagnetic waves, electromagnetic waves propagating through a waveguide or other transmission media (e.g., light pulses passing through a fiber-optic cable), or electrical signals transmitted through a wire. Rather, the computer readable storage medium is a non-transient (i.e., not-volatile) medium.
  • Computer readable program instructions described herein can be downloaded to respective computing/processing devices from a computer readable storage medium or to an external computer or external storage device via a network, for example, the Internet, a local area network, a wide area network and/or a wireless network.
  • the network may comprise copper transmission cables, optical transmission fibers, wireless transmission, routers, firewalls, switches, gateway computers and/or edge servers.
  • a network adapter card or network interface in each computing/processing device receives computer readable program instructions from the network and forwards the computer readable program instructions for storage in a computer readable storage medium within the respective computing/processing device.
  • Computer readable program instructions for carrying out operations of the present invention may be assembler instructions, instruction-set-architecture (ISA) instructions, machine instructions, machine dependent instructions, microcode, firmware instructions, state-setting data, or either source code or object code written in any combination of one or more programming languages, including an object oriented programming language such as Java, Smalltalk, C++ or the like, and conventional procedural programming languages, such as the "C" programming language or similar programming languages.
  • the computer readable program instructions may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server.
  • the remote computer may be connected to the user's computer through any type of network, including a local area network (LAN) or a wide area network (WAN), or the connection may be made to an external computer (for example, through the Internet using an Internet Service Provider).
  • electronic circuitry including, for example, programmable logic circuitry, field-programmable gate arrays (FPGA), or programmable logic arrays (PLA) may execute the computer readable program instructions by utilizing state information of the computer readable program instructions to personalize the electronic circuitry, in order to perform aspects of the present invention.
  • These computer readable program instructions may be provided to a processor of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine, such that the instructions, which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks.
  • These computer readable program instructions may also be stored in a computer readable storage medium that can direct a computer, a programmable data processing apparatus, and/or other devices to function in a particular manner, such that the computer readable storage medium having instructions stored therein comprises an article of manufacture including instructions which implement aspects of the function/act specified in the flowchart and/or block diagram block or blocks.
  • the computer readable program instructions may also be loaded onto a computer, other programmable data processing apparatus, or other device to cause a series of operational steps to be performed on the computer, other programmable apparatus or other device to produce a computer implemented process, such that the instructions which execute on the computer, other programmable apparatus, or other device implement the functions/acts specified in the flowchart and/or block diagram block or blocks.
  • each block in the flowchart or block diagrams may represent a module, segment, or portion of instructions, which comprises one or more executable instructions for implementing the specified logical function(s).
  • the functions noted in the block may occur out of the order noted in the figures.
  • two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Mathematical Physics (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Computing Systems (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Computational Linguistics (AREA)
  • Biophysics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Probability & Statistics with Applications (AREA)
  • Computational Mathematics (AREA)
  • Algebra (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
EP19789206.0A 2018-04-16 2019-04-16 Profilierung einer integrierten schaltung und anomaliedetektion Pending EP3781958A4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862657986P 2018-04-16 2018-04-16
PCT/IL2019/050433 WO2019202595A1 (en) 2018-04-16 2019-04-16 Integrated circuit profiling and anomaly detection

Publications (2)

Publication Number Publication Date
EP3781958A1 true EP3781958A1 (de) 2021-02-24
EP3781958A4 EP3781958A4 (de) 2022-01-05

Family

ID=68239218

Family Applications (1)

Application Number Title Priority Date Filing Date
EP19789206.0A Pending EP3781958A4 (de) 2018-04-16 2019-04-16 Profilierung einer integrierten schaltung und anomaliedetektion

Country Status (8)

Country Link
US (2) US11762013B2 (de)
EP (1) EP3781958A4 (de)
JP (1) JP2021521646A (de)
KR (1) KR20200143699A (de)
CN (1) CN112262320A (de)
IL (1) IL277989B1 (de)
TW (1) TWI828676B (de)
WO (1) WO2019202595A1 (de)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116256624A (zh) 2017-11-15 2023-06-13 普罗泰克斯公司 集成电路裕度测量和故障预测设备
WO2019102467A1 (en) 2017-11-23 2019-05-31 Proteantecs Ltd. Integrated circuit pad failure detection
US11740281B2 (en) 2018-01-08 2023-08-29 Proteantecs Ltd. Integrated circuit degradation estimation and time-of-failure prediction using workload and margin sensing
WO2019135247A1 (en) 2018-01-08 2019-07-11 Proteantecs Ltd. Integrated circuit workload, temperature and/or sub-threshold leakage sensor
TWI828676B (zh) 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
US11132485B2 (en) 2018-06-19 2021-09-28 Proteantecs Ltd. Efficient integrated circuit simulation and testing
EP3903113A4 (de) 2018-12-30 2022-06-08 Proteantecs Ltd. Überwachung der e/a-integrität und -degradation integrierter schaltungen
TW202127252A (zh) 2019-12-04 2021-07-16 以色列商普騰泰克斯有限公司 記憶體裝置退化偵測
EP4139697A4 (de) 2020-04-20 2024-05-22 Proteantecs Ltd. Überwachung der verbindung zwischen chips
US11443092B2 (en) * 2020-05-11 2022-09-13 Synopsys, Inc. Defect weight formulas for analog defect simulation
TWI792086B (zh) * 2020-10-30 2023-02-11 友達光電股份有限公司 行動式設備診斷裝置及設備診斷資訊顯示方法
CN112698185B (zh) * 2020-12-31 2023-07-21 海光信息技术股份有限公司 器件窗口检验方法、装置、设备和存储介质
TWI778683B (zh) * 2021-06-24 2022-09-21 英業達股份有限公司 基於產品配置訊息以提供測試時間預估建議系統及其方法
CN115308563A (zh) * 2021-07-02 2022-11-08 台湾积体电路制造股份有限公司 测试集成电路的方法和测试系统
CN113641882A (zh) * 2021-07-06 2021-11-12 深圳天狼芯半导体有限公司 确定工艺角晶片的方法、装置、电子设备及可读存储介质
US12007428B2 (en) 2021-10-08 2024-06-11 Advantest Corporation Systems and methods for multidimensional dynamic part average testing
US11815551B1 (en) 2022-06-07 2023-11-14 Proteantecs Ltd. Die-to-die connectivity monitoring using a clocked receiver
US12013800B1 (en) 2023-02-08 2024-06-18 Proteantecs Ltd. Die-to-die and chip-to-chip connectivity monitoring
CN116581043B (zh) * 2023-04-20 2023-12-12 深圳市晶存科技有限公司 芯片分类方法、装置、电子设备及计算机可读存储介质

Family Cites Families (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3001512A (en) 1960-04-01 1961-09-26 John D Cochin Packaged vehicle lift
JPS5994086A (ja) 1982-11-19 1984-05-30 Advantest Corp 論理回路試験装置
US5548539A (en) 1993-11-05 1996-08-20 Analogy, Inc. Analysis mechanism for system performance simulator
AU685950B2 (en) 1994-06-25 1998-01-29 Panalytical B.V. Analysing a material sample
US5818251A (en) 1996-06-11 1998-10-06 National Semiconductor Corporation Apparatus and method for testing the connections between an integrated circuit and a printed circuit board
US5966527A (en) 1996-10-28 1999-10-12 Advanced Micro Devices, Inc. Apparatus, article of manufacture, method and system for simulating a mass-produced semiconductor device behavior
US5956497A (en) 1997-02-26 1999-09-21 Advanced Micro Devices, Inc. Methodology for designing an integrated circuit using a reduced cell library for preliminary synthesis
US5774403A (en) 1997-06-12 1998-06-30 Hewlett-Packard PVT self aligning internal delay line and method of operation
US6182253B1 (en) 1997-07-16 2001-01-30 Tanisys Technology, Inc. Method and system for automatic synchronous memory identification
US5895629A (en) 1997-11-25 1999-04-20 Science & Technology Corp Ring oscillator based chemical sensor
JP4204685B2 (ja) 1999-01-19 2009-01-07 株式会社ルネサステクノロジ 同期型半導体記憶装置
JP2001075671A (ja) 1999-09-08 2001-03-23 Nec Corp 位相補償回路
US7067335B2 (en) 2000-08-25 2006-06-27 Kla-Tencor Technologies Corporation Apparatus and methods for semiconductor IC failure detection
JP3597786B2 (ja) 2001-02-16 2004-12-08 Necマイクロシステム株式会社 半導体集積回路の異常検出回路および異常検出装置
US6873926B1 (en) 2001-02-27 2005-03-29 Cisco Technology, Inc. Methods and apparatus for testing a clock signal
US8417477B2 (en) * 2001-05-24 2013-04-09 Test Acuity Solutions, Inc. Methods and apparatus for local outlier detection
US7003742B2 (en) * 2002-01-10 2006-02-21 Pdf Solutions, Inc. Methodology for the optimization of testing and diagnosis of analog and mixed signal ICs and embedded cores
US7076678B2 (en) 2002-02-11 2006-07-11 Micron Technology, Inc. Method and apparatus for data transfer
US6882172B1 (en) 2002-04-16 2005-04-19 Transmeta Corporation System and method for measuring transistor leakage current with a ring oscillator
US6880136B2 (en) 2002-07-09 2005-04-12 International Business Machines Corporation Method to detect systematic defects in VLSI manufacturing
US6807503B2 (en) * 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US6683484B1 (en) 2002-12-19 2004-01-27 Lsi Logic Corporation Combined differential and single-ended input buffer
US7369893B2 (en) 2004-12-01 2008-05-06 Medtronic, Inc. Method and apparatus for identifying lead-related conditions using prediction and detection criteria
US7512503B2 (en) 2003-05-12 2009-03-31 Simmonds Precision Products, Inc. Wire fault detection
US7254507B2 (en) 2003-08-27 2007-08-07 Matsushita Electric Industrial Co., Ltd. Analog circuit automatic calibration system
US8073667B2 (en) * 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US7742887B2 (en) 2003-11-24 2010-06-22 Qualcomm Incorporated Identifying process and temperature of silicon chips
US6948388B1 (en) 2003-12-18 2005-09-27 The United States Of America As Represented By The Secretary Of The Navy Wireless remote sensor
US20050134350A1 (en) 2003-12-19 2005-06-23 Huang Lawrence L. Analog delay circuit
US7205854B2 (en) 2003-12-23 2007-04-17 Intel Corporation On-chip transistor degradation monitoring
GB0403481D0 (en) * 2004-02-17 2004-03-24 Transense Technologies Plc Interrogation method for passive sensor monitoring system
US20060049886A1 (en) 2004-09-08 2006-03-09 Agostinelli Victor M Jr On-die record-of-age circuit
US7443189B2 (en) 2005-02-02 2008-10-28 Texas Instruments Incorporated Method to detect and predict metal silicide defects in a microelectronic device during the manufacture of an integrated circuit
US7501832B2 (en) 2005-02-28 2009-03-10 Ridgetop Group, Inc. Method and circuit for the detection of solder-joint failures in a digital electronic package
KR100703976B1 (ko) 2005-08-29 2007-04-06 삼성전자주식회사 동기식 메모리 장치
CN101253686B (zh) 2005-09-02 2010-12-29 松下电器产业株式会社 半导体集成电路
US20070110199A1 (en) 2005-11-15 2007-05-17 Afshin Momtaz Receive equalizer with adaptive loops
US20070182456A1 (en) 2005-11-21 2007-08-09 Texas Instruments Incorporated Reducing Pin Count When the Digital Output is to be Provided in Differential or Single-ended Form
JP4843034B2 (ja) 2006-06-09 2011-12-21 富士通株式会社 温度センサ用リングオシレータ、温度センサ回路及びこれを備える半導体装置
DE07792273T1 (de) 2006-08-24 2010-01-07 Nec Corp. Ausfallvorhersageschaltung und verfahren und integrierte halbleiterschaltung
US7649559B2 (en) 2006-08-30 2010-01-19 Aptina Imaging Corporation Amplifier offset cancellation devices, systems, and methods
JP2008072045A (ja) 2006-09-15 2008-03-27 Oki Electric Ind Co Ltd 半導体集積回路
US20080231310A1 (en) 2006-10-20 2008-09-25 Stmicroelectronics Pvt. Ltd. Flexible on chip testing circuit for i/o's characterization
JP2008147245A (ja) 2006-12-06 2008-06-26 Toshiba Corp 劣化診断回路及び半導体集積回路
US20080144243A1 (en) 2006-12-19 2008-06-19 Ridgetop Group, Inc. Method and circuit for low-power detection of solder-joint network failures in digital electronic packages
US7415387B2 (en) * 2006-12-19 2008-08-19 Qimonda North America Corp. Die and wafer failure classification system and method
US7474974B2 (en) 2007-01-31 2009-01-06 Mcgill University Embedded time domain analyzer for high speed circuits
US7936153B2 (en) 2007-02-06 2011-05-03 International Business Machines Corporation On-chip adaptive voltage compensation
US7560945B2 (en) 2007-02-06 2009-07-14 International Business Machines Corporation Integrated circuit failure prediction
US7877657B1 (en) 2007-03-29 2011-01-25 Integrated Device Technology, Inc. Look-ahead built-in self tests
US20080262769A1 (en) 2007-04-23 2008-10-23 Daniel Kadosh Using multivariate health metrics to determine market segment and testing requirements
US8310265B2 (en) 2007-05-02 2012-11-13 Nxp B.V. IC testing methods and apparatus
EP2006784A1 (de) 2007-06-22 2008-12-24 Interuniversitair Microelektronica Centrum vzw Verfahren zur Charakterisierung elektronischer Schaltungen unter Prozessvariabilitätseffekten
US8001512B1 (en) * 2007-06-26 2011-08-16 Cadence Design Systems, Inc. Method and system for implementing context simulation
JP5242959B2 (ja) 2007-07-11 2013-07-24 シャープ株式会社 異常要因特定方法およびシステム、上記異常要因特定方法をコンピュータに実行させるためのプログラム、並びに上記プログラムを記録したコンピュータ読み取り可能な記録媒体
US8010310B2 (en) * 2007-07-27 2011-08-30 Advanced Micro Devices, Inc. Method and apparatus for identifying outliers following burn-in testing
JP2009065533A (ja) 2007-09-07 2009-03-26 Renesas Technology Corp ジッタ検出回路及び半導体装置
US8279976B2 (en) 2007-10-30 2012-10-02 Rambus Inc. Signaling with superimposed differential-mode and common-mode signals
JP4977045B2 (ja) 2008-01-16 2012-07-18 株式会社東芝 半導体集積回路及び半導体装置
US8912990B2 (en) 2008-04-21 2014-12-16 Apple Inc. Display having a transistor-degradation circuit
US8499230B2 (en) 2008-05-07 2013-07-30 Lsi Corporation Critical path monitor for an integrated circuit and method of operation thereof
US8204730B2 (en) 2008-06-06 2012-06-19 Synopsys, Inc. Generating variation-aware library data with efficient device mismatch characterization
KR101226404B1 (ko) 2008-06-09 2013-01-24 가부시키가이샤 어드밴티스트 반도체 집적 회로 및 시험 장치
US8086978B2 (en) 2008-06-20 2011-12-27 Cadence Design Systems, Inc. Method and system for performing statistical leakage characterization, analysis, and modeling
US7701246B1 (en) 2008-07-17 2010-04-20 Actel Corporation Programmable delay line compensated for process, voltage, and temperature
US20100153896A1 (en) 2008-12-12 2010-06-17 Lsi Corporation Real-time critical path margin violation detector, a method of monitoring a path and an ic incorporating the detector or method
US8138840B2 (en) 2009-01-23 2012-03-20 International Business Machines Corporation Optimal dithering of a digitally controlled oscillator with clock dithering for gain and bandwidth control
EP2382711A4 (de) 2009-01-27 2013-10-09 Agere Systems Inc Kritische pfadschaltung für leistungsüberwachung
US8365115B2 (en) 2009-03-06 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for performance modeling of integrated circuits
US8547131B2 (en) 2009-04-03 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for observing threshold voltage variations
US8417754B2 (en) 2009-05-11 2013-04-09 Empire Technology Development, Llc Identification of integrated circuits
US8825158B2 (en) 2009-08-25 2014-09-02 Lamda Nu, Llc Method and apparatus for detection of lead conductor anomalies using dynamic electrical parameters
US8271931B2 (en) 2009-10-20 2012-09-18 Synopsys, Inc. Integrated circuit optimization modeling technology
US8154353B2 (en) 2009-11-03 2012-04-10 Arm Limited Operating parameter monitor for an integrated circuit
US8228106B2 (en) 2010-01-29 2012-07-24 Intel Mobile Communications GmbH On-chip self calibrating delay monitoring circuitry
EP2567217B1 (de) * 2010-05-03 2019-07-03 Aurora Solar Technologies (Canada) Inc. Kontaktfreie messung des dotierungsmittelanteils von halbleiterschichten
JP5418408B2 (ja) 2010-05-31 2014-02-19 富士通株式会社 シミュレーションパラメータ校正方法、装置及びプログラム
JP2012007978A (ja) 2010-06-24 2012-01-12 On Semiconductor Trading Ltd 半導体集積回路
EP2413150A1 (de) 2010-07-30 2012-02-01 Imec On-chip-Prüfung mit Zeit-Digital-Wandlung
US8384430B2 (en) 2010-08-16 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. RC delay detectors with high sensitivity for through substrate vias
US8418103B2 (en) 2010-09-23 2013-04-09 Synopsys, Inc. Nonlinear approach to scaling circuit behaviors for electronic design automation
US8664968B2 (en) 2010-09-24 2014-03-04 Texas Instruments Incorporated On-die parametric test modules for in-line monitoring of context dependent effects
US8633722B1 (en) 2010-09-29 2014-01-21 Xilinx, Inc. Method and circuit for testing accuracy of delay circuitry
US8422303B2 (en) 2010-12-22 2013-04-16 HGST Netherlands B.V. Early degradation detection in flash memory using test cells
US20120187991A1 (en) 2011-01-25 2012-07-26 Advanced Micro Devices, Inc. Clock stretcher for voltage droop mitigation
US9618569B2 (en) 2011-02-23 2017-04-11 Marvell Israel (M.I.S.L) Ltd. Method and apparatus for testing IC
US8471567B2 (en) 2011-02-25 2013-06-25 Raytheon Company Circuit for detection of failed solder-joints on array packages
US8847777B2 (en) 2011-03-25 2014-09-30 Apple Inc. Voltage supply droop detector
WO2013027739A1 (ja) 2011-08-24 2013-02-28 日本電気株式会社 劣化診断回路および劣化診断方法
US9448125B2 (en) 2011-11-01 2016-09-20 Nvidia Corporation Determining on-chip voltage and temperature
CN103310028B (zh) 2012-03-07 2017-08-15 飞思卡尔半导体公司 考虑器件老化的设计集成电路的方法
KR101996292B1 (ko) 2012-03-30 2019-07-05 에스케이하이닉스 주식회사 클럭 생성 회로
KR102048398B1 (ko) 2012-05-04 2019-11-26 온세미컨덕터코리아 주식회사 스위치 제어기, 스위치 제어 방법 및 스위치 제어기를 포함하는 전력 공급 장치
US8896978B2 (en) 2012-06-15 2014-11-25 Texas Instruments Incorporated Integrated circuit with automatic deactivation upon exceeding a specific ion linear energy transfer (LET) value
US9714966B2 (en) 2012-10-05 2017-07-25 Texas Instruments Incorporated Circuit aging sensor
US9329229B2 (en) 2012-11-15 2016-05-03 Freescale Semiconductors, Inc. Integrated circuit with degradation monitoring
US9110134B2 (en) 2012-12-27 2015-08-18 Intel Corporation Input/output delay testing for devices utilizing on-chip delay generation
US9494649B2 (en) 2012-12-31 2016-11-15 Advanced Micro Devices, Inc. Adaptive digital delay line for characterization of clock uncertainties
US10020931B2 (en) 2013-03-07 2018-07-10 Intel Corporation Apparatus for dynamically adapting a clock generator with respect to changes in power supply
US9267988B2 (en) 2013-03-14 2016-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip eye diagram capture
US9702769B2 (en) * 2013-06-11 2017-07-11 Intel Corporation Self-calibrated thermal sensors of an integrated circuit die
US9500705B2 (en) 2013-08-28 2016-11-22 Wisconsin Alumni Research Foundation Integrated circuit providing fault prediction
US9222971B2 (en) 2013-10-30 2015-12-29 Freescale Semiconductor, Inc. Functional path failure monitor
US9411668B2 (en) 2014-01-14 2016-08-09 Nvidia Corporation Approach to predictive verification of write integrity in a memory driver
KR20150096197A (ko) 2014-02-14 2015-08-24 삼성전자주식회사 반도체 집적회로의 누설전류 측정 회로
CN103856952A (zh) * 2014-02-27 2014-06-11 上海大学 一种工业无线传感器网络Pareto多目标部署优化方法
JP6526695B6 (ja) * 2014-03-10 2019-06-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 多重荷電粒子ビームリソグラフィのためのピクセルブレンディング
US10290092B2 (en) * 2014-05-15 2019-05-14 Applied Materials Israel, Ltd System, a method and a computer program product for fitting based defect detection
JP2016005085A (ja) 2014-06-16 2016-01-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置およびそれを用いる電子装置の製造方法
KR102232922B1 (ko) 2014-08-11 2021-03-29 삼성전자주식회사 쓰기 보조 회로를 포함하는 스태틱 랜덤 액세스 메모리 장치
JP2016057820A (ja) 2014-09-09 2016-04-21 株式会社東芝 半導体装置及び半導体装置のテスト方法
TWI565211B (zh) 2014-09-12 2017-01-01 Alpha And Omega Semiconductor (Cayman) Ltd Constant on-time switching converter means
JP6481307B2 (ja) 2014-09-24 2019-03-13 株式会社ソシオネクスト アナログデジタル変換器、半導体集積回路、及びアナログデジタル変換方法
TWI524079B (zh) 2014-10-15 2016-03-01 旺宏電子股份有限公司 晶片對資料庫的接觸窗檢測方法
US10067000B2 (en) 2014-12-01 2018-09-04 Mediatek Inc. Inverter and ring oscillator with high temperature sensitivity
US10026712B2 (en) 2014-12-02 2018-07-17 Texas Instruments Incorporated ESD protection circuit with stacked ESD cells having parallel active shunt
KR102280526B1 (ko) 2014-12-08 2021-07-21 삼성전자주식회사 저전력 작은-면적 고속 마스터-슬레이브 플립-플롭 회로와, 이를 포함하는 장치들
US9760672B1 (en) 2014-12-22 2017-09-12 Qualcomm Incorporated Circuitry and method for critical path timing speculation to enable process variation compensation via voltage scaling
US20160203036A1 (en) * 2015-01-09 2016-07-14 Ecorithm, Inc. Machine learning-based fault detection system
US9424952B1 (en) 2015-02-07 2016-08-23 The Trustees Of Columbia University In The City Of New York Circuits, methods, and media for detecting and countering aging degradation in memory cells
US9564883B1 (en) 2015-04-13 2017-02-07 Qualcomm Incorporated Circuitry and method for timing speculation via toggling functional critical paths
US9564884B1 (en) 2015-04-13 2017-02-07 Qualcomm Incorporated Circuitry and method for measuring negative bias temperature instability (NBTI) and hot carrier injection (HCI) aging effects using edge sensitive sampling
US9536038B1 (en) 2015-04-13 2017-01-03 Qualcomm Incorporated Method and algorithm for functional critical paths selection and critical path sensors and controller insertion
US9490787B1 (en) 2015-06-11 2016-11-08 Infineon Technologies Ag System and method for integrated circuit clock distribution
US9991879B2 (en) 2015-11-23 2018-06-05 Mediatek Inc. Apparatus for detecting variation in transistor threshold voltage
US9997551B2 (en) 2015-12-20 2018-06-12 Apple Inc. Spad array with pixel-level bias control
US10527503B2 (en) 2016-01-08 2020-01-07 Apple Inc. Reference circuit for metrology system
US10161967B2 (en) 2016-01-09 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip oscilloscope
US9843439B2 (en) 2016-01-27 2017-12-12 Ciena Corporation System and method for managing holdover
US10296076B2 (en) 2016-05-16 2019-05-21 Qualcomm Incorporated Supply voltage droop management circuits for reducing or avoiding supply voltage droops
US10635159B2 (en) 2016-05-27 2020-04-28 Qualcomm Incorporated Adaptive voltage modulation circuits for adjusting supply voltage to reduce supply voltage droops and minimize power consumption
US20170364818A1 (en) * 2016-06-17 2017-12-21 Business Objects Software Ltd. Automatic condition monitoring and anomaly detection for predictive maintenance
JP6738682B2 (ja) 2016-08-01 2020-08-12 日本ルメンタム株式会社 光送受信器、光送信集積回路及び光受信集積回路
US10382014B2 (en) 2016-12-23 2019-08-13 Ati Technologies Ulc Adaptive oscillator for clock generation
US9791834B1 (en) 2016-12-28 2017-10-17 Intel Corporation Fast digital to time converter linearity calibration to improve clock jitter performance
EP3575892B1 (de) 2017-01-27 2022-05-11 Mitsubishi Power, Ltd. Vorrichtung zur modellparameterschätzung und schätzungsverfahren, programm, aufzeichnungsmedium mit darauf aufgezeichnetem programm und system zur modellparameterschätzung
CN106959400B (zh) * 2017-02-28 2020-03-31 中国南方电网有限责任公司 一种基于异常点监测和大数据分析的二次设备隐患故障诊断方法
US10380879B2 (en) 2017-06-14 2019-08-13 Allegro Microsystems, Llc Sensor integrated circuits and methods for safety critical applications
CN107451004B (zh) * 2017-07-01 2020-07-31 南京理工大学 一种基于定性趋势分析的道岔故障诊断方法
JP6916441B2 (ja) 2017-10-19 2021-08-11 株式会社ソシオネクスト 半導体集積回路及び呼吸運動検査装置
CN116256624A (zh) 2017-11-15 2023-06-13 普罗泰克斯公司 集成电路裕度测量和故障预测设备
WO2019102467A1 (en) 2017-11-23 2019-05-31 Proteantecs Ltd. Integrated circuit pad failure detection
WO2019135247A1 (en) 2018-01-08 2019-07-11 Proteantecs Ltd. Integrated circuit workload, temperature and/or sub-threshold leakage sensor
US10530347B2 (en) 2018-03-23 2020-01-07 Sandisk Technologies Llc Receiver-side setup and hold time calibration for source synchronous systems
US10840322B2 (en) 2018-03-29 2020-11-17 Texas Instruments Incorporated Thin film resistor and top plate of capacitor sharing a layer
TWI828676B (zh) 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
CN108534866A (zh) 2018-06-06 2018-09-14 珠海市洁源电器有限公司 一种可隔空监测液位的高灵敏度高精度电子液位感应器
US11132485B2 (en) 2018-06-19 2021-09-28 Proteantecs Ltd. Efficient integrated circuit simulation and testing
US10587250B2 (en) 2018-07-18 2020-03-10 Qualcomm Incorporated Current-starving in tunable-length delay (TLD) circuits employable in adaptive clock distribution (ACD) systems for compensating supply voltage droops in integrated circuits (ICs)
US10490547B1 (en) 2018-08-03 2019-11-26 Texas Instruments Incorporated IC with larger and smaller width contacts
US10509104B1 (en) 2018-08-13 2019-12-17 Analog Devices Global Unlimited Company Apparatus and methods for synchronization of radar chips
US20200203333A1 (en) 2018-12-21 2020-06-25 Texas Instruments Incorporated Vertical bipolar transistor for esd protection and method for fabricating
EP3903113A4 (de) 2018-12-30 2022-06-08 Proteantecs Ltd. Überwachung der e/a-integrität und -degradation integrierter schaltungen
WO2020230130A1 (en) 2019-05-13 2020-11-19 Proteantecs Ltd. Determination of unknown bias and device parameters of integrated circuits by measurement and simulation
WO2021019539A1 (en) 2019-07-29 2021-02-04 Proteantecs Ltd. On-die thermal sensing network for integrated circuits
US11409323B2 (en) 2019-12-20 2022-08-09 Arm Limited Delay monitoring scheme for critical path timing margin
WO2021214562A1 (en) 2020-04-20 2021-10-28 Proteantecs Ltd. Die-to-die connectivity monitoring
US11081193B1 (en) 2020-06-16 2021-08-03 Sandisk Technologies Llc Inverter based delay chain for calibrating data signal to a clock
JP2023532942A (ja) 2020-07-06 2023-08-01 プロティーンテックス リミテッド 構造試験のための集積回路マージン測定
US20230098071A1 (en) 2021-09-17 2023-03-30 Synopsys, Inc. Functional safety mechanisms for input/output (io) cells

Also Published As

Publication number Publication date
TW201945923A (zh) 2019-12-01
IL277989B1 (en) 2024-04-01
KR20200143699A (ko) 2020-12-24
IL277989A (en) 2020-11-30
CN112262320A (zh) 2021-01-22
US11762013B2 (en) 2023-09-19
TWI828676B (zh) 2024-01-11
US20240003968A1 (en) 2024-01-04
WO2019202595A1 (en) 2019-10-24
JP2021521646A (ja) 2021-08-26
EP3781958A4 (de) 2022-01-05
US20210173007A1 (en) 2021-06-10

Similar Documents

Publication Publication Date Title
US20240003968A1 (en) Integrated circuit profiling and anomaly detection
US20220012395A1 (en) Efficient integrated circuit simulation and testing
US7656182B2 (en) Testing method using a scalable parametric measurement macro
US20080262769A1 (en) Using multivariate health metrics to determine market segment and testing requirements
US20140214354A1 (en) System and method of detection and analysis for semiconductor condition prediction
US10474774B2 (en) Power and performance sorting of microprocessors from first interconnect layer to wafer final test
CN108694273B (zh) 用于评估稀有失效事件的电路良率分析方法及系统
Reda et al. Analyzing the impact of process variations on parametric measurements: Novel models and applications
Liu et al. Fine-grained adaptive testing based on quality prediction
Yilmaz et al. Adaptive multidimensional outlier analysis for analog and mixed signal circuits
Dong et al. Wafer yield prediction using derived spatial variables
Biswas et al. Reducing test execution cost of integrated, heterogeneous systems using continuous test data
Yelten et al. Scalable and efficient analog parametric fault identification
US8448110B2 (en) Method to reduce delay variation by sensitivity cancellation
Huang et al. Towards smarter diagnosis: A learning-based diagnostic outcome previewer
Shintani et al. A variability-aware adaptive test flow for test quality improvement
US20170212165A1 (en) Resistance measurement-dependent integrated circuit chip reliability estimation
US8190391B2 (en) Determining die performance by incorporating neighboring die performance metrics
Wang Data learning based diagnosis
Daasch et al. Statistics in semiconductor test: Going beyond yield
Helinski et al. Electronic forensic techniques for manufacturer attribution
Santhana Krishnan et al. Recycled integrated circuit detection using reliability analysis and machine learning algorithms
Krishnan et al. A robust metric for screening outliers from analogue product manufacturing tests responses
Eiki et al. Improving Efficiency and Robustness of Gaussian Process Based Outlier Detection via Ensemble Learning
Ahmadi Applications of Machine Learning in Test Cost Reduction, Yield Estimation and Fab-Of-Origin Attestation of Integrated Circuits

Legal Events

Date Code Title Description
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE INTERNATIONAL PUBLICATION HAS BEEN MADE

PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: REQUEST FOR EXAMINATION WAS MADE

17P Request for examination filed

Effective date: 20201116

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

DAV Request for validation of the european patent (deleted)
DAX Request for extension of the european patent (deleted)
A4 Supplementary search report drawn up and despatched

Effective date: 20211203

RIC1 Information provided on ipc code assigned before grant

Ipc: G06N 20/00 20190101ALI20211129BHEP

Ipc: G06N 3/00 20060101ALI20211129BHEP

Ipc: G06N 7/00 20060101ALI20211129BHEP

Ipc: G01R 31/28 20060101ALI20211129BHEP

Ipc: G01R 31/317 20060101AFI20211129BHEP

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: EXAMINATION IS IN PROGRESS

17Q First examination report despatched

Effective date: 20230630