CN115308563A - 测试集成电路的方法和测试系统 - Google Patents

测试集成电路的方法和测试系统 Download PDF

Info

Publication number
CN115308563A
CN115308563A CN202110752449.3A CN202110752449A CN115308563A CN 115308563 A CN115308563 A CN 115308563A CN 202110752449 A CN202110752449 A CN 202110752449A CN 115308563 A CN115308563 A CN 115308563A
Authority
CN
China
Prior art keywords
integrated circuit
design
circuit design
burn
thermal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110752449.3A
Other languages
English (en)
Inventor
安基达·帕帝达
桑迪·库马·戈埃尔
李云汉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiji Telecom Nanjing Co ltd
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiji Telecom Nanjing Co ltd
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiji Telecom Nanjing Co ltd, Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiji Telecom Nanjing Co ltd
Priority to CN202110752449.3A priority Critical patent/CN115308563A/zh
Priority to US17/393,232 priority patent/US11879933B2/en
Priority to DE102021120345.7A priority patent/DE102021120345A1/de
Priority to KR1020220003424A priority patent/KR20230006375A/ko
Priority to TW111103018A priority patent/TWI792887B/zh
Publication of CN115308563A publication Critical patent/CN115308563A/zh
Priority to US18/521,432 priority patent/US20240094281A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/286External aspects, e.g. related to chambers, contacting devices or handlers
    • G01R31/2868Complete testing stations; systems; procedures; software aspects
    • G01R31/287Procedures; Software aspects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2872Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation
    • G01R31/2874Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation related to temperature
    • G01R31/2875Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation related to temperature related to heating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2886Features relating to contacting the IC under test, e.g. probe heads; chucks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31721Power aspects, e.g. power supplies for test circuits, power saving during test
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2856Internal circuit aspects, e.g. built-in test features; Test chips; Measuring material aspects, e.g. electro migration [EM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Environmental & Geological Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本申请涉及测试集成电路的方法和测试系统。一种在测试电路板上测试集成电路的方法,包括:由处理器执行对整个集成电路设计中的第一热分布的仿真;根据集成电路设计来制造集成电路;以及同时执行对集成电路的老化测试和对集成电路的自动化测试。老化测试具有集成电路的最低老化温度和集成电路上的老化热分布。集成电路设计对应于集成电路。集成电路耦合到测试电路板。集成电路包括电路块集合和第一加热器集合。

Description

测试集成电路的方法和测试系统
技术领域
本公开涉及半导体领域,具体而言涉及测试集成电路的方法和测试系统。
背景技术
使集成电路(IC)小型化的最新趋势已产生了消耗较低功率但以较高速度提供功能性的较小器件。小型化工艺还产生了更严格的设计和制造规范。各种电子设计自动化(EDA)工具生成、优化和验证半导体器件的设计,同时确保满足设计和制造规范。然而,测试半导体器件是耗时的过程。
发明内容
根据本公开的第一方面,提供了一种在测试电路板上测试集成电路的方法,所述集成电路包括电路块集合和第一加热器集合,所述方法包括:由处理器执行对整个集成电路设计中的第一热量分布的仿真,所述集成电路设计被配置为以仿真设计功率水平进行操作并且生成所述第一热量分布,并且所述集成电路设计与所述集成电路相对应;根据所述集成电路设计来制造所述集成电路;以及同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试,所述集成电路被配置为根据所述仿真设计功率水平进行操作,并且所述集成电路耦合到所述测试电路板,其中,所述老化测试具有所述集成电路的最低老化温度和所述集成电路上的老化热分布。
根据本公开的第二方面,提供了一种在测试电路板上测试集成电路的方法,所述方法包括:由处理器执行对整个集成电路设计中的第一热分布的仿真,所述集成电路设计包括电路块集合和加热器集合,所述集成电路设计被配置为以仿真设计功率水平进行操作并且生成所述第一热分布,所述仿真设计功率水平包括配置功率信息,并且执行所述仿真包括:根据所述集成电路设计中所包括的电路块集合中的每个电路块和加热器集合中的每个加热器的配置功率信息和位置信息,来确定所述集成电路设计的热量特征,所述热量特征包括在整个所述集成电路设计中所分布的热量值;确定所述集成电路设计的热量特征的热量值是否在所述集成电路设计的热量范围内;以及响应于确定所述集成电路设计的热量特征的热量值不在所述热量范围内,修改所述集成电路设计;以及根据所述集成电路设计来制造集成电路。
根据本公开的第三方面,提供了一种测试系统,包括:集成电路;测试电路板,所述测试电路板耦合到所述集成电路;载体晶圆,所述载体晶圆至少耦合到所述集成电路或所述测试电路板;以及第一系统,所述第一系统电气地耦合到所述集成电路,所述第一系统包括:非暂时性计算机可读介质,所述非暂时性计算机可读介质被配置为存储可执行指令;以及处理器,所述处理器耦合到所述非暂时性计算机可读介质,其中,所述处理器被配置为执行所述可执行指令,以进行以下操作:执行对整个集成电路设计中的第一热分布的仿真,所述集成电路设计被配置为以仿真设计功率进行操作并且生成所述第一热量分布,并且所述集成电路设计与所述集成电路相对应;其中,所述测试系统被配置为同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试,所述集成电路被配置为根据所述仿真设计功率水平进行操作,其中,所述老化测试具有所述集成电路的最低老化温度和整个所述集成电路上的老化热分布。
附图说明
当结合附图进行阅读时,通过以下详细描述可最佳地理解本公开的各个方面。要注意的是,根据行业的标准惯例,各种特征并未按比例绘制。事实上,为了讨论的清楚,各种特征的尺寸可能被任意地增大或缩小。
图1是根据一些实施例的系统的框图。
图2是根据一些实施例的晶圆的图。
图3是根据一些实施例的载体晶圆的图。
图4是根据一些实施例的测试集成电路的方法的流程图。
图5是根据一些实施例的方法的流程图。
图6是根据一些实施例的表格。
图7是根据一些实施例的集成电路设计的框图。
图8是根据一些实施例的确定集成电路设计的热量特征(heat signature)的方法的流程图。
图9A是根据一些实施例的功率图的图。
图9B是根据一些实施例的集成电路设计的框图。
图9C是根据一些实施例的热量图的图。
图10是根据一些实施例的修改集成电路设计的方法的流程图。
图11A是根据一些实施例的功率图和集成电路设计的图。
图11B是根据一些实施例的功率图和集成电路设计的图。
图11C是根据一些实施例的功率图和集成电路设计的图。
图12是根据一些实施例的同时执行对集成电路的老化测试(burn-in test)和对集成电路的自动化测试的方法的流程图。
图13是根据一些实施例的加热器的截面图。
图14是根据一些实施例的用于设计IC布局设计、仿真IC设计以及制造IC电路的系统的示意图。
图15是根据本公开的至少一个实施例的IC制造系统以及与其相关联的IC制造流程的框图。
具体实施方式
下面的公开内容提供了用于实现所要求保护的主题的特征的不同的实施例或示例。下面描述了组件、材料、值、步骤或布置等的具体示例以简化本公开。当然,这些仅仅是示例而不是限制性的。考虑了其他组件、材料、值、步骤或布置等。例如,在下面的描述中在第二特征之上或上形成第一特征可以包括其中第一特征和第二特征以直接接触方式形成的实施例,还可以包括可以在第一特征和第二特征之间形成附加特征,使得第一特征和第二特征可以不直接接触的实施例。此外,本公开可以在各个示例中重复附图标记和/或字母。这种重复是为了简单和清楚的目的,并且本身并不指示所讨论的各个实施例和/或配置之间的关系。
此外,本文可以使用空间相关术语(例如,“之下”、“下方”、“下”、“上方”、“上”等)以易于描述图中所示的一个要素或特征相对于另外(一个或多个)要素或(一个或多个)特征的关系。这些空间相关术语意在涵盖器件在使用或操作中的除了图中所示的定向之外的不同定向。装置可以以其他方式定向(旋转90度或以其他定向),本文使用的空间相关描述符也可以被相应地解释。
根据一些实施例,一种测试集成电路的方法包括:执行对整个集成电路设计中的第一热分布的仿真;根据集成电路设计来制造集成电路;以及同时执行对集成电路进行老化测试和对集成电路的自动化测试。
在一些实施例中,通过同时执行对集成电路的老化测试和对集成电路的自动化测试,测试集成电路的方法具有比在自动化测试之后执行老化测试的其他方法更短的测试时间。在一些实施例中,在检测到集成电路的故障之后,停止老化测试,从而减少老化测试时间。
系统
图1是根据一些实施例的系统100的框图。
在一些实施例中,系统100是被配置为测试晶圆102的测试系统。在一些实施例中,系统100被配置为测试集成电路201(如图2所示)。
系统100包括晶圆102,该晶圆102耦合到测试电路板104和载体晶圆106中的每一个。晶圆102包括多个集成电路201(如图2所示)。
测试电路板104被配置为执行对晶圆102的一个或多个测试。测试电路板104电气地耦合到晶圆102。在一些实施例中,测试电路板104是自动化测试设备(ATE)板,并且被配置为执行对晶圆102的一个或多个自动化测试。测试电路板104电气地耦合在晶圆102和系统110之间。测试电路板104通过链路120电气地耦合到系统110。在一些实施例中,晶圆102通过测试电路板104电气地耦合到系统110。晶圆102或测试电路板104的其他配置在本公开的范围内。
载体晶圆106被配置为承载晶圆102。载体晶圆106包括一个或多个加热器芯片108。载体晶圆106和加热器芯片108通过链路122电气地耦合到系统110。在一些实施例中,至少链路120或122是导电线。在一些实施例中,至少链路120或122是被配置为交换数据的数据链路。在一些实施例中,加热器芯片108包括一个或多个管芯,这些管芯通过链路122电气地耦合到系统110,并且这些管芯被配置为在对晶圆102的老化测试期间生成热量。载体晶圆106或加热器芯片108的其他配置在本公开的范围内。
系统110通过测试电路板104电气地耦合到晶圆102内的集成电路。系统110通过链路122电气地耦合到载体晶圆106的一个或多个加热器芯片108。
系统110被配置为同时执行对晶圆102中的一个或多个集成电路的老化测试和对晶圆102中的一个或多个集成电路的一个或多个自动化测试。在一些实施例中,对晶圆102中的一个或多个集成电路的一个或多个自动化测试由测试电路板104和系统110执行。
在一些实施例中,老化测试包括针对晶圆102内的集成电路的早期故障测试晶圆102。在一些实施例中,老化测试被配置为对晶圆102内的集成电路施加热应力和环境应力,从而在晶圆102内的集成电路中引起可检测的故障。在一些实施例中,故障是由晶圆102的制造工艺中的故障引起的。在一些实施例中,老化测试被配置为在一段时间内施加最小老化温度TBI。在一些实施例中,老化测试用于在晶圆102内的集成电路上生成老化热分布。在一些实施例中,最小老化温度TBI的范围为从约120摄氏度(C)至约160℃。在一些实施例中,老化测试的持续时间在约12小时至约72小时的范围内。
在一些实施例中,由测试电路板104和系统110执行的一个或多个自动化测试包括电压测量、电流测量、定时测量或可靠性测试等。在一些实施例中,一个或多个自动化测试包括对晶圆102中的一个或多个集成电路的操作测试。
在一些实施例中,系统110是电子设计自动化(EDA)工具,该EDA工具被配置为设计和仿真可用于制造集成电路201(图2)的集成电路设计700(如图7所示)的性能。
系统110的其他配置在本公开的范围内。
在一些实施例中,通过同时执行对晶圆102中的一个或多个集成电路的老化测试和对晶圆102中的一个或多个集成电路的一个或多个自动化测试(例如ATE),系统100的测试时间短于在ATE测试之后执行老化测试的其他方法。例如,在一些实施例中,在检测到晶圆102中的集成电路的故障之后,可以停止老化测试,从而减少老化测试时间。
在一些实施例中,通过使载体晶圆106配置有一个或多个加热器芯片108,加热器芯片108被配置为用于晶圆102的老化测试的热源,并因此提供了均匀的烘烤解决方案,使得系统100能够在不使用老化板或烤箱的情况下执行老化测试,从而与使用老化板或烤箱的其他方法相比降低了成本。
系统100的其他配置在本公开的范围内。
晶圆
图2是根据一些实施例的晶圆200的图。
晶圆200是图1的晶圆102的实施例,因此类似的详细描述被省略。与图1至图15中的一个或多个附图相同或类似的组件用相同的附图标记表示,因此对它们的详细描述被省略。
晶圆200包括以阵列方式布置的多个集成电路201。
晶圆200的区域202对应于多个集成电路201中的集成电路203。集成电路203对应于多个集成电路201中的单个集成电路。在一些实施例中,多个集成电路201中的每个集成电路是相同的。在一些实施例中,多个集成电路201中的至少一个集成电路不同于多个集成电路201中的另一集成电路。
在一些实施例中,集成电路203的细节适用于多个集成电路201中的一个或多个,并且为了简洁起见,类似的详细描述被省略。
多个集成电路201的其他配置在本公开的范围内。
集成电路203包括电路块集合204和加热器集合206。
电路块集合204至少包括中央处理单元(CPU)204a、CPU 240b、CPU 204c、CPU204d、图形处理单元(GPU)204e或锁相环(PLL)。电路块集合204中的电路的其他数量在本公开的范围内。
CPU、GPU和PLL用于例示,并且电路块集合204中的其他类型的电路在各种实施例的范围内。例如,在一些实施例中,电路块集合204包括嵌入式处理器,嵌入式处理器包括处理器核心、数字信号处理(DSP)核心、嵌入式GPU、接口(例如通用串行总线(USB)控制器、ETHERNET、PCI-E、WIFI、WIMAX或蓝牙)、外围设备(例如通用异步接收机发射机(UART)或功率管理块)、或存储器模块和/或控制器。
电路块集合204中的至少CPU 204a、CPU 204b、CPU 204c、CPU204d、GPU 204e或PLL204f被配置为通过被操作而生成热量。在一些实施例中,电路块集合204中的至少CPU204a、CPU 204b、CPU 204c、CPU 204d、GPU 204e或PLL 204f被配置为通过在对集成电路200的老化测试和ATE测试期间被操作而生成热量。
加热器集合206至少包括加热器206a或加热器206b。加热器集合206中的至少加热器206a或206b被配置为生成热量。在一些实施例中,加热器集合206中的至少加热器206a或206b被配置为在对集成电路203的老化测试和ATE测试期间生成热量。在一些实施例中,当加热器集合206不被用于测试时,加热器集合206中的至少加热器206a或206b不被配置为可操作的,因此不生成热量。
在一些实施例中,加热器集合206中的至少加热器206a或206b包括一个或多个互连1302a和1302b(如图13所示)。在一些实施例中,加热器集合206中的至少加热器206a或206b包括一个或多个电路组件,这些电路组件被配置为通过被操作而生成热量。
在一些实施例中,电路块集合204和加热器集合206被配置作为至少用于由系统100执行的老化测试或ATE测试的热源集合,从而生成集成电路203的热量特征。在一些实施例中,热量特征对应于整个集成电路的热分布图。在一些实施例中,在图9C中示出热分布图900C的示例。
在一些实施例中,电路块集合204和加热器206集合被配置为在整个集成电路203中生成均匀的热分布。在一些实施例中,均匀的热分布对应于在热量范围HR(如图5所示)内的整个集成电路(例如,集成电路203)中的热分布。在一些实施例中,热量范围由系统100或方法500(如图5所示)的用户定义或指定。在一些实施例中,热量范围在最小热量值和最大热量值之间。
在一些实施例中,通过至少改变电路块集合204和加热器集合206的位置、电路元件的数量、大小或所配置的功率来修改整个集成电路203或集成电路集合201的热分布。在一些实施例中,可以至少调整电路块集合204和加热器集合206的位置、电路元件的数量、大小或所配置的功率,以在整个集成电路203上生成均匀的热分布。在一些实施例中,如果集成电路203或集成电路集合201具有均匀的热分布,则系统100被配置为执行老化测试,同时减少图1的加热器芯片108中的有源元件的数量。
在一些实施例中,电路块集合204和加热器集合206被修改,以通过在对应于仿真设计功率水平的配置功率水平(例如,图6中的表600)下进行操作,来在整个集成电路203中生成均匀的热分布。在一些实施例中,响应于集成电路203或集成电路集合201具有均匀的热分布,系统100被配置为在不使用图1的加热器芯片108中的有源元件的情况下执行老化测试。
电路块集合204和加热器集合206的其他配置在本公开的范围内。
晶圆200的其他配置在本公开的范围内。
载体晶圆
图3是根据一些实施例的载体晶圆300的图。
载体晶圆300是图1的载体晶圆106的实施例,因此类似的详细描述被省略。
载体晶圆300包括以阵列方式布置的多个集成电路管芯301。
载体晶圆300的区域302对应于多个集成电路管芯301中的集成电路管芯303。集成电路管芯303对应于多个集成电路管芯301中的单个集成电路管芯。在一些实施例中,多个集成电路管芯301中的每个集成电路管芯与多个集成电路管芯中的另一集成电路管芯相同。在一些实施例中,多个集成电路管芯301中的至少一个集成电路管芯不同于多个集成电路管芯301中的另一集成电路管芯。
区域302具有与晶圆200的区域202相同的面积。在一些实施例中,区域302具有与晶圆200的区域202不同的面积。
多个集成电路管芯301中的每个集成电路管芯与晶圆200的多个集成电路201中的每个相应的集成电路相关联。在一些实施例中,多个集成电路管芯301中的每个相应的集成电路管芯的位置与晶圆200的多个集成电路201中的每个相应的集成电路位于相同的对应位置。
多个集成电路管芯301中的每个集成电路管芯具有与晶圆200的多个集成电路201中的每个集成电路相同的面积。在一些实施例中,多个集成电路管芯301中的至少一个集成电路管芯具有与晶圆200的多个集成电路201中的至少一个集成电路不同的面积。
在一些实施例中,集成电路管芯303的细节适用于多个集成电路管芯301中的一个或多个,并且为了简洁起见,类似的详细描述被省略。
多个集成电路管芯301的其他配置在本公开的范围内。
集成电路管芯303包括电路管芯集合304。电路管芯集合304是至少包括管芯304a、304b、……304y或304z的管芯阵列,其中z是与电路管芯集合304中的管芯数量相对应的正整数。
电路管芯集合304中的每个管芯与该电路管芯集合中的每个其他管芯相同。在一些实施例中,电路管芯集合304中的至少一个管芯与电路管芯集合304中的至少另一管芯不同。
电路管芯集合304中的每个管芯具有与电路管芯集合中的每个其他管芯相同的面积。在一些实施例中,电路管芯集合304中的至少一个管芯具有与电路管芯集合304中的至少另一管芯不同的面积。
电路管芯集合304中的每个管芯被配置为作为加热器集合306中的相应的加热器进行操作。
加热器集合306至少包括加热器306a、306b、……306y或306z。加热器集合306中的每个加热器被配置为生成热量。在一些实施例中,加热器集合306中的至少一个或多个加热器被配置为在对集成电路203的老化测试和ATE测试期间生成热量。
在一些实施例中,通过调整所配置的功率或接通或关断电路管芯集合304中的对应管芯来修改整个集成电路管芯303或集成电路管芯集合301的热分布。在一些实施例中,通过关断管芯集合304的一个子集的管芯来关断加热器集合306中的对应子集的加热器,并且因此不生成热量。在一些实施例中,通过接通管芯子集304中的一个子集的管芯来接通加热器集合306中的对应子集的加热器,从而生成热量。
在一些实施例中,电路管芯集合304和加热器集合306被配置作为至少用于由系统100执行的老化测试或ATE测试的另一热源集合,从而生成集成电路管芯203的另一热量特征。
在一些实施例中,由集成电路203生成的热量特征与集成电路管芯303的另一热量特征相结合,从而在整个集成电路203中生成均匀的热分布。在一些实施例中,通过在整个集成电路203上生成均匀的热分布,在集成电路203和集成电路管芯303上执行的老化测试实现了以上在图1中讨论的益处中的一个或多个。
在一些实施例中,集成电路管芯303或集成电路管芯集合301被配置作为补充热源,该补充热源当与集成电路303或集成电路集合301的热源结合时,在整个集成电路303上产生均匀的热分布。
在一些实施例中,通过调整管芯集合304中的操作或接通的管芯的数量来调整加热器集合306中的加热器的数量,从而调整由集成电路管芯303生成的热量的量,并且因此集成电路管芯303用作针对集成电路203的热源的补充热源。
电路管芯集合304和加热器集合306的其他配置在本公开的范围内。
载体晶圆300的其他配置在本公开的范围内。
方法
图4是根据一些实施例的测试集成电路的方法400的流程图。
在一些实施例中,图4是对图1的系统100、图14的系统1400或IC制造系统1500进行操作的方法的流程图。
要理解,至少可以在图4中描绘的方法400、图5中描绘的方法500、图8中描绘的方法800、图10中描绘的方法1000或图12中描绘的方法1200之前、期间和/或之后执行附加操作,并且一些其他操作可能仅在本文中简要描述。在一些实施例中,至少方法400、方法500、方法800、方法1000或方法1200的其他操作顺序在本公开的范围内。在一些实施例中,不执行至少方法400、方法500、方法800、方法1000或方法1200的一个或多个操作。
至少方法400、方法500、方法800、方法1000或方法1200包括示例性操作,但是至少方法400、方法500、方法800、方法1000或方法1200的操作不一定以所示顺序执行。根据所公开的实施例的精神和范围,可以适当地添加、替换、改变顺序、和/或消除至少方法400、方法500、方法800、方法1000或方法1200的操作。应当理解,至少方法400、方法500、方法800、方法1000或方法1200利用系统100、晶圆200、载体晶圆300、系统1400或IC制造系统1500中的一个或多个的特征。
在方法400的操作402中,由处理器执行整个集成电路设计中的热分布的仿真。在一些实施例中,操作402的仿真是由图14的系统1400执行的计算机仿真。在一些实施例中,方法400的处理器包括图14的处理器1402。
在一些实施例中,方法400的集成电路设计至少包括图7的集成电路设计700、图9A的集成电路设计900A、图11A的集成电路设计1112、图11B至图11C的集成电路设计1132、或图11C的集成电路设计1162。在一些实施例中,方法400的集成电路设计是集成电路的设计。
在一些实施例中,方法400的热分布至少包括由图9C的热量特征930示出的热分布、由图11A的热量特征1102示出的热分布、由图11A的热量特征1106示出的热分布、由图11B至图11C的热量特征1122示出的热分布、由图11B的热量特征1126示出的热分布、或由图11C的热量特征1146示出的热分布。
在方法400的操作404中,根据集成电路设计来制造集成电路。在一些实施例中,方法400的集成电路至少包括晶圆102、晶圆200、多个集成电路201或集成电路203。在一些实施例中,由图15的IC制造系统1500执行操作404。
在方法400的操作406中,同时执行对集成电路的老化测试和对集成电路的自动化测试。在一些实施例中,由图1的系统100执行操作406。在一些实施例中,方法400的老化测试包括由图1的系统100执行的老化测试,并且类似的详细描述被省略。在一些实施例中,方法400的自动化测试包括由图1的系统100执行的自动测试或ATE测试,并且类似的详细描述被省略。
方法400操作用于实现上文关于至少图1的系统100、图2的晶圆200或图3的载体晶圆300所论述的益处。
图5是根据一些实施例的方法500的流程图。
在一些实施例中,方法500包括图4的方法400的操作,并且类似的详细描述被省略。例如,在一些实施例中,方法500包括图4的操作402和404的实施例。
在一些实施例中,方法500是执行整个集成电路设计中的热分布的仿真的方法,以及根据该集成电路设计来制造集成电路的方法。
在一些实施例中,执行整个集成电路设计中的热分布的仿真的方法包括操作502、504、506、508、510、514和516。在一些实施例中,操作502、504、506、508、510、514和516是图4的操作402的实施例,并且类似的详细描述被省略。在一些实施例中,操作502、504、506、508、510、514和516中的一个或多个操作由图14的系统110或系统1400执行。
在一些实施例中,根据集成电路设计来制造集成电路的方法包括操作512。在一些实施例中,操作512是图4的操作404,并且类似的详细描述被省略。在一些实施例中,由图15的IC制造系统1500执行操作512。
在方法500的操作502中,从用户接收集成电路设计的热量范围HR。在一些实施例中,方法500的用户包括至少图14的系统100、系统110或系统1400的用户。在一些实施例中,方法500的热量范围HR包括图1的热量范围,并且类似的详细描述被省略。在一些实施例中,热量范围HR被定义为在最小热量值Hmin和最大热量值Hmax之间。
在一些实施例中,被包括在热量范围HR内的热量值被认为是整个集成电路设计中的均匀热量分布。在一些实施例中,方法500的集成电路设计至少包括图7的集成电路设计700、图9A的集成电路设计900A、图11A的集成电路设计1112、图11B至图11C的集成电路设计1132、或图11C的集成电路设计1162。
在一些实施例中,方法500的集成电路设计是集成电路的设计,例如至少晶圆102、晶圆200、多个集成电路201或集成电路203。
在方法500的操作504中,获得用于集成电路设计中的电路块集合和加热器集合的配置功率信息。在一些实施例中,方法500的操作504包括:获取用于集成电路设计中的电路块集合中的每个电路块以及加热器集合中的每个加热器的配置功率信息。
在一些实施例中,从诸如图6的表600之类的表中获得用于集成电路设计中的电路块集合和加热器集合的配置功率信息。在一些实施例中,表600被存储在图14的存储器1404中。在一些实施例中,方法500的配置功率信息至少包括图6的最大配置功率信息604或图6的配置功率信息606。
在一些实施例中,方法500的集成电路设计的电路块集合至少包括图7或图11A至图11C的电路块集合704。在一些实施例中,方法500的集成电路设计的电路块集合至少包括图6的电路块602a、602b或602e。
在一些实施例中,方法500的集成电路设计的加热器集合至少包括图7的加热器集合706或图11C的加热器1164a。在一些实施例中,方法500的集成电路设计的加热器集合至少包括图6的加热器602c或602d。
在方法500的操作506中,从设计文件(DEF)中提取用于集成电路设计中的电路块集合和加热器集合的位置信息。在一些实施例中,方法500的操作506包括从设计文件中提取用于集成电路设计中的电路块集合中的每个电路块和加热器集合中的每个加热器的位置信息。在一些实施例中,方法600的设计文件被存储在图14的存储器1404中。
在一些实施例中,用于方法600的集成电路设计中的电路块集合和加热器集合的位置信息包括集成电路设计中每个元件的位置。在一些实施例中,方法500的设计文件包括表示集成电路设计的物理布局的设计交换格式(DEF)。在一些实施例中,DEF是美国信息交换标准代码(ASCII)格式,并且表示集成电路设计的网表和电路布局。
在方法500的操作508中,根据用于集成电路设计中所包括的电路块集合和加热器集合的配置功率信息和位置信息来确定集成电路设计的热量特征。
在一些实施例中,热量特征是在整个集成电路设计中布置的热量值HV的二维图。在一些实施例中,热量值HV是由集成电路设计中所包括的电路块集合中的每个电路块和加热器集合中的每个加热器生成的。
在一些实施例中,集成电路设计的热量值HV由公式2确定(下面在图8和图9A至图9C中描述)。在一些实施例中,图8的方法800是操作508的实施例。
在一些实施例中,方法500的操作508包括:根据用于集成电路设计中所包括的电路块集合中的每个电路块和加热器集合中的每个加热器的配置功率信息和位置信息,来确定集成电路设计的热量特征。
在一些实施例中,方法500的热量特征至少包括图9C的热量特征930、图11A的热量特征1102、图11A的热量特征1106、图11B至图11C的热量特征1122、图11B的热量特征1126、或图11C的热量特征1146。
在方法500的操作510中,确定集成电路设计的热量特征的热量值HV是否在用户指定的热量范围HR内。
在一些实施例中,操作510包括:确定集成电路设计的热量特征的热量值HV是否等于或大于最小热量值Hmin且等于或小于最大热量值Hmax。
在一些实施例中,如果集成电路设计的热量特征的热量值HV在用户指定的热量范围HR内,则操作510的结果为“是”,并且方法500进行到操作512。在一些实施例中,如果集成电路设计的热量特征的热量值HV在用户指定的热量范围HR内,则集成电路设计的热量特征的热量值HV足以使集成电路设计生成均匀的热分布,因此表明基于集成电路设计制造的集成电路也生成均匀的热分布,并且由系统100执行的操作406的老化测试是在不启用载体晶圆106的加热器的情况下执行的。
在一些实施例中,如果集成电路设计的热量特征的热量值HV不在用户指定的热量范围HR内,则操作510的结果为“否”,并且方法500进行到操作514。
在方法500的操作512中,根据集成电路设计来制造集成电路。在一些实施例中,方法500的集成电路至少包括晶圆102、晶圆200、多个集成电路201或集成电路203。在一些实施例中,由图15的IC制造系统1500执行操作512。在一些实施例中,操作512是图4的操作404,并且类似的详细描述被省略。
在方法500的操作514中,确定操作508、510或516的重复次数是否超过用户定义的限制。在一些实施例中,方法500的用户定义的限制包括如下最大重复次数:在该最大重复次数下,至少操作508、510或516被执行。在方法500的操作502中,从用户接收方法500的用户定义的限制。
在一些实施例中,如果操作508、510或516的重复次数未超过用户定义的限制,则操作514的结果为“否”,并且方法500进行至操作516。在一些实施例中,如果操作508、510或516的重复次数未超过用户定义的限制,则集成电路设计的热量特征的热量值HV不足以使集成电路设计生成均匀的热分布,并且方法500尝试通过操作516来修改集成电路设计。
在一些实施例中,如果操作508、510或516的重复次数超过用户定义的限制,则操作514的结果为“是”,并且方法500进行到操作512。在一些实施例中,如果操作508、510或516的重复次数超过了用户定义的限制,则集成电路设计的热量特征的热量值HV不足以使集成电路设计生成均匀的热分布,从而表明基于该集成电路设计制造的集成电路也不会生成均匀的热分布,因此,当由系统100执行的操作406的老化测试被执行时,载体晶圆106的加热器被启用,从而生成补充热量分布,其中,该补充热量分布当与集成电路的热分布相结合时是均匀的热分布。
在方法500的操作516中,修改集成电路设计。在一些实施例中,响应于确定集成电路设计的热量特征的热量值HV不在热量范围HR内而修改集成电路设计。在一些实施例中,图10的方法1000是操作516的实施例。
在一些实施例中,操作516包括以下操作中的一项或多项:向电路块集合添加新电路块;向加热器集合添加新加热器;从加热器集合中移除第一加热器;移动加热器集合中的第一加热器的位置;修改电路块集合中的第一电路块的配置功率;修改加热器集合中的第一加热器的配置功率,或修改加热器集合中的第一加热器的尺寸。
在一些实施例中,方法500的新电路块或电路块集合中的第一电路块包括与集成电路设计的电路块集合704类似的一个或多个电路块。在一些实施例中,方法500的新加热器或加热器集合中的第一加热器包括与集成电路设计的加热器集合706或图11C的加热器1164a类似的一个或多个加热器。
在一些实施例中,重复操作508、510、514或516中的一个或多个操作,从而使集成电路设计被修改。在一些实施例中,重复操作508、510、514或516中的一个或多个操作,直到集成电路设计的热量特征的热量值HV在由用户指定的热量范围HR内,或者操作508、510或516的重复次数超过用户定义的限制。
方法500操作用于实现上文关于至少图1的系统100、图2的晶圆200或图3的载体晶圆300所论述的益处。
图6是根据一些实施例的表600。
表600是诸如图7的集成电路设计700之类的集成电路设计的参数的查找表。
表600与图5的方法500的一个或多个操作一起使用。在一些实施例中,方法500与查找表600一起使用。在一些实施例中,方法500的操作502、504、506、508或516中的一个或多个操作利用类似于图6的表600的查找表。在一些实施例中,表600被存储在图14的存储器1404中。在一些实施例中,表600由图14的系统1400生成。
表600包括6行和3列。列1包括IC设计元件集合602。列2包括用于IC设计元件集合602的相应的最大可配置功率条目集合604。列3包括用于IC设计元件集合602的相应的可配置功率条目606。列1中的每个条目在列2中有相应的条目,并且在列3中有相应的条目。
表600中的其他数量的列或行在本公开的范围内。
IC设计元件集合602至少包括IC设计元件602a、602b、602c、602d或602e。IC设计元件集合602对应于集成电路设计的电路块集合704或加热器集合706。IC设计元件602a、602b和602e对应于集成电路设计700的电路块集合704中的一个或多个电路块。IC设计元件602c和602d对应于集成电路设计700的加热器集合706中的一个或多个加热器。
IC设计元件集合602中的其他数量的IC设计元件在本公开的范围内。IC设计元件集合602中的其他数量的电路块或加热器在本公开的范围内。
列2包括与IC设计元件集合602相对应的最大可配置功率条目集合604。最大可配置功率条目集合604至少包括最大可配置功率条目604a、604b、604c、604d或604e。最大可配置功率条目集合604中的每个最大可配置功率条目604a、604b、604c、604d或604e是IC设计元件集合602中的相应的IC设计元件602a、602b、602c、602d或602e可以操作的最大可配置功率。
最大可配置功率条目集合604中的其他数量或值的最大可配置功率条目在本公开的范围内。
列3包括与IC设计元件集合602或最大可配置功率条目集合604相对应的可配置功率条目集合606。
可配置功率条目集合606至少包括可配置功率条目606a、606b、606c、606d或606e。可配置功率条目集合606的每个可配置功率条目606a、606b、606c、606d或606e是IC设计元件集合602中的相应的IC设计元件602a、602b、602c、602d或602e可以操作的可配置功率(不包括列2的最大可配置功率)。
可配置功率条目集合606中的其他数量或值的可配置功率条目606在本公开的范围内。
可配置功率条目606a包括IC设计元件602a可以操作的一个或多个可配置功率条目。例如,可配置功率输入606a包括IC设计元件602a可以操作的可配置功率条目6瓦(W)、4W和2W。
可配置功率条目606b包括IC设计元件602b可以操作的一个或多个可配置功率条目。例如,可配置功率条目606b包括IC设计元件602b可以操作的可配置功率条目6W、4W和2W。
可配置功率条目606c包括IC设计元件602c可以操作的一个或多个可配置功率条目。例如,可配置功率条目606c包括IC设计元件602c可以操作的可配置功率条目1W和0.5W。
可配置功率条目606d包括IC设计元件602d可以操作的一个或多个可配置功率条目。例如,可配置功率条目606d包括IC设计元件602d可以操作的可配置功率条目2.5W和1.25W。
可配置功率条目606d包括IC设计元件602d可以操作的一个或多个可配置功率条目。例如,可配置功率条目606d包括IC设计元件602d可以操作的可配置功率条目2.5W和1.25W。
可配置功率条目606e包括IC设计元件602e可以操作的一个或多个可配置功率条目。例如,可配置功率条目606e包括IC设计元件602e可以操作的可配置功率条目0.25W。
在一些实施例中,在方法500的操作516期间,通过根据表600的最大可配置功率条目集合604和可配置功率条目集合606调整(例如增加或减少)电路块集合中的一个或多个电路块或加热器集合中的一个或多个加热器的配置功率,来修改集成电路设计。例如,在一些实施例中,如果由具有2W的可配置功率606a的IC设计元件602a生成的热量值HV小于热量范围HR,则IC设计元件602a的可配置功率606a可以从2W增加到4W,直到列2中的最大可配置功率604a。
集成电路设计
图7是根据一些实施例的集成电路设计700的框图。
集成电路设计700对应于与图6的表600相关联的集成电路设计,并且因此类似的详细描述被省略。在一些实施例中,集成电路设计700是与图6的表600的IC设计元件集合602、最大可配置功率条目集合604和可配置功率条目集合606相对应的集成电路设计,因此类似的详细描述被省略。
在一些实施例中,集成电路设计700是图2的集成电路203或图2的多个集成电路201的一个或多个集成电路的设计,因此类似的详细描述被省略。在一些实施例中,集成电路设计700可用于制造图2的集成电路203,因此类似的详细描述被省略。在一些实施例中,集成电路设计700被存储在图14的存储器1404中。在一些实施例中,集成电路设计700由图14的系统1400生成。
集成电路设计700包括电路块集合704和加热器集合706。在一些实施例中,集成电路设计700的电路块集合704可用于制造图2的集成电路203的电路块集合204,因此类似的详细描述被省略。在一些实施例中,集成电路设计700的加热器集合706可用于制造图2的集成电路203的加热器集合206,因此类似的详细描述被省略。在一些实施例中,集成电路设计700的元件的操作类似于集成电路203的相应元件,并且为简洁起见,类似的描述被省略。
电路块集合704至少包括CPU 704a、CPU 704b、CPU 704c、CPU704d、GPU 704e或PLL704f。在一些实施例中,集成电路设计700的至少CPU 704a、CPU 704b、CPU 704c、CPU 704d、GPU 704e或PLL 704f可用于制造图2的集成电路203的至少CPU 204a、CPU 204b、CPU204c、CPU 204d、GPU 204e或PLL 204f,因此类似的详细描述被省略。
在一些实施例中,电路块集合704中的至少CPU 704a、CPU 704b、CPU 704c、CPU704d、GPU 704e或PLL 704f被配置为:以根据表600的可配置功率条目集合606或表600的最大可配置功率条目集合604的一个或多个功率水平来进行操作,从而在方法400的操作402的执行期间生成集成电路设计的热量值和热量特征。
在一些实施例中,图2的电路块204集合中的至少CPU 204a、CPU204b、CPU 204c、CPU 204d、GPU 204e或PLL 204f被配置为:以根据表600的可配置功率条目集合606或表600的最大可配置功率条目集合604的一个或多个功率水平来进行操作,从而在对集成电路200的老化测试和ATE测试(例如方法400的操作406)期间生成热量。
电路块集合704中的电路的其他数量在本公开的范围内。
加热器集合706至少包括加热器706a或加热器706b。在一些实施例中,集成电路设计700中的至少加热器706a或加热器706b可用于制造图2的集成电路203中的至少加热器206a或加热器206b,因此类似的详细描述被省略。
在一些实施例中,加热器集合706中的至少加热器706a或加热器706b被配置为:以根据表600的可配置功率条目集合606或表600的最大可配置功率条目集合604的一个或多个功率水平来进行操作,从而在方法400的操作402的执行期间生成集成电路设计的热量值和热量特征。
在一些实施例中,图2的加热器集合206中的至少加热器206a或206b被配置为:以根据表600的可配置功率条目集合606或表600的最大可配置功率条目集合604的一个或多个功率水平来进行操作,从而在对集成电路200的老化测试和ATE测试(例如方法400的操作406)期间生成热量。
加热器集合706中的加热器的其他数量在本公开的范围内。
在一些实施例中,电路块集合704和加热器集合706被配置作为热源集合,从而在方法400的操作402的执行期间生成集成电路设计700的热量特征。
在一些实施例中,电路块集合704和加热器集合706被配置为在整个集成电路设计700中生成均匀的热分布。在一些实施例中,修改电路块集合704和加热器集合706,以通过以图6的表600中包含的配置功率水平进行操作来在整个集成电路设计700中生成均匀的热分布。
在一些实施例中,通过至少改变电路元件集合704和加热器集合706的位置、电路元件数量、尺寸或配置功率来修改整个集成电路设计700中的热分布。在一些实施例中,可以至少调整电路块集合704和加热器集合706的位置、电路元件数量、尺寸或配置功率,以在整个集成电路设计700中生成均匀的热分布。
在一些实施例中,如果集成电路设计700具有均匀的热分布,则在对集成电路201或晶圆102的老化测试期间,基于集成电路设计700制造的集成电路201可与系统100一起使用,同时减少图1的加热器芯片108中的有源(例如接通的)元件的数量。在一些实施例中,在对基于集成电路设计700的晶圆102或集成电路201的老化测试期间,图1的加热器芯片108中的有源元件的数量为零。
在一些实施例中,如果集成电路设计700不具有均匀的热分布,则在由系统100执行的对集成电路201或晶圆102的老化测试期间,基于集成电路设计700制造的集成电路201与补充热源(例如由图1的加热器芯片108中的多个接通的元件或有源元件生成的补充热源)相结合。
电路块集合704和加热器集合706的其他配置在本公开的范围内。
集成电路设计700的其他配置在本公开的范围内。
方法
图8是根据一些实施例的确定集成电路设计的热量特征的方法800的流程图。
在一些实施例中,方法800是方法500的操作508的实施例,并且类似的详细描述被省略。在一些实施例中,操作802、804、806和808中的一个或多个操作由图14的系统110或系统1400执行。
在一些实施例中,方法800可用于确定例如如下集成电路设计的热量特征:集成电路设计700、图9A的集成电路设计902、图11A的集成电路设计1112、图11B至图11C的集成电路设计1132、或图11C的集成电路设计1162。
在一些实施例中,方法800可用于确定热量特征,例如图9C的热量特征930、图11A的热量特征1102和1106、图11B的热量特征1122和1126、以及图11C的热量特征1122和1146。
在方法800的操作802中,将集成电路设计划分为窗口阵列。在一些实施例中,基于窗口尺寸将集成电路设计划分为窗口阵列。在一些实施例中,窗口尺寸由用户定义。在一些实施例中,窗口阵列的窗口的窗口尺寸是相同的。在一些实施例中,窗口尺寸在约1μm至约20μm的范围内。窗口尺寸的其他值在本公开的范围内。
在一些实施例中,方法800的集成电路设计至少包括图7的集成电路设计700、图9A的集成电路设计902、图11A的集成电路设计1112、图11B至图11C的集成电路设计1132、或图11C的集成电路设计1162。
在一些实施例中,方法800的窗口阵列至少包括图9A至图9B的窗口901的阵列。在一些实施例中,图9A的集成电路设计902包括窗口阵列901,该窗口阵列901示出了在执行操作802之后的窗口阵列的非限制性示例。
在一些实施例中,窗口阵列中的每个窗口具有正方形形状。在一些实施例中,窗口阵列中的一个或多个窗口是圆形、三角形、矩形、正方形、六边形、或其他几何形状。在一些实施例中,窗口阵列中的一个或多个窗口是多边形。窗口阵列的其他形状在本公开的范围内。
在方法800的操作804中,基于电路块集合和加热器集合的功率信息和位置信息来确定窗口阵列中的每个窗口的功率值。在一些实施例中,操作804包括:生成类似于图9A中的集成电路功率图900A的集成电路功率图。在一些实施例中,方法800的每个窗口的功率值与对应窗口内的每个电路块或加热器所消耗的功率相对应。
在一些实施例中,方法800的功率信息包括针对方法500的操作504而获得的配置功率信息。在一些实施例中,方法800的功率信息包括来自表600的配置功率条目集合606或来自表600的最大配置功率条目集合604。在一些实施例中,方法800的位置信息包括针对方法500的操作506提取的位置信息。
在一些实施例中,方法800的集成电路设计的电路块集合至少包括图7或图11A至图11C的电路块集合704或图9A的电路块集合904。在一些实施例中,方法800的集成电路设计的电路块集合至少包括图6的电路块602a、602b或602e。
在一些实施例中,方法800的集成电路设计的加热器集合至少包括图7的加热器集合706、图11C的加热器1164A或图9A的加热器集合906。在一些实施例中,方法800的集成电路设计的加热器集合至少包括图6的加热器602c或602d。
在一些实施例中,方法800的每个窗口的功率值P(例如,也称为“每个窗口的功率”)是根据公式1确定的,功率值P表示为:
P=NE/PE (1)
其中,NE是集成电路设计的第一区域所覆盖的元件数,PE是第一区域内的电路块或加热器所消耗的功率。
公式1的非限制性示例性应用包括对9A的参考。例如,在一些实施例中,图9A的元件904a对应于布局设计700中的电路块704A,并占据图9A中的区域910。因此,区域910占据或覆盖总共25个窗口,并且根据表600针对电路块条目606a而消耗的功率是2.5W,将公式1应用于这些值使得2.5W除以25个窗口,等于每窗口0.1W。如图9A所示,区域910具有等于0.1W的功率值P(在关键字920中示出)。
在方法800的操作806中,基于每个窗口的功率值P来确定每个窗口的总热量值HT
在一些实施例中,根据公式2来确定方法800的每个窗口的总热量HT,该总热量值HT表示为:
Figure BDA0003145343920000231
其中,Pij是第i和第j个索引的功率值,k是基于电路块或加热器的材料的常数,Dij是第i和第j个窗口之间的距离,m是窗口阵列中的行的数量,n是窗口阵列中的列的数量。
在一些实施例中,操作806的每个窗口的总热量值HT是由对应窗口生成的热量与由集成电路设计中的每个其他窗口生成的热量的总和。在一些实施例中,操作806的每个窗口的总热量值HT是由相应窗口生成的热量和由集成电路设计中在当前窗口的限定距离内的多个其他窗口生成的热量的总和。在一些实施例中,k的值是基于加热器或电路块内的半导体材料的。在一些实施例中,k的值等于1。
公式2的非限制性示例性应用包括对9B的参考。例如,集成电路设计900B对应于图9A的电路块904,但集成电路设计900B还包括区域950,且类似的详细描述被省略。区域950包括4个窗口(950a、950B、950c和950d),并且确定窗口950a的总热量值HT11包括:确定由窗口950a生成的热量值H11以及由集成电路设计900B的区域950中的每个其他窗口(950b、950c、950d)生成的热量值(H21、H12、H22)。在该示例中,该区域包括4个窗口,但是该区域中包括其他数量的窗口在本公开的范围内。将公式2应用于非限制性示例,导致窗950a的总热量值HT11等于HT11=k*(P11+(P12/D12)+(P21/D21)+(P22/D22),其中,功率值P11、P12、P21和P22是相应窗口502a、502b、502c和502d的相应功率值,这些功率值是在操作804中确定的并且被示出为图9A中的集成电路功率图900A。
在一些实施例中,对集成电路设计中的电路块集合中的每个电路块和加热器集合中的每个加热器执行操作806,从而确定集成电路设计的总热量值。
在一些实施例中,通过将集成电路设计划分为窗口阵列,方法800可以通过不仅考虑由集成电路设计的每个窗口生成的热量,而且还考虑由集成电路设计中的每个其他窗口生成的热量的影响,来准确地确定集成电路设计的每个窗口上生成的热量。
在方法800的操作808中,根据每个窗口的总热量值来填充热量图,从而生成集成电路设计的热量特征。在一些实施例中,操作808包括:生成类似于图9C中的热量图900C的热量图。
在一些实施例中,操作808包括:至少基于窗口阵列中的每个窗口的位置信息和每个相应窗口的相应总热量值来创建热量图。
在一些实施例中,方法800的热量特征包括例如图9C的热量特征930、图11A的热量特征1102和1106、图11B的热量特征1122和1126、以及图11C的热量特征1122和1146。
方法800操作用于实现上文关于至少图1的系统100、图2的晶圆200、图3的载体晶圆或图4的方法400所论述的益处。
图9A是根据一些实施例的功率图900A的图。
功率图900A对应于集成电路设计700的功率图,因此类似的详细描述被省略。在一些实施例中,功率图900A是由系统1400在执行图8的操作804时生成的。
功率图900A包括集成电路设计902和功率关键字920,功率关键字920示出了集成电路设计902中每个元件的配置功率。在一些实施例中,功率关键字920示出了电路块集合904中的每个电路块以及加热器集合906中的每个加热器的配置功率。
集成电路设计902对应于集成电路设计700,因此类似的详细描述被省略。集成电路设计902被划分为窗口阵列901。在一些实施例中,将集成电路设计902划分为窗口阵列901对应于图8的操作802。
集成电路设计902包括电路块集合904和加热器集合906。在一些实施例中,电路块集合904是图7的相应电路块集合704,并且加热器集合906是图7的相应加热器集合706,并且类似的详细描述被省略。
电路块集合904至少包括CPU 904a、CPU 904b、CPU 904c、CPU 904d、GPU 904e或PLL 904f。在一些实施例中,CPU 904a、CPU 904b、CPU 904c、CPU 904d、GPU 904e或PLL904f是图7的相应CPU 704a、CPU 704b、CPU 704c、CPU 704d、GPU 704e或PLL 704f,并且类似的详细描述被省略。
电路块集合904中的电路的其他数量在本公开的范围内。
加热器集合906至少包括加热器906a或加热器906b。在一些实施例中,加热器906a或加热器906b是图7的相应的加热器706a或加热器706b,且类似详细描述被省略。加热器集合906中的加热器的其他数量在本公开的范围内。
功率图900A的其他配置在本公开的范围内。
图9B是根据一些实施例的集成电路设计900B的框图。
集成电路设计900B对应于用相应窗口902a、902b、902c和902d中的功率值P11、P12、P21和P22来填充的图9A的集成电路设计902,并且类似的详细描述被省略。
在一些实施例中,系统1400在执行图8的操作804、806或808时使用相应窗口902a、902b、902c和902d中的功率值P11、P12、P21和P22
集成电路设计900B的其他配置在本公开的范围内。
图9C是根据一些实施例的热量图900C的图。
热量图900A对应于功率图900A或集成电路设计700的热量图,因此类似的详细描述被省略。
热量图900C对应于图9A的集成电路设计700或功率图900A的热量图,并且因此类似的详细描述被描述。在一些实施例中,热量图900C由系统1400在执行图8的操作808时生成。
热量图900C包括集成电路设计902的热量特征930和示出了集成电路设计902中每个窗口的热量的热量关键字932。在一些实施例中,热量图900C是根据热量关键字932的集成电路设计902的热量特征930的二维轮廓图。
热量图900C的其他配置在本公开的范围内。
方法
图10是根据一些实施例的修改集成电路设计的方法1000的流程图。
在一些实施例中,方法1000是方法500的操作516的实施例,并且类似的详细描述被省略。在一些实施例中,操作1002、1004、1006、1008、1010和1012中的一个或多个操作由图14的系统110或系统1400执行。
在一些实施例中,方法1000可用于修改集成电路设计,例如集成电路设计700、图9A的集成电路设计902、图9B的集成电路设计900B、图11A的集成电路设计1112、图11B至图11C的集成电路设计1132、或图11C的集成电路设计1162。
在方法1000的操作1002中,确定集成电路设计的第一部分的最大热量值是否超过用户定义的热量范围HR的上限。
在一些实施例中,方法1000的热量范围HR的上限包括最大热量值Hmax。
在一些实施例中,方法1000的集成电路设计的第一部分的最大热量值包括由操作808生成的最大热量值,这些最大热量值在由操作810生成的热量图中。
在一些实施例中,方法1000的集成电路设计至少包括图7的集成电路设计700、图9A的集成电路设计900A、图11A的集成电路设计1112、图11B至图11C的集成电路设计1132、或图11C的集成电路设计1162。
在一些实施例中,方法1000的集成电路设计的第一部分包括集成电路设计的满足操作1002的条件的任何部分。在一些实施例中,方法1000的集成电路设计的第一部分也被称为“热点区域”。
在一些实施例中,如果集成电路设计的第一部分的最大热量值超过用户定义的热量范围HR的上限,因此指示集成电路设计的热量特征的热量值HV超过由用户指定的热量范围HR的上限,则操作1002的结果为“是”,并且方法1000进行到操作1004。
在一些实施例中,如果集成电路设计的第一部分的最大热量值没有超过用户定义的热量范围HR的上限,因此指示集成电路设计的热量特征的热量值HV没有超过由用户指定的热量范围HR的上限,则操作1002的结果是“否”,并且方法1000进行到操作1006。
在方法1000的操作1004中,减小集成电路设计的第一部分中的电路块或加热器的功率。
在一些实施例中,根据图6的表600中包含的功率条目集合604或功率条目集合606,减小操作1004的集成电路设计的第一部分中的电路块或加热器的功率。
在一些实施例中,如果多于一个加热器或电路块在热点区域中,则操作1004包括至少减小占据或覆盖热点区域1114b(图11A)中的较多面积的加热器或电路块的功率。例如,在图11A中,加热器706b和电路块704d都在热点区域1114b中,并且由于电路块704d比加热器706b在热点区域1114b中占据更多的面积,通过操作1004减小电路块704d的功率。
在一些实施例中,如果在热点区域中没有加热器或电路块,则操作1004包括至少减小与第一热点区域相隔最小距离的加热器或电路块的功率。
在方法1000的操作1006中,确定集成电路设计的第二部分的最小热量值是否小于用户热量范围的下限。
在一些实施例中,方法1000的热量范围HR的下限包括最小热量值Hmin。
在一些实施例中,方法1000的集成电路设计的第二部分的最小热量值包括由操作808生成的最小热量值,这些最小热量值在由操作810生成的热量图中。
在一些实施例中,方法1000的集成电路设计的第二部分包括集成电路设计的满足操作1006的条件的任何部分。在一些实施例中,方法1000的集成电路设计的第二部分也被称为“冷点区域”。
在一些实施例中,如果集成电路设计的第二部分的最小热量值小于用户定义的热量范围HR的下限,因此指示集成电路设计的热量特征的热量值HV小于由用户指定的热量范围HR的下限,则操作1006的结果为“是”,并且方法1000进行到操作1008。
在一些实施例中,如果集成电路设计的第二部分的最小热量值不小于用户定义的热量范围HR的下限,因此指示集成电路设计的热量特征的热量值HV不小于由用户指定的热量范围HR的下限,则操作1006的结果是“否”,并且方法1000进行到操作508。
在方法1000的操作1008中,确定集成电路设计的第二部分中的电路块或加热器的功率是否处于最大功率。
在一些实施例中,操作1008包括确定集成电路设计的“冷点区域”中的电路块或加热器的功率是否处于最大功率。
在一些实施例中,如果集成电路设计的第二部分中的电路块或加热器的功率处于最大功率,从而表明集成电路设计的第二部分中的电路块或加热器的功率值无法进一步提高,则操作1008的结果为“是”,并且方法1000进行到操作1010。
在一些实施例中,如果集成电路设计的第二部分中的电路块或加热器的功率不处于最大功率,因此表明集成电路设计的第二部分中的电路块或加热器的功率值可以进一步提高,则操作1008的结果为“否”,并且方法1000进行到操作1012。
在方法1000的操作1010中,在集成电路设计的第二部分中至少插入加热器。在一些实施例中,方法1000中所插入的加热器包括图11C的加热器1160。
在一些实施例中,操作1010包括:在集成电路设计的第一冷点区域中至少插入加热器。
在方法1000的操作1012中,增加了集成电路设计的第二部分中的电路块或加热器的功率。在一些实施例中,具有由于操作1012而增加了的功率的加热器包括图11B中的加热器706a。
方法1000操作用于实现上文关于至少图1的系统100、图2的晶圆200、图3的载体晶圆、图4或图5至图11的方法400所论述的益处。
图11A是根据一些实施例的功率图和集成电路设计的图1100A。
图1100A包括热量图1102、热量图1106和集成电路设计1112。
在一些实施例中,集成电路设计1112对应于集成电路设计700,并且热量图1102对应于图9C的热量图900C,因此类似的详细描述被省略。
热量图1102对应于集成电路设计1112的热量图,因此类似的详细描述被省略。在一些实施例中,热量图1102和1106由系统1400在执行图8的操作804时生成。
热量图1106对应于执行图10的操作1004之后的集成电路设计1112的热量图,因此类似详细描述被省略。
热量图1102包括热点区域1104a和热点区域1104b。热点区域1104a对应于集成电路设计1112的区域1114a,热点区域1104b对应于集成电路设计1112的区域1114b。
集成电路设计1112的区域1114a覆盖电路块704c。集成电路设计1112的区域1114b覆盖电路块704d和加热器706b的一部分。
热量图1106包括热点区域1108a和热点区域1108b。热点区域1108a对应于在执行操作1004之后的热点区域1104a,而热点区域1108b对应于执行操作1004之后的热点区域1104b。
例如,在一些实施例中,在执行操作1004期间,电路块704c在与热点区域1104a相对应的区域1114a中,并且电路块704c的功率由于操作1004而被减小,从而生成热量图1106的热点区域1108a。
例如,在一些实施例中,在操作1004的执行期间,加热器706b和电路块704d两者都在对应于热点区域1104b的区域1114b中,并且因为电路块704d在区域1114b中占据比加热器706b更多的面积,所以电路块704d的功率由于操作1004而被减小,从而生成热量图1106的热点区域1108b。
图1100A的其他配置在本公开的范围内。
图11B是根据一些实施例的功率图和集成电路设计的图1100B。
图1100B包括热量图1122、热量图1126和集成电路设计1132。
在一些实施例中,集成电路设计1132对应于集成电路设计700,并且热量图1122对应于图9C的热量图900C,因此类似的详细描述被省略。
热量图1122对应于集成电路设计1132的热量图,因此类似的详细描述被省略。在一些实施例中,热量图1122和1126由系统1400在执行图8的操作804时生成。
热量图1126对应于执行图10的操作1012之后的集成电路设计1132的热量图,因此类似的详细描述被省略。
热量图1122包括冷点区域1124a。冷点区域1124a对应于集成电路设计1132的区域1134a。
集成电路设计1132的区域1134a对应于电路块704c。
热量图1126包括冷点区域1128a。冷点区域1128a对应于在执行操作1012之后的冷点区域1124a。例如,在一些实施例中,在操作1012的执行期间,加热器706a在对应于冷点区域1124a的区域1134a中,并且加热器704c的功率由于操作1012增加,从而生成热量图1126的冷点区域1128a。
图1100B的其他配置在本公开的范围内。
图11C是根据一些实施例的功率图和集成电路设计的图1100C。
图1100C包括热量图1122、热量图1146、集成电路设计1132和集成电路设计1162。
在一些实施例中,集成电路设计1132对应于集成电路设计700,并且热量图1122对应于图9C的热量图900C,因此类似的详细描述被省略。
热量图1122对应于集成电路设计1132的热量图,因此类似的详细描述被省略。在一些实施例中,热量图1122和1146由系统1400在执行图8的操作804时生成。
热量图1146对应于集成电路设计1162的热量图,并且在执行图10的操作1010之后生成集成电路设计1162和相应的热量图1146,因此类似的详细描述被省略。
热量图1122包括冷点区域1144a。冷区区域1144a对应于集成电路设计1132的区域1154a。
集成电路设计1132的区域1154a不包括集成电路设计1132中的电路块或加热器。
热量图1146包括冷点区域1148a。冷点区域1148a对应于在执行操作1010之后的冷点区域1144a。例如,在一些实施例中,在操作1010的执行期间,在集成电路设计1132的区域1154a中没有加热器,因此系统1400将新加热器1160插入到集成电路设计1132的区域1164a中,并且区域1164a对应于热量图1146的冷点区域1148a。
图1100C的其他配置在本公开的范围内。
方法
图12是根据一些实施例的同时执行对集成电路的老化测试和对集成电路的自动化测试的方法1200的流程图。
在一些实施例中,方法1200是方法400的操作408的实施例,并且类似的详细描述被省略。在一些实施例中,操作1202、1204和1206中的一个或多个操作由图14的系统100或系统1400执行。在一些实施例中,方法1200可用于同时执行对集成电路的老化测试和对集成电路的自动化测试。
在方法1200的操作1202中,电路块集合和第一加热器集合被配置作为用于对集成电路的老化测试的第一热源集合,从而生成集成电路的第一热量特征。
在一些实施例中,操作1202包括:根据与集成电路设计相对应的仿真设计功率水平来接通电路块集合和第一加热器集合,从而生成第一热量特征。
在一些实施例中,方法1200的集成电路至少包括晶圆102、晶圆200、多个集成电路201或集成电路203。
在一些实施例中,方法1200的集成电路设计至少包括图7的集成电路设计700、图9A的集成电路设计902、图11A的集成电路设计1112、图11B至图11C的集成电路设计1132、或图11C的集成电路设计1162。
在一些实施例中,方法1200的集成电路的电路块集合至少包括图2的电路块集合204。
在一些实施例中,方法1200的集成电路的第一加热器集合至少包括图2的加热器集合206。
在一些实施例中,方法1200的仿真设计功率水平包括表600中所包含的至少一个或多个功率值604或606。
在方法1200的操作1204中,将集成电路放置在载体晶圆上。在一些实施例中,方法1200的载体晶圆包括图1的载体晶圆106和图3的载体晶圆300。
在方法1200的操作1206中,载体晶圆的至少一部分被配置作为用于对集成电路的老化测试的第二热源集合,从而生成集成电路的第二热量特征。
在一些实施例中,方法1200的载体晶圆的至少一部分包括图3的至少区域302、多个集成电路管芯301中的一个或多个、集成电路管芯303或电路管芯集合304中的至少一个管芯。
在一些实施例中,操作1206包括接通第二加热器集合,从而生成第二热量特征,该第二热量特征与用于对集成电路的老化测试的第二热源集合相对应。
在一些实施例中,方法1200的至少第二加热器集合包括图1的加热器芯片108、加热器集合306中的至少一个加热器、图13的加热器1300或图13的互连1302a或图13的互连1302b的至少一部分。
在一些实施例中,方法1200的集成电路的第一热量特征对应于集成电路的老化热分布,并且第二加热器集合中的加热器被关闭。
在一些实施例中,集成电路的第一热量特征和方法1200的第二热量特征对应于对集成电路的老化热分布,并且第二加热器集合中的至少一个加热器被接通。
方法1200操作用于实现上文关于至少图1的系统100、图2的晶圆200、图3的载体晶圆、图4或图5至图11的方法400所论述的益处。
加热器
图13是根据一些实施例的加热器1300的截面图。在一些实施例中,加热器1300是图1中的加热器芯片108的实施例或图3中的加热器集合306的加热器,并且类似的详细描述被省略。
加热器1300可用作图1中的加热器芯片108中的加热器或图3中的加热器集合306中的加热器。
加热器1300在管芯1304的一层或多层中包括互连1302a和互连1302b。在一些实施例中,管芯1304对应于图3的管芯集合304中的一个或多个管芯,并且类似的详细描述被省略。
互连1302a和1302b各自包括一个或多个导电特征,例如在绝缘材料1310中形成的导线(未标记)、过孔(未标记)或导电垫(未标记)。在一些实施例中,形成在互连结构1302a和1302b的绝缘材料1310中的一个或多个导电特征(例如导电线(未标记)、过孔(未标记)或导电焊盘(未标记))被称为管芯1304的一个或多个再分布层(RDL)。图13中所示的导电特征的布线仅为示例。互连结构1302a和1302b的导电特征的其他配置、布置和材料在本公开的预期范围内。互连结构1302a和1302b的其他配置、布置和材料在本公开的预期范围内。
在一些实施例中,互连1302a和1302b被配置为:当电流通过相应的互连1302a和1302b时,生成热量并用作两个不同级的相应的热源。在一些实施例中,可以通过改变流过相应的互连1302a和1302b的电流的量来调整由互连1302a和1302b生成的热量。在一些实施例中,可以通过选择互连1302a和1302b的不同级数来调整由互连件1302a和1302b生成的热量。
在一些实施例中,至少互连结构1302a或1302b由导电材料制成,例如铜、铜合金、铝、合金或它们的组合。在一些实施例中,使用其他适用的材料。在一些实施例中,至少互连结构1302a或1302b包括其他导电材料,例如钨(W)、Cu、Al或AlCu。在一些实施例中,绝缘材料1310由氧化硅制成。在一些实施例中,绝缘材料1310包括电介质材料的多个电介质层。多个电介质层中的一个或多个由低介电常数(低k)材料制成。
图13示出了两个互连或两个级,但是互连1302a和1302b的其他级数以及通过互连1302a和1302b的相应电流值也在本公开的范围内。
加热器1300还包括互连结构1302a和1302b的表面上的凸块下金属(UBM)层1312。在一些实施例中,UBM层包括一个或多个导电部分1312a、1312b、…、1312f,其中,f是与UBM层1312中的导电部分的数量相对应的整数。在一些实施例中,UBM层1312形成在互连结构1302a和1302b的表面上。在一些实施例中,UBM层1312形成在金属衬垫(未示出)上。在一些实施例中,UBM层1312包括粘附层和/或润湿层。在一些实施例中,UBM层1312至少包括铜种子层。在一些实施例中,UBM层1312包括钛(Ti)、氮化钛(TiN)、氮化钽(TaN)或钽(Ta)等。UBM层1312的其他配置、布置和材料在本公开的预期范围内。
集成电路100还包括在UBM层1312上的焊料凸块集合1314。
焊料凸块集合1314包括一个或多个焊料凸块1314a、1314b、…、1314f,其中,f是与焊料凸块集合1314中的焊料凸块的数量相对应的整数。在一些实施例中,焊料凸块集合1314形成在UBM层1312之上。在一些实施例中,焊料凸块集合1314中的一个或多个焊料凸块1314a、1314b、…、1314f包括具有低电阻率的导电材料,例如焊料或焊料合金。在一些实施例中,焊料合金包括Sn、Pb、Ag、Cu、Ni、Bi或它们的组合。焊料凸块集合1314的其他配置、布置和材料在本公开的预期范围内。
在一些实施例中,焊料凸块集合1314通过凸块集合1314电气地连接到一个或多个其他封装结构(未示出)。
加热器1300的其他配置、布置和材料在本公开的预期范围内。
系统
图14是根据一些实施例的用于设计IC布局设计、仿真IC设计以及制造IC电路的系统1400的示意图。在一些实施例中,系统1400生成或放置本文描述的一个或多个IC布局设计,测试基于IC布局设计的一个或多个IC设计,并制造基于布局设计的IC。在一些实施例中,系统1400是图1中的系统110,因此类似的详细描述被省略。
系统1400包括硬件处理器1402和编码有(即存储)计算机程序代码1406(即可执行指令集合1406)的非暂时性计算机可读存储介质1404(例如存储器1404)。在一些实施例中,计算机可读存储介质1404被配置用于与用于生产集成电路的制造机器接口连接。在一些实施例中,计算机可读存储介质1404被配置用于与晶圆102、测试电路板104、载体晶圆106、以及加热器芯片108接口连接以用于测试集成电路。在一些实施例中,计算机可读存储介质1404被配置为生成并仿真集成电路设计。
处理器1402通过总线1408电气地耦合到计算机可读存储介质1404。处理器1402还通过总线1408电气地耦合到I/O接口1410。网络接口1412还通过总线1408电气地连接到处理器1402。网络接口1412连接到网络1414,使得处理器1402和计算机可读存储介质1404能够通过网络1414连接到外部元件。处理器1402被配置为执行被编码在计算机可读存储介质1404中的计算机程序代码1406,以便使得系统1400能够用于执行至少在方法400、500、800、1000或1200中描述的一部分或全部操作。
在一些实施例中,处理器1402是中央处理单元(CPU)、多处理器、分布式处理系统、专用集成电路(ASIC)和/或合适的处理单元。
在一些实施例中,计算机可读存储介质1404是电子、磁性、光学、电磁、红外和/或半导体系统(或装置或设备)。例如,计算机可读存储介质1404包括半导体或固态存储器、磁带、可移动计算机磁盘、随机存取存储器(RAM)、只读存储器(ROM)、刚性磁盘、和/或光盘。在一些使用光盘的实施例中,计算机可读存储介质1404包括光盘只读存储器(CD-ROM)、光盘读/写(CD-R/W)和/或数字视频光盘(DVD)。
在一些实施例中,存储介质1404存储被配置为使系统1400至少执行方法400、500、800、1000或1200的计算机程序代码1406。在一些实施例中,存储介质1404还存储执行至少方法400、500、800、1000或1200所需的信息以及在执行至少方法400、500、800、1000或1200期间生成的信息,例如IC设计1416、用户界面1418、IC设计仿真1422、仿真参数1424、测试参数1426、查找表1428和映射1430,和/或用于执行至少方法400、500、800、1000或1200的操作的可执行指令集合。在一些实施例中,IC设计1416包括布局设计100、200、500、700、900A-900C、1000A-1000E或1200B中的一个或多个布局图案。
在一些实施例中,IC设计1416至少包括图7的集成电路设计700、图9A的集成电路设计902、图11A的集成电路设计1112、图11B至图11C的集成电路设计1132、或图11C的集成电路设计1162。
在一些实施例中,IC设计仿真1422包括被配置为执行至少方法400、500、800、1000或1200的一个或多个部分的计算机代码。
在一些实施例中,仿真参数1424至少包括如由图1至图13中的一个或多个附图中的一个或多个用户、一个或多个热量参数或一个或多个功率参数所定义的方法500的重复次数。在一些实施例中,一个或多个热量参数至少包括图1至图13中的一个或多个附图中的热量分布、一个或多个来自(一个或多个)用户的热量范围、一个或多个热量特征、一个或多个热量值、一个或多个总热量值。在一些实施例中,一个或多个功率参数至少包括来自表600的功率信息、图1至图13的一个或多个附图中的窗口阵列的每个窗口的功率信息或一个或多个功率值。
在一些实施例中,测试参数1426至少包括图1至图13中的一个或多个附图中的老化测试参数和ATE测试参数。
在一些实施例中,查找表1428至少包括图1至图13的一个或多个附图中的表600、功率信息或位置信息。在一些实施例中,查找表1428包括方法500的设计文件。
在一些实施例中,图1430包括图1至图13中的一个或多个附图中的至少一个或多个热量图、一个或多个功率图、一个或多个热点、一个或多个冷点或一个或多个窗口阵列。
在一些实施例中,存储介质1404存储用于与制造机器接口连接的指令(例如计算机程序代码1406)。指令(例如计算机程序代码1406)使处理器1402能够生成制造机器可读的制造指令,以在制造工艺中有效地至少实施方法400、500、800、1000或1200。
系统1400包括I/O接口1410。I/O接口1410耦合到外部电路。在一些实施例中,I/O接口1410包括键盘、小键盘、鼠标、轨迹球、轨迹板和/或光标方向键,以用于向处理器1402传送信息和命令。
系统1400还包括耦合到处理器1402的网络接口1412。网络接口1412允许系统1400与一个或多个其他计算机系统所连接的网络1414通信。网络接口1412包括:无线网络接口,例如蓝牙、WIFI、WIMAX、GPRS或WCDMA;或有线网络接口,例如以太网、USB或IEEE-1494。在一些实施例中,在两个或更多个系统1400中实现至少方法400、500、800、1000或1200,并且通过网络1414在不同系统1400之间交换诸如IC设计、用户界面、IC设计仿真、仿真参数、测试参数、查找表和图之类的信息。
系统1400被配置为通过I/O接口1410或网络接口1412接收与IC或布局设计有关的信息。该信息通过总线1408被传送到处理器1402,以确定用于生产至少晶圆102、晶圆200、多个集成电路201或集成电路203的IC设计。然后,将IC设计存储在计算机可读介质1404中作为IC设计1416。系统1400被配置为通过I/O接口1410或网络接口1412接收与用户接口有关的信息。该信息存储在计算机可读介质1404中作为用户界面1418。系统1400被配置为通过I/O接口1410或网络接口1412接收与IC设计仿真有关的信息。该信息存储在计算机可读介质1404中作为IC设计仿真1422。系统1400被配置为通过I/O接口1410或网络接口1412接收与仿真参数有关的信息。该信息存储在计算机可读介质1404中作为仿真参数1424。系统1400被配置为通过I/O接口1410或网络接口1412接收与测试参数有关的信息。该信息存储在计算机可读介质1404中作为测试参数1426。系统1400被配置为通过I/O接口1410或网络接口1412接收与查找表有关的信息。该信息存储在计算机可读介质1404中作为查找表1428。系统1400被配置为通过I/O接口1410或网络接口1412接收与图有关的信息。该信息存储在计算机可读介质1404中作为图1430。
在一些实施例中,方法400、500、800、1000或1200中的一个或多个的至少一些部分被实现为用于由处理器执行的独立软件应用。在一些实施例中,方法400、500、800、1000或1200中的一个或多个的至少一些部分被实现为作为附加软件应用的一部分的软件应用。在一些实施例中,方法400、500、800、1000或1200中的一个或多个的至少一些部分被实现为软件应用的插件。在一些实施例中,方法400、500、800、1000或1200中的一个或多个方法的至少一些部分被实现为作为EDA工具的一部分的软件应用。在一些实施例中,方法400、500、800、1000或1200中的一个或多个的方法的至少一些部分被实现为由EDA工具使用的软件应用。在一些实施例中,EDA工具用于生成集成电路器件的布局设计,生成并仿真IC器件的集成电路设计或执行对IC器件的测试。在一些实施例中,布局设计、IC设计以及仿真和测试参数被存储在非暂时性计算机可读介质上。在一些实施例中,布局是使用诸如可从CADENCEDESIGN SYSTEMS公司得到的
Figure BDA0003145343920000381
之类的工具或另一种合适的布局生成工具而生成的。在一些实施例中,布局是根据基于示意设计创建的网表来生成的。在一些实施例中,方法400、500、800、1000或1200中的一个或多个方法的至少一些部分由制造设备实现,以使用基于由系统1400生成的一个或多个布局设计制造的掩模集合来制造集成电路。在一些实施例中,系统1400是使用基于本公开的一个或多个布局设计制造的掩模集合来制造集成电路的制造设备。
在一些实施例中,图14的系统1400进行操作以实现上文至少关于图1的系统100、图2的晶圆200、图3的载体晶圆300、图4或图5至图13的方法400所论述的益处。
图15是根据本公开的至少一个实施例的集成电路(IC)制造系统1500以及与其相关联的IC制造流程的框图。在一些实施例中,基于布局图,使用制造系统1500制造以下项中的至少一项:(A)一个或多个半导体掩模、或(B)半导体集成电路的层中的至少一个组件。
在图15中,IC制造系统1500(下文称为“系统1500”)包括在设计、开发、以及与制造IC器件1560有关的制造周期和/或服务中彼此交互的实体,例如,设计室1520、掩模室1530以及IC制造者/制造商(“fab”)1540。系统1500中的实体通过通信网络连接。在一些实施例中,通信网络是单个网络。在一些实施例中,通信网络是各种不同的网络,例如,内联网和互联网。该通信网络包括有线和/或无线通信信道。每个实体与一个或多个其他实体交互,并且向一个或多个其他实体提供服务和/或从一个或多个其他实体接收服务。在一些实施例中,设计室1520、掩模室1530和IC fab 1540中的一者或多者由单个较大公司拥有。在一些实施例中,设计室1520、掩模室1530和IC fab 1540中的一者或多者在公共设施中共存并使用公共资源。
设计室(或设计团队)1520生成IC设计布局1522。IC设计布局1522包括针对IC器件1560设计的各种几何图案。几何图案对应于构成要制造的集成电路器件1560的各个组件的金属、氧化物或半导体层的图案。各种层组合形成各种IC特征。例如,IC设计布局1522的一部分包括各种IC特征,例如,有源区域、栅极电极、源极电极和漏极电极、层间互连的金属线或通孔以及用于接合焊盘的开口,以形成于半导体衬底(例如,硅晶圆)以及设置在半导体衬底上的各个材料层中。设计室1520实现了适当设计程序以形成IC设计布局1522。设计程序包括一个或多个逻辑设计、物理设计或地点和布线。IC设计布局1522呈现在具有几何图案的信息的一个或多个数据文件中。例如,IC设计布局1522可以用GDSII文件格式或DFII文件格式表示。在一些实施例中,IC设计布局1522至少包括图7的集成电路设计700、图9A的集成电路设计902、图11A的集成电路设计1112、图11B至图11C的集成电路设计1132、或图11C的集成电路设计1162。
掩模室1530包括数据准备1532和掩模制造1534。掩模室1530使用IC设计布局1522来制造一个或多个掩模1545,以用于根据IC设计布局1522来制造IC器件1560的各个层。掩模室1530执行掩模数据准备1532,其中IC设计布局1522被转换为代表性数据文件(“RDF”)。掩模数据准备1532向掩模制造1534提供RDF。掩模制造1534包括掩模写入器。掩模写入器将RDF转换为衬底上的图像,例如,掩模(刻线(reticle))1545或半导体晶圆1542。设计布局1522由掩模数据准备1532操纵,以符合掩模写入器的特定特性和/或IC fab 1540的要求。在图15中,将掩模数据准备1532和掩模制造1534示为单独的元素。在一些实施例中,掩模数据准备1532和掩模制造1534可以被统称为掩模数据准备。
在一些实施例中,掩模数据准备1532包括光学邻近校正(OPC),其使用光刻增强技术来补偿图像误差,例如,可能由衍射、干涉、其他工艺效果等引起的那些误差。OPC调整IC设计布局1522。在一些实施例中,掩模数据准备1532还包括分辨率增强技术(RET),例如,离轴照明、亚分辨率辅助特性、相移掩模、其他合适的技术等或前述项的组合。在一些实施例中,还使用了将OPC视为逆成像问题的逆光刻技术(ILT)。
在一些实施例中,掩模数据准备1532包括掩模规则检查器(MRC),其利用一组掩码创建标准规则来检查已经在OPC中进行处理的IC设计布局,该组掩模创建标准规则包含某些几何和/或连接性限制以确保足够的余量,以解释半导体制造工艺的可变性等。在一些实施例中,MRC修改IC设计布局以补偿掩模制造1534期间的限制,其可以撤消OPC所执行的部分修改以便满足掩模创建标准规则。
在一些实施例中,掩模数据准备1532包括模拟将由IC fab 1540实现以制造IC器件1560的处理的光刻工艺检查(LPC)。LPC基于IC设计布局1522模拟此处理,以创建模拟制造的器件,例如IC器件1560。LPC模拟中的工艺参数可以包括与IC制造周期的各种工艺相关联的参数、与用于制造IC的工具相关联的参数、和/或制造工艺的其他方面。LPC考虑了各种因素,例如,航空图像对比度、聚焦深度(“DOF”)、掩模误差增强因子(“MEEF”)、其他合适的因素等或前述项的组合。在一些实施例中,在由LPC创建模拟制造的器件之后,如果模拟器件的形状不足以满足设计规则,则重复OPC和/或MRC以进一步改进IC设计布局1522。
应当理解,出于清楚的目的,对掩模数据准备1532的上述描述进行了简化。在一些实施例中,数据准备1532包括诸如逻辑操作(LOP)之类的附加特征,以根据制造规则来修改IC设计布局。此外,在数据准备1532期间应用于IC设计布局1522的工艺可以以各种不同的顺序执行。
在掩模数据准备1532之后和掩模制造1534期间,基于经修改的IC设计布局1522来制造掩模1545或一组掩模1545。在一些实施例中,掩模制造1534包括基于IC设计1522执行一个或多个光刻曝光。在一些实施例中,基于经修改的IC设计布局1522,电子束(e-beam)或多个电子束的机构用于在掩模(光掩模或刻线)1545上形成图案。掩模1545可以以各种技术形成。在一些实施例中,使用二进制技术形成掩模1545。在一些实施例中,掩模图案包括不透明区域和透明区域。用于曝光已涂覆在晶圆上的图像敏感材料层(例如,光致抗蚀剂)的辐射光束(例如,紫外线(UV)光束)被不透明区域阻挡并穿过透明区域。在一个示例中,掩模1545的二元版本包括透明衬底(例如,熔融石英)和涂覆在二元掩模的不透明区域中的不透明材料(例如,铬)。在另一示例中,使用相移技术形成掩模1545。在掩模1545的相移掩模(PSM)版本中,在掩模上形成的图案中的各种特征被配置为具有适当的相位差以增强分辨率和成像质量。在各种示例中,相移掩模可以是衰减的PSM或交替的PSM。由掩模制造1534生成的(一个或多个)掩模用于各种工艺。例如,在离子注入工艺中使用这样的(一个或多个)掩模,以在半导体晶圆中形成各种掺杂区域,在蚀刻工艺中使用这样的(一个或多个)掩模,以在半导体晶圆中形成各种蚀刻区域,和/或在其他合适的工艺中使用这样的(一个或多个)掩模。
IC fab 1540是包括用于制造各种不同的IC产品的一个或多个制造设施的IC制造实体。在一些实施例中,IC fab 1540是半导体铸造厂。例如,可以存在用于多个IC产品的前端制造的制造设施(前段制程(FEOL)制造),而第二制造设施可以提供用于IC产品的互连和封装的后端制造(后段制程(BEOL)制造),第三制造设施可以为铸造实体提供其他服务。
IC fab 1540包括晶圆制造工具1552(下文中称为“制造工具1552”),制造工具1552被配置为在半导体晶圆1542上执行各种制造操作,从而根据(一个或多个)掩模(例如,掩模1545)来制造IC器件1560。在各种实施例中,制造工具1552包括以下项中的一项或多项:晶圆步进器、离子注入机、光致抗蚀剂涂布机、处理室(例如,CVD室或LPCVD炉)、CMP系统、等离子体蚀刻系统、晶圆清洁系统、或如本文所讨论的能够执行一个或多个合适的制造工艺的其他制造设备。
IC fab 1540使用由掩模室1530制造的(一个或多个)掩模1545来制造IC器件1560。因此,IC fab 1540至少间接地使用IC设计布局图1522来制造IC器件1560。在一些实施例中,半导体晶圆1542由IC fab 1540使用(一个或多个)掩模1545制造以形成IC器件1560。在一些实施例中,IC制造包括至少间接地基于IC设计1522执行一个或多个光刻曝光。半导体晶圆1542包括硅衬底或具有在其上形成的材料层的其他适当衬底。半导体晶圆1542还包括各种掺杂区域、电介质特征、多级互连等(在随后的制造步骤中形成)中的一者或多者。
在一些实施例中,IC器件1560至少包括晶圆102、晶圆200、多个集成电路201或集成电路203。
系统1500被示为具有作为单独的组件或实体的设计室1520、掩模室1530或IC fab1540。然而,应理解,设计室1520、掩模室1530或IC fab1540中的一者或多者是相同组件或实体的一部分。
关于集成电路(IC)制造系统(例如,图15的系统1500)以及与之相关联的IC制造流程的细节在例如以下专利文献中找到:2016年2月9日授权的美国专利No.9,256,709;2015年10月1日公开的美国预授权公开No.20150278429;2014年2月6日公开的美国预授权公开No.20140040838;以及2007年8月21日授予的美国专利No.7,260,442,这些专利文献的全部内容通过引用合并于此。
本领域普通技术人员将容易看到,所公开的实施例中的一个或多个实现了上述一个或多个优点。在阅读上述说明书之后,普通技术人员将能够实现如本文广泛公开的各种变化、等同物的替换和各种其它实施例。因此,此处授予的保护仅由所附权利要求及其等同物中包含的定义限定。
本说明书的一个方面涉及一种在测试电路板上测试集成电路的方法。在一些实施例中,该方法包括:由处理器执行对整个集成电路设计中的第一热分布的仿真;根据集成电路设计来制造集成电路;以及同时执行对集成电路的老化测试和对集成电路的自动化测试。在一些实施例中,集成电路设计被配置为以仿真设计功率水平进行操作,并生成第一热分布。在一些实施例中,老化测试具有集成电路的最低老化温度和在整个集成电路上的老化热分布。在一些实施例中,集成电路设计对应于集成电路。在一些实施例中,集成电路被配置为根据仿真设计功率水平进行操作,并且集成电路被耦合至测试电路板。在一些实施例中,集成电路包括电路块集合和第一加热器集合。
本说明书的另一方面涉及一种在测试电路板上测试集成电路的方法。在一些实施例中,该方法包括:由处理器执行对整个集成电路设计中的第一热分布的仿真,以及根据该集成电路设计来制造集成电路。在一些实施例中,集成电路设计被配置为以仿真设计功率水平进行操作,并生成第一热分布。在一些实施例中,仿真设计功率水平包括配置功率信息。在一些实施例中,集成电路设计包括电路块集合和加热器集合。在一些实施例中,执行该仿真包括:根据用于集成电路设计中所包括的电路块集合中的每个电路块和加热器集合中的每个加热器的配置功率信息和位置信息来确定集成电路设计的热量特征。在一些实施例中,包括热量值的热量特征分布在整个集成电路设计中。在一些实施例中,执行该仿真还包括:确定集成电路设计的热量特征的热量值是否在集成电路设计的热量范围内,以及响应于确定集成电路设计的热量值不在热量范围内而修改集成电路设计。
本具体实施方式的另一方面涉及一种测试系统。在一些实施例中,测试系统包括集成电路,耦合到集成电路的测试电路板、耦合到至少集成电路或测试电路板的载体晶圆、以及电气地耦合到集成电路的第一系统。在一些实施例中,第一系统包括被配置为存储可执行指令的非暂时性计算机可读介质,以及耦合到该非暂时性计算机可读介质的处理器。在一些实施例中,处理器被配置为执行可执行指令,以执行对整个集成电路设计中的第一热分布的仿真。在一些实施例中,集成电路设计被配置为以仿真设计功率水平进行操作,并生成第一热分布。在一些实施例中,集成电路设计对应于集成电路。在一些实施例中,集成电路被配置为根据仿真设计功率水平进行操作。在一些实施例中,测试系统被配置为同时执行对集成电路的老化测试和对集成电路的自动化测试。在一些实施例中,老化测试具有集成电路的最低老化温度和在整个集成电路上的老化热分布。
上文概述了一些实施例的特征,以使本领域技术人员可以更好地理解本公开的各个方面。本领域的技术人员应该领会的是,他们可以容易地使用本公开作为基础,用于设计或者修改其他工艺和结构,以实现与这里引入的实施例相同的目的和/或达到与这里引入的实施例相同的优点。本领域技术人员还应当认识到,这些等同构造并不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下进行各种改变、替代和变更。
示例
示例1.一种在测试电路板上测试集成电路的方法,所述集成电路包括电路块集合和第一加热器集合,所述方法包括:由处理器执行对整个集成电路设计中的第一热量分布的仿真,所述集成电路设计被配置为以仿真设计功率水平进行操作并且生成所述第一热量分布,并且所述集成电路设计与所述集成电路相对应;根据所述集成电路设计来制造所述集成电路;以及同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试,所述集成电路被配置为根据所述仿真设计功率水平进行操作,并且所述集成电路耦合到所述测试电路板,其中,所述老化测试具有所述集成电路的最低老化温度和所述集成电路上的老化热分布。
示例2.根据示例1所述的方法,其中,同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试包括:将所述电路块集合和所述第一加热器集合配置作为用于对所述集成电路的老化测试的第一热源集合,从而生成所述集成电路的第一热量特征。
示例3.根据示例2所述的方法,其中,将所述电路块集合和所述第一加热器集合配置作为用于对所述集成电路的老化测试的所述第一热源集合,从而生成所述集成电路的所述第一热量特征包括:根据仿真设计功率水平接通所述电路块集合和所述第一加热器集合,以生成所述第一热量特征。
示例4.根据示例2所述的方法,其中,所述集成电路的所述第一热量特征对应于所述集成电路上的老化热分布。
示例5.根据示例2所述的方法,其中,同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试还包括:将所述集成电路放置在载体晶圆上;以及将所述载体晶圆的至少一部分配置作为第二热源集合,所述第二热源集合用于对所述集成电路的老化测试,从而生成所述集成电路的第二热量特征,其中,所述第二热源集合对应于位于集成电路管芯的网格布置中的第二加热器集合,并且所述集成电路管芯是所述载体晶圆的一部分。
示例6.根据示例5所述的方法,其中,所述集成电路的所述第一热量特征和所述第二热量特征对应于所述集成电路上的老化热分布。
示例7.根据示例5所述的方法,其中,将所述载体晶圆的至少一部分配置作为用于对所述集成电路的老化测试的所述第二热源集合包括:接通所述第二加热器集合,从而生成与用于对所述集成电路的老化测试的所述第二热源集合相对应的所述第二热量特征。
示例8.根据示例1所述的方法,其中,整个所述集成电路设计中的所述第一热分布是均匀的。
示例9.根据示例1所述的方法,其中,在不使用老化板或烤箱的情况下,同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试。
示例10.根据示例1所述的方法,其中,执行对整个所述集成电路设计中的所述第一热分布的仿真包括:根据整个所述集成电路设计中的所述第一热分布来修改所述集成电路设计。
示例11.一种在测试电路板上测试集成电路的方法,所述方法包括:由处理器执行对整个集成电路设计中的第一热分布的仿真,所述集成电路设计包括电路块集合和加热器集合,所述集成电路设计被配置为以仿真设计功率水平进行操作并且生成所述第一热分布,所述仿真设计功率水平包括配置功率信息,并且执行所述仿真包括:根据所述集成电路设计中所包括的电路块集合中的每个电路块和加热器集合中的每个加热器的配置功率信息和位置信息,来确定所述集成电路设计的热量特征,所述热量特征包括在整个所述集成电路设计中所分布的热量值;确定所述集成电路设计的热量特征的热量值是否在所述集成电路设计的热量范围内;以及响应于确定所述集成电路设计的热量特征的热量值不在所述热量范围内,修改所述集成电路设计;以及根据所述集成电路设计来制造集成电路。
示例12.根据示例11所述的方法,还包括:响应于确定所述集成电路设计的热量特征的热量值在所述热量范围内或者确定所述仿真的重复次数超过用户定义的限制,而不修改所述集成电路设计。
示例13.根据示例11所述的方法,其中,修改所述集成电路设计包括:响应于确定所述集成电路设计的热量特征的热量值不在所述集成电路设计的所述热量范围内,修改所述集成电路设计中的至少一个元件的配置功率,所述元件至少包括所述电路块集合的第一电路块或所述加热器集合的第一加热器。
示例14.根据示例13所述的方法,其中,修改所述集成电路设计中的至少所述元件的配置功率包括:增加所述电路块集合中的至少所述第一电路块或所述加热器集合中的所述第一加热器的配置功率。
示例15.根据示例13所述的方法,其中,修改所述集成电路设计中的至少所述元件的配置功率包括:减小所述电路块集合中的至少所述第一电路块或所述加热器集合中的所述第一加热器的配置功率。
示例16.根据示例11所述的方法,其中,修改所述集成电路设计包括:响应于确定所述集成电路的热量特征的热量值不在所述集成电路设计的所述热量范围内,而向所述集成电路设计中的所述加热器集合添加新加热器。
示例17.根据示例11所述的方法,其中,修改所述集成电路设计包括:响应于确定所述集成电路的热量特征的热量值不在所述集成电路设计的所述热量范围内,而从所述集成电路设计中的所述加热器集合中移除加热器。
示例18.根据示例11所述的方法,其中,执行对整个所述集成电路设计中的所述第一热分布的仿真还包括:获得用于所述集成电路设计中的所述电路块集合中的每个电路块以及所述加热器集合中的每个加热器的配置功率信息;以及从设计文件提取所述集成电路设计中的所述电路块集合中的每个电路块和所述加热器集合中的每个加热器的位置信息。
示例19.一种测试系统,包括:集成电路;测试电路板,所述测试电路板耦合到所述集成电路;载体晶圆,所述载体晶圆至少耦合到所述集成电路或所述测试电路板;以及第一系统,所述第一系统电气地耦合到所述集成电路,所述第一系统包括:非暂时性计算机可读介质,所述非暂时性计算机可读介质被配置为存储可执行指令;以及处理器,所述处理器耦合到所述非暂时性计算机可读介质,其中,所述处理器被配置为执行所述可执行指令,以进行以下操作:执行对整个集成电路设计中的第一热分布的仿真,所述集成电路设计被配置为以仿真设计功率进行操作并且生成所述第一热量分布,并且所述集成电路设计与所述集成电路相对应;其中,所述测试系统被配置为同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试,所述集成电路被配置为根据所述仿真设计功率水平进行操作,其中,所述老化测试具有所述集成电路的最低老化温度和整个所述集成电路上的老化热分布。
示例20.根据示例19所述的测试系统,其中,执行对整个所述集成电路设计中的所述第一热分布的仿真包括:获得用于所述集成电路设计中的电路块集合中的每个电路块和加热器集合中的每个加热器的配置功率信息和位置信息;根据用于所述集成电路设计中所包括的所述电路块集合中的每个电路块和所述加热器集合的每个加热器的配置功率信息和位置信息,来确定所述集成电路设计的热量特征,所述热量特征包括在整个所述集成电路设计上所分布的热量值;确定所述集成电路设计的热量特征的热量值是否在所述热量范围内;以及响应于确定所述集成电路设计的热量特征的热量值不在所述热量范围内,而修改所述集成电路设计。

Claims (10)

1.一种在测试电路板上测试集成电路的方法,所述集成电路包括电路块集合和第一加热器集合,所述方法包括:
由处理器执行对整个集成电路设计中的第一热量分布的仿真,所述集成电路设计被配置为以仿真设计功率水平进行操作并且生成所述第一热量分布,并且所述集成电路设计与所述集成电路相对应;
根据所述集成电路设计来制造所述集成电路;以及
同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试,所述集成电路被配置为根据所述仿真设计功率水平进行操作,并且所述集成电路耦合到所述测试电路板,其中,所述老化测试具有所述集成电路的最低老化温度和所述集成电路上的老化热分布。
2.根据权利要求1所述的方法,其中,同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试包括:
将所述电路块集合和所述第一加热器集合配置作为用于对所述集成电路的老化测试的第一热源集合,从而生成所述集成电路的第一热量特征。
3.根据权利要求2所述的方法,其中,将所述电路块集合和所述第一加热器集合配置作为用于对所述集成电路的老化测试的所述第一热源集合,从而生成所述集成电路的所述第一热量特征包括:
根据仿真设计功率水平接通所述电路块集合和所述第一加热器集合,以生成所述第一热量特征。
4.根据权利要求2所述的方法,其中,所述集成电路的所述第一热量特征对应于所述集成电路上的老化热分布。
5.根据权利要求2所述的方法,其中,同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试还包括:
将所述集成电路放置在载体晶圆上;以及
将所述载体晶圆的至少一部分配置作为第二热源集合,所述第二热源集合用于对所述集成电路的老化测试,从而生成所述集成电路的第二热量特征,
其中,所述第二热源集合对应于位于集成电路管芯的网格布置中的第二加热器集合,并且所述集成电路管芯是所述载体晶圆的一部分。
6.根据权利要求5所述的方法,其中,所述集成电路的所述第一热量特征和所述第二热量特征对应于所述集成电路上的老化热分布。
7.根据权利要求5所述的方法,其中,将所述载体晶圆的至少一部分配置作为用于对所述集成电路的老化测试的所述第二热源集合包括:
接通所述第二加热器集合,从而生成与用于对所述集成电路的老化测试的所述第二热源集合相对应的所述第二热量特征。
8.根据权利要求1所述的方法,其中,整个所述集成电路设计中的所述第一热分布是均匀的。
9.一种在测试电路板上测试集成电路的方法,所述方法包括:
由处理器执行对整个集成电路设计中的第一热分布的仿真,所述集成电路设计包括电路块集合和加热器集合,所述集成电路设计被配置为以仿真设计功率水平进行操作并且生成所述第一热分布,所述仿真设计功率水平包括配置功率信息,并且执行所述仿真包括:
根据所述集成电路设计中所包括的电路块集合中的每个电路块和加热器集合中的每个加热器的配置功率信息和位置信息,来确定所述集成电路设计的热量特征,所述热量特征包括在整个所述集成电路设计中所分布的热量值;
确定所述集成电路设计的热量特征的热量值是否在所述集成电路设计的热量范围内;以及
响应于确定所述集成电路设计的热量特征的热量值不在所述热量范围内,修改所述集成电路设计;以及
根据所述集成电路设计来制造集成电路。
10.一种测试系统,包括:
集成电路;
测试电路板,所述测试电路板耦合到所述集成电路;
载体晶圆,所述载体晶圆至少耦合到所述集成电路或所述测试电路板;以及
第一系统,所述第一系统电气地耦合到所述集成电路,所述第一系统包括:
非暂时性计算机可读介质,所述非暂时性计算机可读介质被配置为存储可执行指令;以及
处理器,所述处理器耦合到所述非暂时性计算机可读介质,其中,所述处理器被配置为执行所述可执行指令,以进行以下操作:执行对整个集成电路设计中的第一热分布的仿真,所述集成电路设计被配置为以仿真设计功率进行操作并且生成所述第一热量分布,并且所述集成电路设计与所述集成电路相对应;
其中,所述测试系统被配置为同时执行对所述集成电路的老化测试和对所述集成电路的自动化测试,所述集成电路被配置为根据所述仿真设计功率水平进行操作,其中,所述老化测试具有所述集成电路的最低老化温度和整个所述集成电路上的老化热分布。
CN202110752449.3A 2021-07-02 2021-07-02 测试集成电路的方法和测试系统 Pending CN115308563A (zh)

Priority Applications (6)

Application Number Priority Date Filing Date Title
CN202110752449.3A CN115308563A (zh) 2021-07-02 2021-07-02 测试集成电路的方法和测试系统
US17/393,232 US11879933B2 (en) 2021-07-02 2021-08-03 Method of testing an integrated circuit and testing system
DE102021120345.7A DE102021120345A1 (de) 2021-07-02 2021-08-05 Verfahren zur prüfung einer integrierten schaltung und eines testsystems
KR1020220003424A KR20230006375A (ko) 2021-07-02 2022-01-10 집적 회로를 테스트하는 방법 및 테스트 시스템
TW111103018A TWI792887B (zh) 2021-07-02 2022-01-24 在測試電路板上測試積體電路的方法及測試系統
US18/521,432 US20240094281A1 (en) 2021-07-02 2023-11-28 Method of testing an integrated circuit and testing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110752449.3A CN115308563A (zh) 2021-07-02 2021-07-02 测试集成电路的方法和测试系统

Publications (1)

Publication Number Publication Date
CN115308563A true CN115308563A (zh) 2022-11-08

Family

ID=83853250

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110752449.3A Pending CN115308563A (zh) 2021-07-02 2021-07-02 测试集成电路的方法和测试系统

Country Status (3)

Country Link
US (1) US11879933B2 (zh)
CN (1) CN115308563A (zh)
TW (1) TWI792887B (zh)

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100522070B1 (ko) * 1998-12-31 2005-10-18 폼팩터, 인크. 반도체 제품 다이 테스트용 테스트 다이를 포함하는테스트 장치 및 반도체 제품 다이 테스트 방법
US6400173B1 (en) 1999-11-19 2002-06-04 Hitachi, Ltd. Test system and manufacturing of semiconductor device
US6861860B2 (en) * 2002-05-17 2005-03-01 Stmicroelectronics, Inc. Integrated circuit burn-in test system and associated methods
US6825681B2 (en) 2002-07-19 2004-11-30 Delta Design, Inc. Thermal control of a DUT using a thermal control substrate
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US8286111B2 (en) * 2004-03-11 2012-10-09 Gradient Design Automation Inc. Thermal simulation using adaptive 3D and hierarchical grid mechanisms
US20070030019A1 (en) * 2005-08-04 2007-02-08 Micron Technology, Inc. Power sink for IC temperature control
US20090187368A1 (en) * 2008-01-21 2009-07-23 Texas Instruments Incorporated Burn-In Tests To Produce Fabricated Integrated Circuits With Reduced Variations Due To Process Spread
US8219951B2 (en) 2010-02-26 2012-07-10 Taiwan Semiconductor Manufactuing Company, Ltd. Method of thermal density optimization for device and process enhancement
US8527918B2 (en) 2011-09-07 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Target-based thermal design using dummy insertion for semiconductor devices
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US10289777B2 (en) * 2014-06-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit designs based on temperature distribution determination
TWI828676B (zh) * 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
KR102580947B1 (ko) * 2018-06-29 2023-09-20 삼성전자주식회사 추출된 모델 파라미터를 이용하여 집적 회로를 설계하기 위한 컴퓨팅 시스템 및 이를 이용한 집적 회로의 제조 방법
TWI745775B (zh) * 2019-11-01 2021-11-11 美商第一檢測有限公司 晶片測試裝置及晶片測試系統

Also Published As

Publication number Publication date
TWI792887B (zh) 2023-02-11
US11879933B2 (en) 2024-01-23
TW202303171A (zh) 2023-01-16
US20230003790A1 (en) 2023-01-05

Similar Documents

Publication Publication Date Title
US20200105671A1 (en) Hybrid power rail structure
CN110729290B (zh) 集成电路及其形成方法
KR20200026730A (ko) 안티-퓨즈 디바이스, 회로, 방법 및 레이아웃
US11984441B2 (en) Integrated circuit with backside power rail and backside interconnect
US20220147692A1 (en) Method and apparatus of electromigration check
CN111125984A (zh) 集成电路设计方法
CN110729234A (zh) 调整集成电路的方法
TW202018834A (zh) 積體電路
TWI792887B (zh) 在測試電路板上測試積體電路的方法及測試系統
TW202026919A (zh) 積體電路佈局圖產生系統及其產生方法
TWI840650B (zh) 半導體裝置及其製造方法
TW202310230A (zh) 半導體裝置
KR20230006375A (ko) 집적 회로를 테스트하는 방법 및 테스트 시스템
CN114975366A (zh) 测试设备以及测试单元
US10878929B2 (en) eFuse circuit, method, layout, and structure
TW202219814A (zh) 積體電路設計方法、積體電路設計系統、以及電腦程式產品
TWI810802B (zh) 積體電路製造系統、三維積體電路結構及其製造方法
US11942168B2 (en) EFuse structure and method
Chiang et al. Three DFM challenges: Random defects, thickness variation, and printability variation
TWI809684B (zh) 三維積體電路結構與製造晶粒層的方法
CN115036304A (zh) 集成电路器件及其制造方法
TW202407708A (zh) 半導體裝置、記憶體裝置、和用於製造記憶體裝置的方法
CN114239474A (zh) 优化布局单元

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information

Country or region after: China

Address after: 211806 No. 16 Zifeng Road, Pukou Economic Development Zone, Nanjing City, Jiangsu Province

Applicant after: Taiji Telecom (Nanjing) Co.,Ltd.

Country or region after: TaiWan, China

Applicant after: Taiwan Semiconductor Manufacturing Co.,Ltd.

Address before: Hsinchu City, Taiwan, China

Applicant before: Taiwan Semiconductor Manufacturing Co.,Ltd.

Country or region before: TaiWan, China

Applicant before: Taiji Telecom (Nanjing) Co.,Ltd.

Country or region before: China

CB02 Change of applicant information