TWI792887B - 在測試電路板上測試積體電路的方法及測試系統 - Google Patents

在測試電路板上測試積體電路的方法及測試系統 Download PDF

Info

Publication number
TWI792887B
TWI792887B TW111103018A TW111103018A TWI792887B TW I792887 B TWI792887 B TW I792887B TW 111103018 A TW111103018 A TW 111103018A TW 111103018 A TW111103018 A TW 111103018A TW I792887 B TWI792887 B TW I792887B
Authority
TW
Taiwan
Prior art keywords
integrated circuit
design
thermal
circuit design
test
Prior art date
Application number
TW111103018A
Other languages
English (en)
Other versions
TW202303171A (zh
Inventor
安基達 帕帝達
桑迪 庫馬 戈埃爾
李雲漢
Original Assignee
台灣積體電路製造股份有限公司
大陸商台積電(南京)有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司, 大陸商台積電(南京)有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202303171A publication Critical patent/TW202303171A/zh
Application granted granted Critical
Publication of TWI792887B publication Critical patent/TWI792887B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/286External aspects, e.g. related to chambers, contacting devices or handlers
    • G01R31/2868Complete testing stations; systems; procedures; software aspects
    • G01R31/287Procedures; Software aspects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2872Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation
    • G01R31/2874Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation related to temperature
    • G01R31/2875Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation related to temperature related to heating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2886Features relating to contacting the IC under test, e.g. probe heads; chucks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31721Power aspects, e.g. power supplies for test circuits, power saving during test
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2856Internal circuit aspects, e.g. built-in test features; Test chips; Measuring material aspects, e.g. electro migration [EM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Environmental & Geological Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種在測試電路板上測試積體電路的方法,包括:由處理器執行對整個積體電路設計中的第一熱分佈的模擬;根據積體電路設計來製造積體電路;以及同時執行對積體電路的老化測試和對積體電路的自動化測試。老化測試具有積體電路的最低老化溫度和積體電路上的老化熱分佈。積體電路設計對應於積體電路。積體電路耦接到測試電路板。積體電路包括電路塊集合和第一加熱器集合。此外,一種測試系統亦在此揭露。

Description

在測試電路板上測試積體電路的方法及測試系統
本揭示內容是關於一種在測試電路板上測試積體電路的方法及測試系統。
使積體電路(IC)小型化的最新趨勢已產生了消耗較低功率但以較高速度提供功能性的較小裝置。小型化工藝還產生了更嚴格的設計和製造規範。各種電子設計自動化(EDA)工具生成、優化和驗證半導體裝置的設計,同時確保滿足設計和製造規範。然而,測試半導體裝置是耗時的過程。
本揭示內容包含一種在測試電路板上測試積體電路的方法,積體電路包括電路塊集合和第一加熱器集合,方法包括:由一處理器執行對整個積體電路設計中的一第一熱量分佈的一模擬,積體電路設計用以以多個模擬設計功率水準進行操作並且生成第一熱量分佈,並且積體電路設計與積體電路相對應;根據積體電路設計來製造積體電 路;以及同時執行對積體電路的一老化測試和對積體電路的一自動化測試,積體電路用以根據模擬設計功率水準進行操作,並且積體電路耦接到測試電路板,其中老化測試具有積體電路的一最低老化溫度和積體電路上的一老化熱分佈。
本揭示內容包含一種在一測試電路板上測試一積體電路的方法,方法包括:由一處理器執行對整個積體電路設計中的一第一熱分佈的一模擬,積體電路設計包括一電路塊集合和一加熱器集合,積體電路設計用以以多個模擬設計功率水準進行操作並且生成第一熱分佈,模擬設計功率水準包括配置功率資訊,並且執行模擬包括:根據積體電路設計中所包括的電路塊集合中的每個電路塊和加熱器集合中的每個加熱器的配置功率資訊和位置資訊,來確定積體電路設計的一熱量特徵,熱量特徵包括在整個積體電路設計中所分佈的多個熱量值;確定積體電路設計的熱量特徵的熱量值是否在積體電路設計的一熱量範圍內;以及回應於確定積體電路設計的熱量特徵的熱量值不在熱量範圍內,修改積體電路設計;以及根據積體電路設計來製造一積體電路。
本揭示內容包含一種測試系統,包括:積體電路;測試電路板,耦接到積體電路;載體晶圓,至少耦接到積體電路或測試電路板;以及第一系統,電性耦接到積體電路,第一系統包括:一非暫時性電腦可讀介質,用以儲存多個可執行指令;以及一處理器,耦接到非暫時性電腦可 讀介質,其中處理器用以執行可執行指令,以進行以下操作:執行對整個積體電路設計中的一第一熱分佈的一模擬,積體電路設計用以以多個模擬設計功率水準進行操作並且生成第一熱量分佈,並且積體電路設計與積體電路相對應;其中測試系統用以同時執行對積體電路的一老化測試和對積體電路的一自動化測試,積體電路用以根據模擬設計功率水準進行操作,其中老化測試具有積體電路的一最低老化溫度和整個積體電路上的一老化熱分佈。
100、110:系統
102、200:晶圓
201、203:積體電路
104:測試電路板
106:載體晶圓
108:加熱器晶片
120、122:鏈路
202:區域
204:電路塊集合
206、306:加熱器集合
204a、204b、204c、204d:中央處理單元(central processing unit,CPU)
204e:圖形處理單元(graphics processing unit,GPU)
204f:鎖相環(phase locked loop,PLL)
206a、206b、306a、306b、306y、306z:加熱器
300:載體晶圓
301、303:積體電路裸晶
302:區域
304:裸晶集合
304a、304b、304y、304z:裸晶
400、500、800、1000、1200:方法
402、404、406、502、504、506、508、510、512、514、516:操作
600:表
602:IC設計元件集合
602a、602b、602e:IC設計元件、電路塊
602c、602d:IC設計元件、加熱器
604、606:功率條目集合
604a、604b、604c、604d、604e、606a、606b、606c、 606d、606e:功率條目
700:設計
704、904:電路塊集合
706、906:加熱器集合
704a、704b、704c、704d、904a、904b、904c、904d:CPU
704e、904e:GPU
704f、904f:PLL
706a、706b、906a、906b、1160:加熱器
802、804、806、808、1002、1004、1006、1008、1010、1012、1202、1204、1206:操作
902、900B、1112、1132、1162:積體電路設計
901:視窗陣列
910:區域
920、932:關鍵字
902a、902b、902c、902d:視窗
900C:圖
900A:功率圖、積體電路設計
930:熱量特徵
1100A、1100B、1100C:圖
1102、1106、1122、1126、1146:熱量圖、熱量特徵
1104a、1104b、1108a、1108b:熱點區域
1114a、1114b、1134a、1154a、1164a:區域
1124a、1128a、1144a、1148a:冷點區域
1300:加熱器
1302a、1302b:互連、互連結構
1304:裸晶
1310:絕緣材料
1312:層
1312a、1312b、1312f:導電部分
1314:焊料凸塊集合
1314a、1314b、1314f:焊料凸塊
1400:系統
1402:處理器
1410:輸入/輸出(I/O)介面
1406:代碼、指令集合
1404:儲存介質、記憶體
1408:匯流排
1412:網路介面
1414:網路
1416:IC設計
1418:使用者介面
1422:IC設計模擬
1424:模擬參數
1426:測試參數
1428:查找表
1430:映射
1500:系統
1560:IC裝置
1520:設計室
1530:遮罩室
1540:IC製造者/製造商(“fab”)
1522:設計佈局
1532:資料準備
1534:遮罩製造
1545:遮罩
1552:製造工具
1542:晶圓
當與附圖一起閱讀時,可自以下詳細描述最佳地理解本揭示內容之各個態樣。應注意,根據行業標準慣例,各種特徵並未按比例繪製。事實上,為了便於論述,可任意增加或減少各種特徵之尺寸。
第1圖是根據一些實施例的系統的框圖。
第2圖是根據一些實施例的晶圓的圖。
第3圖是根據一些實施例的載體晶圓的圖。
第4圖是根據一些實施例的測試積體電路的方法的流程圖。
第5圖是根據一些實施例的方法的流程圖。
第6圖是根據一些實施例的表格。
第7圖是根據一些實施例的積體電路設計的框圖。
第8圖是根據一些實施例的確定積體電路設計的熱量特徵(heat signature)的方法的流程圖。
第9A圖是根據一些實施例的功率圖的圖。
第9B圖是根據一些實施例的積體電路設計的框圖。
第9C圖是根據一些實施例的熱量圖的圖。
第10圖是根據一些實施例的修改積體電路設計的方法的流程圖。
第11A圖是根據一些實施例的功率圖和積體電路設計的圖。
第11B圖是根據一些實施例的功率圖和積體電路設計的圖。
第11C圖是根據一些實施例的功率圖和積體電路設計的圖。
第12圖是根據一些實施例的同時執行對積體電路的老化測試(burn-in test)和對積體電路的自動化測試的方法的流程圖。
第13圖是根據一些實施例的加熱器的截面圖。
第14圖是根據一些實施例的用於設計IC佈局設計、模擬IC設計以及製造IC電路的系統的示意圖。
第15圖是根據本公開的至少一個實施例的IC製造系統以及與其相關聯的IC製造流程的框圖。
以下揭示內容提供了許多不同的實施例或實例,用於實施所提供主題之不同特徵。下文描述了組件及配置之特定實例,以簡化本揭示內容。當然,這些僅為實例,且非旨在限制。例如,在以下描述中,在第二特徵上方或第二特徵之上形成第一特徵可包括第一特徵及第二特徵直接 接觸形成之實施例,且亦可包括在第一特徵與第二特徵之間形成額外特徵之實施例,使得第一及第二特徵可能不直接接觸。此外,本揭示內容可重複各種實例中之參考編號及/或字母。此重複係出於簡單及清楚之目的,其本身並不規定所論述之各種實施例及/或組態之間的關係。
此外,為了便於描述,本文中可使用空間相關術語,諸如「在...下方」、「在...以下」、「下方」、「上方」、「上部」及其類似者來描述一個元件或特徵與圖中所說明之另一元件或特徵的關係。空間相關術語旨在包括除了圖中所描繪之方向之外,正在使用或操作的裝置的不同定向。設備可以其他方式定向(旋轉90度或以其他方向定向),且本文中使用之空間相對描述符亦可相應地解譯。
第1圖是根據一些實施例的系統100的框圖。
在一些實施例中,系統100是用以測試晶圓102的測試系統。在一些實施例中,系統100用以測試積體電路201(如第2圖所示)。
系統100包括晶圓102,該晶圓102耦接到測試電路板104和載體晶圓106中的每一個。晶圓102包括多個積體電路201(如第2圖所示)。
測試電路板104用以執行對晶圓102的一個或多個測試。測試電路板104電性耦接到晶圓102。在一些實施例中,測試電路板104是自動化測試設備(automatic test equipment,ATE)板,並且用以執行對晶圓102的一個或多個自動化測試。測試電路板104電性耦接在晶 圓102和系統110之間。測試電路板104通過鏈路120電性耦接到系統110。在一些實施例中,晶圓102通過測試電路板104電性耦接到系統110。晶圓102或測試電路板104的其他配置在本公開的範圍內。
載體晶圓106用以承載晶圓102。載體晶圓106包括一個或多個加熱器晶片108。載體晶圓106和加熱器晶片108通過鏈路122電性耦接到系統110。在一些實施例中,至少鏈路120或122是導電線。在一些實施例中,至少鏈路120或122是用以交換資料的資料連結。在一些實施例中,加熱器晶片108包括一個或多個裸晶,這些裸晶通過鏈路122電性耦接到系統110,並且這些裸晶用以在對晶圓102的老化測試期間生成熱量。載體晶圓106或加熱器晶片108的其他配置在本公開的範圍內。
系統110通過測試電路板104電性耦接到晶圓102內的積體電路。系統110通過鏈路122電性耦接到載體晶圓106的一個或多個加熱器晶片108。
系統110用以同時執行對晶圓102中的一個或多個積體電路的老化測試和對晶圓102中的一個或多個積體電路的一個或多個自動化測試。在一些實施例中,對晶圓102中的一個或多個積體電路的一個或多個自動化測試由測試電路板104和系統110執行。
在一些實施例中,老化測試包括針對晶圓102內的積體電路的早期故障測試晶圓102。在一些實施例中,老化測試用以對晶圓102內的積體電路施加熱應力和環境 應力,從而在晶圓102內的積體電路中引起可檢測的故障。在一些實施例中,故障是由晶圓102的製造工藝中的故障引起的。在一些實施例中,老化測試用以在一段時間內施加最小老化溫度TBI。在一些實施例中,老化測試用於在晶圓102內的積體電路上生成老化熱分佈。在一些實施例中,最小老化溫度TBI的範圍為從約120攝氏度(C)至約160℃。在一些實施例中,老化測試的持續時間在約12小時至約72小時的範圍內。
在一些實施例中,由測試電路板104和系統110執行的一個或多個自動化測試包括電壓測量、電流測量、定時測量或可靠性測試等。在一些實施例中,一個或多個自動化測試包括對晶圓102中的一個或多個積體電路的操作測試。
在一些實施例中,系統110是電子設計自動化(EDA)工具,該EDA工具用以設計和模擬可用於製造積體電路201(第2圖)的積體電路設計700(如第7圖所示)的性能。
系統110的其他配置在本公開的範圍內。
在一些實施例中,通過同時執行對晶圓102中的一個或多個積體電路的老化測試和對晶圓102中的一個或多個積體電路的一個或多個自動化測試(例如ATE),系統100的測試時間短於在ATE測試之後執行老化測試的其他方法。例如,在一些實施例中,在檢測到晶圓102中的積體電路的故障之後,可以停止老化測試,從而減少老 化測試時間。
在一些實施例中,通過使載體晶圓106配置有一個或多個加熱器晶片108,加熱器晶片108用以用於晶圓102的老化測試的熱源,並因此提供了均勻的烘烤解決方案,使得系統100能夠在不使用老化板或烤箱的情況下執行老化測試,從而與使用老化板或烤箱的其他方法相比降低了成本。
系統100的其他配置在本公開的範圍內。
第2圖是根據一些實施例的晶圓200的圖。
晶圓200是第1圖的晶圓102的實施例,因此類似的詳細描述被省略。與第1圖至第15圖中的一個或多個附圖相同或類似的元件用相同的附圖標記表示,因此對它們的詳細描述被省略。
晶圓200包括以陣列方式佈置的多個積體電路201。
晶圓200的區域202對應於多個積體電路201中的積體電路203。積體電路203對應於多個積體電路201中的單個積體電路。在一些實施例中,多個積體電路201中的每個積體電路是相同的。在一些實施例中,多個積體電路201中的至少一個積體電路不同於多個積體電路201中的另一積體電路。
在一些實施例中,積體電路203的細節適用於多個積體電路201中的一個或多個,並且為了簡潔起見,類似的詳細描述被省略。
多個積體電路201的其他配置在本公開的範圍內。
積體電路203包括電路塊集合204和加熱器集合206。
電路塊集合204至少包括中央處理單元(central processing unit,CPU)204a、CPU 240b、CPU 204c、CPU 204d、圖形處理單元(graphics processing unit,GPU)204e或鎖相環(phase locked loop,PLL)204f。電路塊集合204中的電路的其他數量在本公開的範圍內。
CPU、GPU和PLL用於例示,並且電路塊集合204中的其他類型的電路在各種實施例的範圍內。例如,在一些實施例中,電路塊集合204包括嵌入式處理器,嵌入式處理器包括處理器核心、數位信號處理(DSP)核心、嵌入式GPU、介面(例如通用序列匯流排(USB)控制器、ETHERNET、PCI-E、WIFI、WIMAX或藍牙)、週邊設備(例如通用非同步接收機發射機(UART)或功率管理塊)、或記憶體模組和/或控制器。
電路塊集合204中的至少CPU 204a、CPU 204b、CPU 204c、CPU 204d、GPU 204e或PLL 204f用以通過被操作而生成熱量。在一些實施例中,電路塊集合204中的至少CPU 204a、CPU 204b、CPU 204c、CPU 204d、GPU 204e或PLL 204f用以通過在對積體電路203的老化測試和ATE測試期間被操作而生成熱 量。
加熱器集合206至少包括加熱器206a或加熱器206b。加熱器集合206中的至少加熱器206a或206b用以生成熱量。在一些實施例中,加熱器集合206中的至少加熱器206a或206b用以在對積體電路203的老化測試和ATE測試期間生成熱量。在一些實施例中,當加熱器集合206不被用於測試時,加熱器集合206中的至少加熱器206a或206b不用以可操作的,因此不生成熱量。
在一些實施例中,加熱器集合206中的至少加熱器206a或206b包括一個或多個互連1302a和1302b(如第13圖所示)。在一些實施例中,加熱器集合206中的至少加熱器206a或206b包括一個或多個電路元件,這些電路元件用以通過被操作而生成熱量。
在一些實施例中,電路塊集合204和加熱器集合206被配置作為至少用於由系統100執行的老化測試或ATE測試的熱源集合,從而生成積體電路203的熱量特徵。在一些實施例中,熱量特徵對應於整個積體電路的熱分佈圖。在一些實施例中,在第9C圖中示出熱分佈圖900C的示例。
在一些實施例中,電路塊集合204和加熱器集合206用以在整個積體電路203中生成均勻的熱分佈。在一些實施例中,均勻的熱分佈對應於在熱量範圍HR(如第5圖所示)內的整個積體電路(例如,積體電路203)中的熱分佈。在一些實施例中,熱量範圍由系統100或方法500 (如第5圖所示)的用戶定義或指定。在一些實施例中,熱量範圍在最小熱量值和最大熱量值之間。
在一些實施例中,通過至少改變電路塊集合204和加熱器集合206的位置、電路元件的數量、大小或所配置的功率來修改整個積體電路203或積體電路201的集合的熱分佈。在一些實施例中,可以至少調整電路塊集合204和加熱器集合206的位置、電路元件的數量、大小或所配置的功率,以在整個積體電路203上生成均勻的熱分佈。在一些實施例中,如果積體電路203或積體電路201的集合具有均勻的熱分佈,則系統100用以執行老化測試,同時減少第1圖的加熱器晶片108中的有源元件的數量。
在一些實施例中,電路塊集合204和加熱器集合206被修改,以通過在對應於模擬設計功率水準的配置功率水準(例如,第6圖中的表600)下進行操作,來在整個積體電路203中生成均勻的熱分佈。在一些實施例中,回應於積體電路203或積體電路201的集合具有均勻的熱分佈,系統100用以在不使用第1圖的加熱器晶片108中的有源元件的情況下執行老化測試。
電路塊集合204和加熱器集合206的其他配置在本公開的範圍內。
晶圓200的其他配置在本公開的範圍內。
第3圖是根據一些實施例的載體晶圓300的圖。
載體晶圓300是第1圖的載體晶圓106的實施例,因此類似的詳細描述被省略。
載體晶圓300包括以陣列方式佈置的多個積體電路裸晶301。
載體晶圓300的區域302對應於多個積體電路裸晶301中的積體電路裸晶303。積體電路裸晶303對應於多個積體電路裸晶301中的單個積體電路裸晶。在一些實施例中,多個積體電路裸晶301中的每個積體電路裸晶與多個積體電路裸晶中的另一積體電路裸晶相同。在一些實施例中,多個積體電路裸晶301中的至少一個積體電路裸晶不同於多個積體電路裸晶301中的另一積體電路裸晶。
區域302具有與晶圓200的區域202相同的面積。在一些實施例中,區域302具有與晶圓200的區域202不同的面積。
多個積體電路裸晶301中的每個積體電路裸晶與晶圓200的多個積體電路201中的每個相應的積體電路相關聯。在一些實施例中,多個積體電路裸晶301中的每個相應的積體電路裸晶的位置與晶圓200的多個積體電路201中的每個相應的積體電路位於相同的對應位置。
多個積體電路裸晶301中的每個積體電路裸晶具有與晶圓200的多個積體電路201中的每個積體電路相同的面積。在一些實施例中,多個積體電路裸晶301中的至少一個積體電路裸晶具有與晶圓200的多個積體電路201中的至少一個積體電路不同的面積。
在一些實施例中,積體電路裸晶303的細節適用於多個積體電路裸晶301中的一個或多個,並且為了簡潔 起見,類似的詳細描述被省略。
多個積體電路裸晶301的其他配置在本公開的範圍內。
積體電路裸晶303包括電路裸晶集合304。電路裸晶集合304是至少包括裸晶304a、304b、……304y或304z的裸晶陣列,其中z是與電路裸晶集合304中的裸晶數量相對應的正整數。
電路裸晶集合304中的每個裸晶與該電路裸晶集合中的每個其他裸晶相同。在一些實施例中,電路裸晶集合304中的至少一個裸晶與電路裸晶集合304中的至少另一裸晶不同。
電路裸晶集合304中的每個裸晶具有與電路裸晶集合中的每個其他裸晶相同的面積。在一些實施例中,電路裸晶集合304中的至少一個裸晶具有與電路裸晶集合304中的至少另一裸晶不同的面積。
電路裸晶集合304中的每個裸晶用以作為加熱器集合306中的相應的加熱器進行操作。
加熱器集合306至少包括加熱器306a、306b、……306y或306z。加熱器集合306中的每個加熱器用以生成熱量。在一些實施例中,加熱器集合306中的至少一個或多個加熱器用以在對積體電路203的老化測試和ATE測試期間生成熱量。
在一些實施例中,通過調整所配置的功率或接通或關斷電路裸晶集合304中的對應裸晶來修改整個積體電路 裸晶303或積體電路裸晶301的集合的熱分佈。在一些實施例中,通過關斷裸晶集合304的一個子集的裸晶來關斷加熱器集合306中的對應子集的加熱器,並且因此不生成熱量。在一些實施例中,通過接通裸晶集合304中的一個子集的裸晶來接通加熱器集合306中的對應子集的加熱器,從而生成熱量。
在一些實施例中,電路裸晶集合304和加熱器集合306被配置作為至少用於由系統100執行的老化測試或ATE測試的另一熱源集合,從而生成積體電路裸晶203的另一熱量特徵。
在一些實施例中,由積體電路203生成的熱量特徵與積體電路裸晶303的另一熱量特徵相結合,從而在整個積體電路203中生成均勻的熱分佈。在一些實施例中,通過在整個積體電路203上生成均勻的熱分佈,在積體電路203和積體電路裸晶303上執行的老化測試實現了以上在第1圖中討論的益處中的一個或多個。
在一些實施例中,積體電路裸晶303或積體電路裸晶301的集合被配置作為補充熱源,該補充熱源當與積體電路203或積體電路301的集合的熱源結合時,在整個積體電路203上產生均勻的熱分佈。
在一些實施例中,通過調整裸晶集合304中的操作或接通的裸晶的數量來調整加熱器集合306中的加熱器的數量,從而調整由積體電路裸晶303生成的熱量的量,並且因此積體電路裸晶303用作針對積體電路203的熱源 的補充熱源。
電路裸晶集合304和加熱器集合306的其他配置在本公開的範圍內。
載體晶圓300的其他配置在本公開的範圍內。
第4圖是根據一些實施例的測試積體電路的方法400的流程圖。
在一些實施例中,第4圖是對第1圖的系統100、第14圖的系統1400或IC製造系統1500進行操作的方法的流程圖。
要理解,至少可以在第4圖中描繪的方法400、第5圖中描繪的方法500、第8圖中描繪的方法800、第10圖中描繪的方法1000或第12圖中描繪的方法1200之前、期間和/或之後執行附加操作,並且一些其他操作可能僅在本文中簡要描述。在一些實施例中,至少方法400、方法500、方法800、方法1000或方法1200的其他操作順序在本公開的範圍內。在一些實施例中,不執行至少方法400、方法500、方法800、方法1000或方法1200的一個或多個操作。
至少方法400、方法500、方法800、方法1000或方法1200包括示例性操作,但是至少方法400、方法500、方法800、方法1000或方法1200的操作不一定以所示循序執行。根據所公開的實施例的精神和範圍,可以適當地添加、替換、改變順序、和/或消除至少方法400、方法500、方法800、方法1000或方法1200的操作。 應當理解,至少方法400、方法500、方法800、方法1000或方法1200利用系統100、晶圓200、載體晶圓300、系統1400或IC製造系統1500中的一個或多個的特徵。
在方法400的操作402中,由處理器執行整個積體電路設計中的熱分佈的模擬。在一些實施例中,操作402的模擬是由第14圖的系統1400執行的電腦模擬。在一些實施例中,方法400的處理器包括第14圖的處理器1402。
在一些實施例中,方法400的積體電路設計至少包括第7圖的積體電路設計700、第9A圖的積體電路設計900A、第11A圖的積體電路設計1112、第11B圖至第11C圖的積體電路設計1132、或第11C圖的積體電路設計1162。在一些實施例中,方法400的積體電路設計是積體電路的設計。
在一些實施例中,方法400的熱分佈至少包括由第9C圖的熱量特徵930示出的熱分佈、由第11A圖的熱量特徵1102示出的熱分佈、由第11A圖的熱量特徵1106示出的熱分佈、由第11B圖至第11C圖的熱量特徵1122示出的熱分佈、由第11B圖的熱量特徵1126示出的熱分佈、或由第11C圖的熱量特徵1146示出的熱分佈。
在方法400的操作404中,根據積體電路設計來製造積體電路。在一些實施例中,方法400的積體電路至少包括晶圓102、晶圓200、多個積體電路201或積體電路203。在一些實施例中,由第15圖的IC製造系統1500 執行操作404。
在方法400的操作406中,同時執行對積體電路的老化測試和對積體電路的自動化測試。在一些實施例中,由第1圖的系統100執行操作406。在一些實施例中,方法400的老化測試包括由第1圖的系統100執行的老化測試,並且類似的詳細描述被省略。在一些實施例中,方法400的自動化測試包括由第1圖的系統100執行的自動測試或ATE測試,並且類似的詳細描述被省略。
方法400操作用於實現上文關於至少第1圖的系統100、第2圖的晶圓200或第3圖的載體晶圓300所論述的益處。
第5圖是根據一些實施例的方法500的流程圖。
在一些實施例中,方法500包括第4圖的方法400的操作,並且類似的詳細描述被省略。例如,在一些實施例中,方法500包括第4圖的操作402和404的實施例。
在一些實施例中,方法500是執行整個積體電路設計中的熱分佈的模擬的方法,以及根據該積體電路設計來製造積體電路的方法。
在一些實施例中,執行整個積體電路設計中的熱分佈的模擬的方法包括操作502、504、506、508、510、514和516。在一些實施例中,操作502、504、506、508、510、514和516是第4圖的操作402的實施例,並且類似的詳細描述被省略。在一些實施例中,操作502、504、506、508、510、514和516中的一個或多個操 作由第14圖的系統110或系統1400執行。
在一些實施例中,根據積體電路設計來製造積體電路的方法包括操作512。在一些實施例中,操作512是第4圖的操作404,並且類似的詳細描述被省略。在一些實施例中,由第15圖的IC製造系統1500執行操作512。
在方法500的操作502中,從用戶接收積體電路設計的熱量範圍HR。在一些實施例中,方法500的用戶包括至少第14圖的系統100、系統110或系統1400的使用者。在一些實施例中,方法500的熱量範圍HR包括第1圖的熱量範圍,並且類似的詳細描述被省略。在一些實施例中,熱量範圍HR被定義為在最小熱量值Hmin和最大熱量值Hmax之間。
在一些實施例中,被包括在熱量範圍HR內的熱量值被認為是整個積體電路設計中的均勻熱量分佈。在一些實施例中,方法500的積體電路設計至少包括第7圖的積體電路設計700、第9A圖的積體電路設計900A、第11A圖的積體電路設計1112、第11B圖至第11C圖的積體電路設計1132、或第11C圖的積體電路設計1162。
在一些實施例中,方法500的積體電路設計是積體電路的設計,例如至少晶圓102、晶圓200、多個積體電路201或積體電路203。
在方法500的操作504中,獲得用於積體電路設計中的電路塊集合和加熱器集合的配置功率資訊。在一些實施例中,方法500的操作504包括:獲取用於積體電路 設計中的電路塊集合中的每個電路塊以及加熱器集合中的每個加熱器的配置功率資訊。
在一些實施例中,從諸如第6圖的表600之類的表中獲得用於積體電路設計中的電路塊集合和加熱器集合的配置功率資訊。在一些實施例中,表600被儲存在第14圖的記憶體1404中。在一些實施例中,方法500的配置功率資訊至少包括第6圖的最大配置功率條目集合604或第6圖的配置功率條目集合606。
在一些實施例中,方法500的積體電路設計的電路塊集合至少包括第7圖或第11A圖至第11C圖的電路塊集合704。在一些實施例中,方法500的積體電路設計的電路塊集合至少包括第6圖的電路塊602a、602b或602e。
在一些實施例中,方法500的積體電路設計的加熱器集合至少包括第7圖的加熱器集合706或第11C圖的加熱器1160。在一些實施例中,方法500的積體電路設計的加熱器集合至少包括第6圖的加熱器602c或602d。
在方法500的操作506中,從設計檔(DEF)中提取用於積體電路設計中的電路塊集合和加熱器集合的位置資訊。在一些實施例中,方法500的操作506包括從設計檔中提取用於積體電路設計中的電路塊集合中的每個電路塊和加熱器集合中的每個加熱器的位置資訊。在一些實施例中,方法500的設計檔被儲存在第14圖的記憶體 1404中。
在一些實施例中,用於方法500的積體電路設計中的電路塊集合和加熱器集合的位置資訊包括積體電路設計中每個元件的位置。在一些實施例中,方法500的設計檔包括表示積體電路設計的物理佈局的設計交換格式(DEF)。在一些實施例中,DEF是美國資訊交換標準代碼(ASCII)格式,並且表示積體電路設計的網表和電路佈局。
在方法500的操作508中,根據用於積體電路設計中所包括的電路塊集合和加熱器集合的配置功率資訊和位置資訊來確定積體電路設計的熱量特徵。
在一些實施例中,熱量特徵是在整個積體電路設計中佈置的熱量值HV的二維圖。在一些實施例中,熱量值HV是由積體電路設計中所包括的電路塊集合中的每個電路塊和加熱器集合中的每個加熱器生成的。
在一些實施例中,積體電路設計的熱量值HV由公式2確定(下面在第8圖和第9A圖至第9C圖中描述)。在一些實施例中,第8圖的方法800是操作508的實施例。
在一些實施例中,方法500的操作508包括:根據用於積體電路設計中所包括的電路塊集合中的每個電路塊和加熱器集合中的每個加熱器的配置功率資訊和位置資訊,來確定積體電路設計的熱量特徵。
在一些實施例中,方法500的熱量特徵至少包括 第9C圖的熱量特徵930、第11A圖的熱量特徵1102、第11A圖的熱量特徵1106、第11B圖至第11C圖的熱量特徵1122、第11B圖的熱量特徵1126、或第11C圖的熱量特徵1146。
在方法500的操作510中,確定積體電路設計的熱量特徵的熱量值HV是否在用戶指定的熱量範圍HR內。
在一些實施例中,操作510包括:確定積體電路設計的熱量特徵的熱量值HV是否等於或大於最小熱量值Hmin且等於或小於最大熱量值Hmax。
在一些實施例中,如果積體電路設計的熱量特徵的熱量值HV在用戶指定的熱量範圍HR內,則操作510的結果為“是”,並且方法500進行到操作512。在一些實施例中,如果積體電路設計的熱量特徵的熱量值HV在用戶指定的熱量範圍HR內,則積體電路設計的熱量特徵的熱量值HV足以使積體電路設計生成均勻的熱分佈,因此表明基於積體電路設計製造的積體電路也生成均勻的熱分佈,並且由系統100執行的操作406的老化測試是在不啟用載體晶圓106的加熱器的情況下執行的。
在一些實施例中,如果積體電路設計的熱量特徵的熱量值HV不在用戶指定的熱量範圍HR內,則操作510的結果為“否”,並且方法500進行到操作514。
在方法500的操作512中,根據積體電路設計來製造積體電路。在一些實施例中,方法500的積體電路至 少包括晶圓102、晶圓200、多個積體電路201或積體電路203。在一些實施例中,由第15圖的IC製造系統1500執行操作512。在一些實施例中,操作512是第4圖的操作404,並且類似的詳細描述被省略。
在方法500的操作514中,確定操作508、510或516的重複次數是否超過用戶定義的限制。在一些實施例中,方法500的用戶定義的限制包括如下最大重複次數:在該最大重複次數下,至少操作508、510或516被執行。在方法500的操作502中,從用戶接收方法500的用戶定義的限制。
在一些實施例中,如果操作508、510或516的重複次數未超過用戶定義的限制,則操作514的結果為“否”,並且方法500進行至操作516。在一些實施例中,如果操作508、510或516的重複次數未超過用戶定義的限制,則積體電路設計的熱量特徵的熱量值HV不足以使積體電路設計生成均勻的熱分佈,並且方法500嘗試通過操作516來修改積體電路設計。
在一些實施例中,如果操作508、510或516的重複次數超過用戶定義的限制,則操作514的結果為“是”,並且方法500進行到操作512。在一些實施例中,如果操作508、510或516的重複次數超過了用戶定義的限制,則積體電路設計的熱量特徵的熱量值HV不足以使積體電路設計生成均勻的熱分佈,從而表明基於該積體電路設計製造的積體電路也不會生成均勻的熱分佈,因此, 當由系統100執行的操作406的老化測試被執行時,載體晶圓106的加熱器被啟用,從而生成補充熱量分佈,其中,該補充熱量分佈當與積體電路的熱分佈相結合時是均勻的熱分佈。
在方法500的操作516中,修改積體電路設計。在一些實施例中,回應於確定積體電路設計的熱量特徵的熱量值HV不在熱量範圍HR內而修改積體電路設計。在一些實施例中,第10圖的方法1000是操作516的實施例。
在一些實施例中,操作516包括以下操作中的一項或多項:向電路塊集合添加新電路塊;向加熱器集合添加新加熱器;從加熱器集合中移除第一加熱器;移動加熱器集合中的第一加熱器的位置;修改電路塊集合中的第一電路塊的配置功率;修改加熱器集合中的第一加熱器的配置功率,或修改加熱器集合中的第一加熱器的尺寸。
在一些實施例中,方法500的新電路塊或電路塊集合中的第一電路塊包括與積體電路設計的電路塊集合704類似的一個或多個電路塊。在一些實施例中,方法500的新加熱器或加熱器集合中的第一加熱器包括與積體電路設計的加熱器集合706或第11C圖的加熱器1160類似的一個或多個加熱器。
在一些實施例中,重複操作508、510、514或516中的一個或多個操作,從而使積體電路設計被修改。在一些實施例中,重複操作508、510、514或516中的 一個或多個操作,直到積體電路設計的熱量特徵的熱量值HV在由用戶指定的熱量範圍HR內,或者操作508、510或516的重複次數超過用戶定義的限制。
方法500操作用於實現上文關於至少第1圖的系統100、第2圖的晶圓200或第3圖的載體晶圓300所論述的益處。
第6圖是根據一些實施例的表600。
表600是諸如第7圖的積體電路設計700之類的積體電路設計的參數的查找表。
表600與第5圖的方法500的一個或多個操作一起使用。在一些實施例中,方法500與查找表600一起使用。在一些實施例中,方法500的操作502、504、506、508或516中的一個或多個操作利用類似於第6圖的表600的查找表。在一些實施例中,表600被儲存在第14圖的記憶體1404中。在一些實施例中,表600由第14圖的系統1400生成。
表600包括6行和3列。列1包括IC設計元件集合602。列2包括用於IC設計元件集合602的相應的最大可配置功率條目集合604。列3包括用於IC設計元件集合602的相應的可配置功率條目集合606。列1中的每個條目在列2中有相應的條目,並且在列3中有相應的條目。
表600中的其他數量的列或行在本公開的範圍內。
IC設計元件集合602至少包括IC設計元件602a、602b、602c、602d或602e。IC設計元件集合602對應於積體電路設計的電路塊集合704或加熱器集合706。IC設計元件602a、602b和602e對應於積體電路設計700的電路塊集合704中的一個或多個電路塊。IC設計元件602c和602d對應於積體電路設計700的加熱器集合706中的一個或多個加熱器。
IC設計元件集合602中的其他數量的IC設計元件在本公開的範圍內。IC設計元件集合602中的其他數量的電路塊或加熱器在本公開的範圍內。
列2包括與IC設計元件集合602相對應的最大可配置功率條目集合604。最大可配置功率條目集合604至少包括最大可配置功率條目604a、604b、604c、604d或604e。最大可配置功率條目集合604中的每個最大可配置功率條目604a、604b、604c、604d或604e是IC設計元件集合602中的相應的IC設計元件602a、602b、602c、602d或602e可以操作的最大可配置功率。
最大可配置功率條目集合604中的其他數量或值的最大可配置功率條目在本公開的範圍內。
列3包括與IC設計元件集合602或最大可配置功率條目集合604相對應的可配置功率條目集合606。
可配置功率條目集合606至少包括可配置功率條目606a、606b、606c、606d或606e。可配置功率條目集合606的每個可配置功率條目606a、606b、606c、 606d或606e是IC設計元件集合602中的相應的IC設計元件602a、602b、602c、602d或602e可以操作的可配置功率(不包括列2的最大可配置功率)。
可配置功率條目集合606中的其他數量或值的可配置功率條目集合606在本公開的範圍內。
可配置功率條目606a包括IC設計元件602a可以操作的一個或多個可配置功率條目。例如,可配置功率條目606a包括IC設計元件602a可以操作的可配置功率條目6瓦(W)、4W和2W。
可配置功率條目606b包括IC設計元件602b可以操作的一個或多個可配置功率條目。例如,可配置功率條目606b包括IC設計元件602b可以操作的可配置功率條目6W、4W和2W。
可配置功率條目606c包括IC設計元件602c可以操作的一個或多個可配置功率條目。例如,可配置功率條目606c包括IC設計元件602c可以操作的可配置功率條目1W和0.5W。
可配置功率條目606d包括IC設計元件602d可以操作的一個或多個可配置功率條目。例如,可配置功率條目606d包括IC設計元件602d可以操作的可配置功率條目2.5W和1.25W。
可配置功率條目606d包括IC設計元件602d可以操作的一個或多個可配置功率條目。例如,可配置功率條目606d包括IC設計元件602d可以操作的可配置功率 條目2.5W和1.25W。
可配置功率條目606e包括IC設計元件602e可以操作的一個或多個可配置功率條目。例如,可配置功率條目606e包括IC設計元件602e可以操作的可配置功率條目0.25W。
在一些實施例中,在方法500的操作516期間,通過根據表600的最大可配置功率條目集合604和可配置功率條目集合606調整(例如增加或減少)電路塊集合中的一個或多個電路塊或加熱器集合中的一個或多個加熱器的配置功率,來修改積體電路設計。例如,在一些實施例中,如果由具有2W的可配置功率條目606a的IC設計元件602a生成的熱量值HV小於熱量範圍HR,則IC設計元件602a的可配置功率條目606a可以從2W增加到4W,直到列2中的最大可配置功率條目604a。
第7圖是根據一些實施例的積體電路設計700的框圖。
積體電路設計700對應於與第6圖的表600相關聯的積體電路設計,並且因此類似的詳細描述被省略。在一些實施例中,積體電路設計700是與第6圖的表600的IC設計元件集合602、最大可配置功率條目集合604和可配置功率條目集合606相對應的積體電路設計,因此類似的詳細描述被省略。
在一些實施例中,積體電路設計700是第2圖的積體電路203或第2圖的多個積體電路201的一個或多個 積體電路的設計,因此類似的詳細描述被省略。在一些實施例中,積體電路設計700可用於製造第2圖的積體電路203,因此類似的詳細描述被省略。在一些實施例中,積體電路設計700被儲存在第14圖的記憶體1404中。在一些實施例中,積體電路設計700由第14圖的系統1400生成。
積體電路設計700包括電路塊集合704和加熱器集合706。在一些實施例中,積體電路設計700的電路塊集合704可用於製造第2圖的積體電路203的電路塊集合204,因此類似的詳細描述被省略。在一些實施例中,積體電路設計700的加熱器集合706可用於製造第2圖的積體電路203的加熱器集合206,因此類似的詳細描述被省略。在一些實施例中,積體電路設計700的元件的操作類似於積體電路203的相應元件,並且為簡潔起見,類似的描述被省略。
電路塊集合704至少包括CPU 704a、CPU 704b、CPU 704c、CPU 704d、GPU 704e或PLL 704f。在一些實施例中,積體電路設計700的至少CPU 704a、CPU 704b、CPU 704c、CPU 704d、GPU 704e或PLL 704f可用於製造第2圖的積體電路203的至少CPU 204a、CPU 204b、CPU 204c、CPU 204d、GPU 204e或PLL 204f,因此類似的詳細描述被省略。
在一些實施例中,電路塊集合704中的至少CPU 704a、CPU 704b、CPU 704c、CPU 704d、GPU 704e 或PLL 704f用以:以根據表600的可配置功率條目集合606或表600的最大可配置功率條目集合604的一個或多個功率水準來進行操作,從而在方法400的操作402的執行期間生成積體電路設計的熱量值和熱量特徵。
在一些實施例中,第2圖的電路塊204集合中的至少CPU 204a、CPU 204b、CPU 204c、CPU 204d、GPU 204e或PLL 204f用以:以根據表600的可配置功率條目集合606或表600的最大可配置功率條目集合604的一個或多個功率水準來進行操作,從而在對積體電路203的老化測試和ATE測試(例如方法400的操作406)期間生成熱量。
電路塊集合704中的電路的其他數量在本公開的範圍內。
加熱器集合706至少包括加熱器706a或加熱器706b。在一些實施例中,積體電路設計700中的至少加熱器706a或加熱器706b可用於製造第2圖的積體電路203中的至少加熱器206a或加熱器206b,因此類似的詳細描述被省略。
在一些實施例中,加熱器集合706中的至少加熱器706a或加熱器706b用以:以根據表600的可配置功率條目集合606或表600的最大可配置功率條目集合604的一個或多個功率水準來進行操作,從而在方法400的操作402的執行期間生成積體電路設計的熱量值和熱量特徵。
在一些實施例中,第2圖的加熱器集合206中的至少加熱器206a或206b用以:以根據表600的可配置功率條目集合606或表600的最大可配置功率條目集合604的一個或多個功率水準來進行操作,從而在對積體電路203的老化測試和ATE測試(例如方法400的操作406)期間生成熱量。
加熱器集合706中的加熱器的其他數量在本公開的範圍內。
在一些實施例中,電路塊集合704和加熱器集合706被配置作為熱源集合,從而在方法400的操作402的執行期間生成積體電路設計700的熱量特徵。
在一些實施例中,電路塊集合704和加熱器集合706用以在整個積體電路設計700中生成均勻的熱分佈。在一些實施例中,修改電路塊集合704和加熱器集合706,以通過以第6圖的表600中包含的配置功率水準進行操作來在整個積體電路設計700中生成均勻的熱分佈。
在一些實施例中,通過至少改變電路塊集合704和加熱器集合706的位置、電路元件數量、尺寸或配置功率來修改整個積體電路設計700中的熱分佈。在一些實施例中,可以至少調整電路塊集合704和加熱器集合706的位置、電路元件數量、尺寸或配置功率,以在整個積體電路設計700中生成均勻的熱分佈。
在一些實施例中,如果積體電路設計700具有均勻的熱分佈,則在對積體電路201或晶圓102的老化測試 期間,基於積體電路設計700製造的積體電路201可與系統100一起使用,同時減少第1圖的加熱器晶片108中的有源(例如接通的)元件的數量。在一些實施例中,在對基於積體電路設計700的晶圓102或積體電路201的老化測試期間,第1圖的加熱器晶片108中的有源元件的數量為零。
在一些實施例中,如果積體電路設計700不具有均勻的熱分佈,則在由系統100執行的對積體電路201或晶圓102的老化測試期間,基於積體電路設計700製造的積體電路201與補充熱源(例如由第1圖的加熱器晶片108中的多個接通的元件或有源元件生成的補充熱源)相結合。
電路塊集合704和加熱器集合706的其他配置在本公開的範圍內。
積體電路設計700的其他配置在本公開的範圍內。
第8圖是根據一些實施例的確定積體電路設計的熱量特徵的方法800的流程圖。
在一些實施例中,方法800是方法500的操作508的實施例,並且類似的詳細描述被省略。在一些實施例中,操作802、804、806和808中的一個或多個操作由第14圖的系統110或系統1400執行。
在一些實施例中,方法800可用於確定例如如下積體電路設計的熱量特徵:積體電路設計700、第9A圖 的積體電路設計902、第11A圖的積體電路設計1112、第11B圖至第11C圖的積體電路設計1132、或第11C圖的積體電路設計1162。
在一些實施例中,方法800可用於確定熱量特徵,例如第9C圖的熱量特徵930、第11A圖的熱量特徵1102和1106、第11B圖的熱量特徵1122和1126、以及第11C圖的熱量特徵1122和1146。
在方法800的操作802中,將積體電路設計劃分為視窗陣列。在一些實施例中,基於視窗尺寸將積體電路設計劃分為視窗陣列。在一些實施例中,視窗尺寸由使用者定義。在一些實施例中,視窗陣列的視窗的視窗尺寸是相同的。在一些實施例中,視窗尺寸在約1μm至約20μm的範圍內。視窗尺寸的其他值在本公開的範圍內。
在一些實施例中,方法800的積體電路設計至少包括第7圖的積體電路設計700、第9A圖的積體電路設計902、第11A圖的積體電路設計1112、第11B圖至第11C圖的積體電路設計1132、或第11C圖的積體電路設計1162。
在一些實施例中,方法800的視窗陣列至少包括第9A圖至第9B圖的視窗陣列901。在一些實施例中,第9A圖的積體電路設計902包括視窗陣列901,該視窗陣列901示出了在執行操作802之後的視窗陣列的非限制性示例。
在一些實施例中,視窗陣列中的每個視窗具有正方 形形狀。在一些實施例中,視窗陣列中的一個或多個視窗是圓形、三角形、矩形、正方形、六邊形、或其他幾何形狀。在一些實施例中,視窗陣列中的一個或多個視窗是多邊形。視窗陣列的其他形狀在本公開的範圍內。
在方法800的操作804中,基於電路塊集合和加熱器集合的功率資訊和位置資訊來確定視窗陣列中的每個視窗的功率值。在一些實施例中,操作804包括:生成類似於第9A圖中的積體電路功率圖900A的積體電路功率圖。在一些實施例中,方法800的每個視窗的功率值與對應視窗內的每個電路塊或加熱器所消耗的功率相對應。
在一些實施例中,方法800的功率資訊包括針對方法500的操作504而獲得的配置功率資訊。在一些實施例中,方法800的功率資訊包括來自表600的配置功率條目集合606或來自表600的最大配置功率條目集合604。在一些實施例中,方法800的位置資訊包括針對方法500的操作506提取的位置資訊。
在一些實施例中,方法800的積體電路設計的電路塊集合至少包括第7圖或第11A圖至第11C圖的電路塊集合704或第9A圖的電路塊集合904。在一些實施例中,方法800的積體電路設計的電路塊集合至少包括第6圖的電路塊602a、602b或602e。
在一些實施例中,方法800的積體電路設計的加熱器集合至少包括第7圖的加熱器集合706、第11C圖的加熱器1160或第9A圖的加熱器集合906。在一些實施 例中,方法800的積體電路設計的加熱器集合至少包括第6圖的加熱器602c或602d。
在一些實施例中,方法800的每個視窗的功率值P(例如,也稱為“每個視窗的功率”)是根據公式1確定的,功率值P表示為:P=NE/PE (1)。
其中,NE是積體電路設計的第一區域所覆蓋的元件數,PE是第一區域內的電路塊或加熱器所消耗的功率。
公式1的非限制性示例性應用包括對第9A圖的參考。例如,在一些實施例中,第9A圖的CPU 904a對應於佈局設計700中的CPU 704a,並佔據第9A圖中的區域910。因此,區域910佔據或覆蓋總共25個視窗,並且根據表600針對電路塊條目606a而消耗的功率是2.5W,將公式1應用於這些值使得2.5W除以25個視窗,等於每視窗0.1W。如第9A圖所示,區域910具有等於0.1W的功率值P(在關鍵字920中示出)。
在方法800的操作806中,基於每個視窗的功率值P來確定每個窗口的總熱量值HT。
在一些實施例中,根據公式2來確定方法800的每個視窗的總熱量HT,該總熱量值HT表示為:HT=k * P (2)。
其中,Pij是第i和第j個索引的功率值,k是基於電路塊或加熱器的材料的常數,Dij是第i和第j個視窗之間的距離,m是視窗陣列中的行的數量,n是視窗陣列 中的列的數量。
在一些實施例中,操作806的每個視窗的總熱量值HT是由對應視窗生成的熱量與由積體電路設計中的每個其他視窗生成的熱量的總和。在一些實施例中,操作806的每個視窗的總熱量值HT是由相應視窗生成的熱量和由積體電路設計中在當前視窗的限定距離內的多個其他視窗生成的熱量的總和。在一些實施例中,k的值是基於加熱器或電路塊內的半導體材料的。在一些實施例中,k的值等於1。
公式2的非限制性示例性應用包括對9B的參考。例如,積體電路設計900B對應於第9A圖的電路塊904,但積體電路設計900B還包括區域950,且類似的詳細描述被省略。區域950包括4個視窗(950a、950B、950c和950d),並且確定窗口950a的總熱量值HT11包括:確定由視窗950a生成的熱量值H11以及由積體電路設計900B的區域950中的每個其他視窗(950b、950c、950d)生成的熱量值(H21、H12、H22)。在該示例中,該區域包括4個視窗,但是該區域中包括其他數量的視窗在本公開的範圍內。將公式2應用於非限制性示例,導致窗950a的總熱量值HT11等於HT11=k * (P11+(P12/D12)+(P21/D21)+(P22/D22),其中,功率值P11、P12、P21和P22是相應窗口502a、502b、502c和502d的相應功率值,這些功率值是在操作804中確定的並且被示出為第9A圖中的積體電路功率圖 900A。
在一些實施例中,對積體電路設計中的電路塊集合中的每個電路塊和加熱器集合中的每個加熱器執行操作806,從而確定積體電路設計的總熱量值。
在一些實施例中,通過將積體電路設計劃分為視窗陣列,方法800可以通過不僅考慮由積體電路設計的每個視窗生成的熱量,而且還考慮由積體電路設計中的每個其他視窗生成的熱量的影響,來準確地確定積體電路設計的每個視窗上生成的熱量。
在方法800的操作808中,根據每個視窗的總熱量值來填充熱量圖,從而生成積體電路設計的熱量特徵。在一些實施例中,操作808包括:生成類似於第9C圖中的熱量圖900C的熱量圖。
在一些實施例中,操作808包括:至少基於視窗陣列中的每個視窗的位置資訊和每個相應視窗的相應總熱量值來創建熱量圖。
在一些實施例中,方法800的熱量特徵包括例如第9C圖的熱量特徵930、第11A圖的熱量特徵1102和1106、第11B圖的熱量特徵1122和1126、以及第11C圖的熱量特徵1122和1146。
方法800操作用於實現上文關於至少第1圖的系統100、第2圖的晶圓200、第3圖的載體晶圓或第4圖的方法400所論述的益處。
第9A圖是根據一些實施例的功率圖900A的 圖。
功率圖900A對應於積體電路設計700的功率圖,因此類似的詳細描述被省略。在一些實施例中,功率圖900A是由系統1400在執行第8圖的操作804時生成的。
功率圖900A包括積體電路設計902和功率關鍵字920,功率關鍵字920示出了積體電路設計902中每個元件的配置功率。在一些實施例中,功率關鍵字920示出了電路塊集合904中的每個電路塊以及加熱器集合906中的每個加熱器的配置功率。
積體電路設計902對應於積體電路設計700,因此類似的詳細描述被省略。積體電路設計902被劃分為視窗陣列901。在一些實施例中,將積體電路設計902劃分為視窗陣列901對應於第8圖的操作802。
積體電路設計902包括電路塊集合904和加熱器集合906。在一些實施例中,電路塊集合904是第7圖的相應電路塊集合704,並且加熱器集合906是第7圖的相應加熱器集合706,並且類似的詳細描述被省略。
電路塊集合904至少包括CPU 904a、CPU 904b、CPU 904c、CPU 904d、GPU 904e或PLL 904f。在一些實施例中,CPU 904a、CPU 904b、CPU 904c、CPU 904d、GPU 904e或PLL 904f是第7圖的相應CPU 704a、CPU 704b、CPU 704c、CPU 704d、GPU 704e或PLL 704f,並且類似的詳細描述被省略。
電路塊集合904中的電路的其他數量在本公開的範圍內。
加熱器集合906至少包括加熱器906a或加熱器906b。在一些實施例中,加熱器906a或加熱器906b是第7圖的相應的加熱器706a或加熱器706b,且類似詳細描述被省略。加熱器集合906中的加熱器的其他數量在本公開的範圍內。
功率圖900A的其他配置在本公開的範圍內。
第9B圖是根據一些實施例的積體電路設計900B的框圖。
積體電路設計900B對應於用相應視窗902a、902b、902c和902d中的功率值P11、P12、P21和P22來填充的第9A圖的積體電路設計902,並且類似的詳細描述被省略。
在一些實施例中,系統1400在執行第8圖的操作804、806或808時使用相應視窗902a、902b、902c和902d中的功率值P11、P12、P21和P22。
積體電路設計900B的其他配置在本公開的範圍內。
第9C圖是根據一些實施例的熱量圖900C的圖。
熱量圖900C對應於功率圖900A或積體電路設計700的熱量圖,因此類似的詳細描述被省略。
熱量圖900C對應於第9A圖的積體電路設計700或功率圖900A的熱量圖,並且因此類似的詳細描述被描 述。在一些實施例中,熱量圖900C由系統1400在執行第8圖的操作808時生成。
熱量圖900C包括積體電路設計902的熱量特徵930和示出了積體電路設計902中每個視窗的熱量的熱量關鍵字932。在一些實施例中,熱量圖900C是根據熱量關鍵字932的積體電路設計902的熱量特徵930的二維輪廓圖。
熱量圖900C的其他配置在本公開的範圍內。
第10圖是根據一些實施例的修改積體電路設計的方法1000的流程圖。
在一些實施例中,方法1000是方法500的操作516的實施例,並且類似的詳細描述被省略。在一些實施例中,操作1002、1004、1006、1008、1010和1012中的一個或多個操作由第14圖的系統110或系統1400執行。
在一些實施例中,方法1000可用於修改積體電路設計,例如積體電路設計700、第9A圖的積體電路設計902、第9B圖的積體電路設計900B、第11A圖的積體電路設計1112、第11B圖至第11C圖的積體電路設計1132、或第11C圖的積體電路設計1162。
在方法1000的操作1002中,確定積體電路設計的第一部分的最大熱量值是否超過用戶定義的熱量範圍HR的上限。
在一些實施例中,方法1000的熱量範圍HR的上 限包括最大熱量值Hmax。
在一些實施例中,方法1000的積體電路設計的第一部分的最大熱量值包括由操作808生成的最大熱量值,這些最大熱量值在由操作810生成的熱量圖中。
在一些實施例中,方法1000的積體電路設計至少包括第7圖的積體電路設計700、第9A圖的積體電路設計900A、第11A圖的積體電路設計1112、第11B圖至第11C圖的積體電路設計1132、或第11C圖的積體電路設計1162。
在一些實施例中,方法1000的積體電路設計的第一部分包括積體電路設計的滿足操作1002的條件的任何部分。在一些實施例中,方法1000的積體電路設計的第一部分也被稱為“熱點區域”。
在一些實施例中,如果積體電路設計的第一部分的最大熱量值超過用戶定義的熱量範圍HR的上限,因此指示積體電路設計的熱量特徵的熱量值HV超過由用戶指定的熱量範圍HR的上限,則操作1002的結果為“是”,並且方法1000進行到操作1004。
在一些實施例中,如果積體電路設計的第一部分的最大熱量值沒有超過用戶定義的熱量範圍HR的上限,因此指示積體電路設計的熱量特徵的熱量值HV沒有超過由用戶指定的熱量範圍HR的上限,則操作1002的結果是“否”,並且方法1000進行到操作1006。
在方法1000的操作1004中,減小積體電路設計 的第一部分中的電路塊或加熱器的功率。
在一些實施例中,根據第6圖的表600中包含的功率條目集合604或功率條目集合606,減小操作1004的積體電路設計的第一部分中的電路塊或加熱器的功率。
在一些實施例中,如果多於一個加熱器或電路塊在熱點區域中,則操作1004包括至少減小佔據或覆蓋熱點區域1114b(第11A圖)中的較多面積的加熱器或電路塊的功率。例如,在第11A圖中,加熱器706b和CPU 704d都在熱點區域1114b中,並且由於CPU 704d比加熱器706b在熱點區域1114b中佔據更多的面積,通過操作1004減小CPU 704d的功率。
在一些實施例中,如果在熱點區域中沒有加熱器或電路塊,則操作1004包括至少減小與第一熱點區域相隔最小距離的加熱器或電路塊的功率。
在方法1000的操作1006中,確定積體電路設計的第二部分的最小熱量值是否小於用戶熱量範圍的下限。
在一些實施例中,方法1000的熱量範圍HR的下限包括最小熱量值Hmin。
在一些實施例中,方法1000的積體電路設計的第二部分的最小熱量值包括由操作808生成的最小熱量值,這些最小熱量值在由操作810生成的熱量圖中。
在一些實施例中,方法1000的積體電路設計的第二部分包括積體電路設計的滿足操作1006的條件的任何部分。在一些實施例中,方法1000的積體電路設計的第 二部分也被稱為“冷點區域”。
在一些實施例中,如果積體電路設計的第二部分的最小熱量值小於用戶定義的熱量範圍HR的下限,因此指示積體電路設計的熱量特徵的熱量值HV小於由用戶指定的熱量範圍HR的下限,則操作1006的結果為“是”,並且方法1000進行到操作1008。
在一些實施例中,如果積體電路設計的第二部分的最小熱量值不小於用戶定義的熱量範圍HR的下限,因此指示積體電路設計的熱量特徵的熱量值HV不小於由用戶指定的熱量範圍HR的下限,則操作1006的結果是“否”,並且方法1000進行到操作508。
在方法1000的操作1008中,確定積體電路設計的第二部分中的電路塊或加熱器的功率是否處於最大功率。
在一些實施例中,操作1008包括確定積體電路設計的“冷點區域”中的電路塊或加熱器的功率是否處於最大功率。
在一些實施例中,如果積體電路設計的第二部分中的電路塊或加熱器的功率處於最大功率,從而表明積體電路設計的第二部分中的電路塊或加熱器的功率值無法進一步提高,則操作1008的結果為“是”,並且方法1000進行到操作1010。
在一些實施例中,如果積體電路設計的第二部分中的電路塊或加熱器的功率不處於最大功率,因此表明積體 電路設計的第二部分中的電路塊或加熱器的功率值可以進一步提高,則操作1008的結果為“否”,並且方法1000進行到操作1012。
在方法1000的操作1010中,在積體電路設計的第二部分中至少插入加熱器。在一些實施例中,方法1000中所插入的加熱器包括第11C圖的加熱器1160。
在一些實施例中,操作1010包括:在積體電路設計的第一冷點區域中至少插入加熱器。
在方法1000的操作1012中,增加了積體電路設計的第二部分中的電路塊或加熱器的功率。在一些實施例中,具有由於操作1012而增加了的功率的加熱器包括第11B圖中的加熱器706a。
方法1000操作用於實現上文關於至少第1圖的系統100、第2圖的晶圓200、第3圖的載體晶圓、第4圖或第5圖至第11C圖的方法400所論述的益處。
第11A圖是根據一些實施例的功率圖和積體電路設計的圖1100A。
圖1100A包括熱量圖1102、熱量圖1106和積體電路設計1112。
在一些實施例中,積體電路設計1112對應於積體電路設計700,並且熱量圖1102對應於第9C圖的熱量圖900C,因此類似的詳細描述被省略。
熱量圖1102對應於積體電路設計1112的熱量圖,因此類似的詳細描述被省略。在一些實施例中,熱量圖 1102和1106由系統1400在執行第8圖的操作804時生成。
熱量圖1106對應於執行第10圖的操作1004之後的積體電路設計1112的熱量圖,因此類似詳細描述被省略。
熱量圖1102包括熱點區域1104a和熱點區域1104b。熱點區域1104a對應於積體電路設計1112的區域1114a,熱點區域1104b對應於積體電路設計1112的區域1114b。
積體電路設計1112的區域1114a覆蓋CPU 704c。積體電路設計1112的區域1114b覆蓋CPU 704d和加熱器706b的一部分。
熱量圖1106包括熱點區域1108a和熱點區域1108b。熱點區域1108a對應於在執行操作1004之後的熱點區域1104a,而熱點區域1108b對應於執行操作1004之後的熱點區域1104b。
例如,在一些實施例中,在執行操作1004期間,CPU 704c在與熱點區域1104a相對應的區域1114a中,並且CPU 704c的功率由於操作1004而被減小,從而生成熱量圖1106的熱點區域1108a。
例如,在一些實施例中,在操作1004的執行期間,加熱器706b和CPU 704d兩者都在對應於熱點區域1104b的區域1114b中,並且因為CPU 704d在區域1114b中佔據比加熱器706b更多的面積,所以CPU 704d的功率由於操作1004而被減小,從而生成熱量圖1106的熱點區域1108b。
圖1100A的其他配置在本公開的範圍內。
第11B圖是根據一些實施例的功率圖和積體電路設計的圖1100B。
圖1100B包括熱量圖1122、熱量圖1126和積體電路設計1132。
在一些實施例中,積體電路設計1132對應於積體電路設計700,並且熱量圖1122對應於第9C圖的熱量圖900C,因此類似的詳細描述被省略。
熱量圖1122對應於積體電路設計1132的熱量圖,因此類似的詳細描述被省略。在一些實施例中,熱量圖1122和1126由系統1400在執行第8圖的操作804時生成。
熱量圖1126對應於執行第10圖的操作1012之後的積體電路設計1132的熱量圖,因此類似的詳細描述被省略。
熱量圖1122包括冷點區域1124a。冷點區域1124a對應於積體電路設計1132的區域1134a。
積體電路設計1132的區域1134a對應於CPU 704c。
熱量圖1126包括冷點區域1128a。冷點區域1128a對應於在執行操作1012之後的冷點區域1124a。例如,在一些實施例中,在操作1012的執行期間,加熱 器706a在對應於冷點區域1124a的區域1134a中,並且加熱器706a的功率由於操作1012增加,從而生成熱量圖1126的冷點區域1128a。
圖1100B的其他配置在本公開的範圍內。
第11C圖是根據一些實施例的功率圖和積體電路設計的圖1100C。
圖1100C包括熱量圖1122、熱量圖1146、積體電路設計1132和積體電路設計1162。
在一些實施例中,積體電路設計1132對應於積體電路設計700,並且熱量圖1122對應於第9C圖的熱量圖900C,因此類似的詳細描述被省略。
熱量圖1122對應於積體電路設計1132的熱量圖,因此類似的詳細描述被省略。在一些實施例中,熱量圖1122和1146由系統1400在執行第8圖的操作804時生成。
熱量圖1146對應於積體電路設計1162的熱量圖,並且在執行第10圖的操作1010之後生成積體電路設計1162和相應的熱量圖1146,因此類似的詳細描述被省略。
熱量圖1122包括冷點區域1144a。冷區區域1144a對應於積體電路設計1132的區域1154a。
積體電路設計1132的區域1154a不包括積體電路設計1132中的電路塊或加熱器。
熱量圖1146包括冷點區域1148a。冷點區域 1148a對應於在執行操作1010之後的冷點區域1144a。例如,在一些實施例中,在操作1010的執行期間,在積體電路設計1132的區域1154a中沒有加熱器,因此系統1400將新加熱器1160插入到積體電路設計1132的區域1164a中,並且區域1164a對應於熱量圖1146的冷點區域1148a。
圖1100C的其他配置在本公開的範圍內。
第12圖是根據一些實施例的同時執行對積體電路的老化測試和對積體電路的自動化測試的方法1200的流程圖。
在一些實施例中,方法1200是方法400的操作408的實施例,並且類似的詳細描述被省略。在一些實施例中,操作1202、1204和1206中的一個或多個操作由第14圖的系統100或系統1400執行。在一些實施例中,方法1200可用於同時執行對積體電路的老化測試和對積體電路的自動化測試。
在方法1200的操作1202中,電路塊集合和第一加熱器集合被配置作為用於對積體電路的老化測試的第一熱源集合,從而生成積體電路的第一熱量特徵。
在一些實施例中,操作1202包括:根據與積體電路設計相對應的模擬設計功率水準來接通電路塊集合和第一加熱器集合,從而生成第一熱量特徵。
在一些實施例中,方法1200的積體電路至少包括晶圓102、晶圓200、多個積體電路201或積體電路203。
在一些實施例中,方法1200的積體電路設計至少包括第7圖的積體電路設計700、第9A圖的積體電路設計902、第11A圖的積體電路設計1112、第11B圖至第11C圖的積體電路設計1132、或第11C圖的積體電路設計1162。
在一些實施例中,方法1200的積體電路的電路塊集合至少包括第2圖的電路塊集合204。
在一些實施例中,方法1200的積體電路的第一加熱器集合至少包括第2圖的加熱器集合206。
在一些實施例中,方法1200的模擬設計功率水準包括表600中所包含的至少一個或多個功率條目集合604或606。
在方法1200的操作1204中,將積體電路放置在載體晶圓上。在一些實施例中,方法1200的載體晶圓包括第1圖的載體晶圓106和第3圖的載體晶圓300。
在方法1200的操作1206中,載體晶圓的至少一部分被配置作為用於對積體電路的老化測試的第二熱源集合,從而生成積體電路的第二熱量特徵。
在一些實施例中,方法1200的載體晶圓的至少一部分包括第3圖的至少區域302、多個積體電路裸晶301中的一個或多個、積體電路裸晶303或電路裸晶集合304中的至少一個裸晶。
在一些實施例中,操作1206包括接通第二加熱器集合,從而生成第二熱量特徵,該第二熱量特徵與用於 對積體電路的老化測試的第二熱源集合相對應。
在一些實施例中,方法1200的至少第二加熱器集合包括第1圖的加熱器晶片108、加熱器集合306中的至少一個加熱器、第13圖的加熱器1300或第13圖的互連1302a或第13圖的互連1302b的至少一部分。
在一些實施例中,方法1200的積體電路的第一熱量特徵對應於積體電路的老化熱分佈,並且第二加熱器集合中的加熱器被關閉。
在一些實施例中,積體電路的第一熱量特徵和方法1200的第二熱量特徵對應於對積體電路的老化熱分佈,並且第二加熱器集合中的至少一個加熱器被接通。
方法1200操作用於實現上文關於至少第1圖的系統100、第2圖的晶圓200、第3圖的載體晶圓、第4圖或第5圖至第11C圖的方法400所論述的益處。
第13圖是根據一些實施例的加熱器1300的截面圖。在一些實施例中,加熱器1300是第1圖中的加熱器晶片108的實施例或第3圖中的加熱器集合306的加熱器,並且類似的詳細描述被省略。
加熱器1300可用作第1圖中的加熱器晶片108中的加熱器或第3圖中的加熱器集合306中的加熱器。
加熱器1300在裸晶1304的一層或多層中包括互連1302a和互連1302b。在一些實施例中,裸晶1304對應於第3圖的裸晶集合304中的一個或多個裸晶,並且類似的詳細描述被省略。
互連1302a和1302b各自包括一個或多個導電特徵,例如在絕緣材料1310中形成的導線(未標記)、過孔(未標記)或導電墊(未標記)。在一些實施例中,形成在互連結構1302a和1302b的絕緣材料1310中的一個或多個導電特徵(例如導電線(未標記)、過孔(未標記)或導電焊盤(未標記))被稱為裸晶1304的一個或多個再分佈層(RDL)。第13圖中所示的導電特徵的佈線僅為示例。互連結構1302a和1302b的導電特徵的其他配置、佈置和材料在本公開的預期範圍內。互連結構1302a和1302b的其他配置、佈置和材料在本公開的預期範圍內。
在一些實施例中,互連1302a和1302b用以:當電流通過相應的互連1302a和1302b時,生成熱量並用作兩個不同級的相應的熱源。在一些實施例中,可以通過改變流過相應的互連1302a和1302b的電流的量來調整由互連1302a和1302b生成的熱量。在一些實施例中,可以通過選擇互連1302a和1302b的不同級數來調整由互連結構1302a和1302b生成的熱量。
在一些實施例中,至少互連結構1302a或1302b由導電材料製成,例如銅、銅合金、鋁、合金或它們的組合。在一些實施例中,使用其他適用的材料。在一些實施例中,至少互連結構1302a或1302b包括其他導電材料,例如鎢(W)、Cu、Al或AlCu。在一些實施例中,絕緣材料1310由氧化矽製成。在一些實施例中,絕緣材料 1310包括電介質材料的多個電介質層。多個電介質層中的一個或多個由低介電常數(低k)材料製成。
第13圖示出了兩個互連或兩個級,但是互連1302a和1302b的其他級數以及通過互連1302a和1302b的相應電流值也在本公開的範圍內。
加熱器1300還包括互連結構1302a和1302b的表面上的凸塊下金屬(UBM)層1312。在一些實施例中,UBM層包括一個或多個導電部分1312a、1312b、…、1312f,其中,f是與UBM層1312中的導電部分的數量相對應的整數。在一些實施例中,UBM層1312形成在互連結構1302a和1302b的表面上。在一些實施例中,UBM層1312形成在金屬襯墊(未示出)上。在一些實施例中,UBM層1312包括粘附層和/或潤濕層。在一些實施例中,UBM層1312至少包括銅種子層。在一些實施例中,UBM層1312包括鈦(Ti)、氮化鈦(TiN)、氮化鉭(TaN)或鉭(Ta)等。UBM層1312的其他配置、佈置和材料在本公開的預期範圍內。
加熱器1300還包括在UBM層1312上的焊料凸塊集合1314。
焊料凸塊集合1314包括一個或多個焊料凸塊1314a、1314b、…、1314f,其中,f是與焊料凸塊集合1314中的焊料凸塊的數量相對應的整數。在一些實施例中,焊料凸塊集合1314形成在UBM層1312之上。在一些實施例中,焊料凸塊集合1314中的一個或多個焊 料凸塊1314a、1314b、…、1314f包括具有低電阻率的導電材料,例如焊料或焊料合金。在一些實施例中,焊料合金包括Sn、Pb、Ag、Cu、Ni、Bi或它們的組合。焊料凸塊集合1314的其他配置、佈置和材料在本公開的預期範圍內。
在一些實施例中,焊料凸塊集合1314通過凸塊集合1314電性連接到一個或多個其他封裝結構(未示出)。
加熱器1300的其他配置、佈置和材料在本公開的預期範圍內。
第14圖是根據一些實施例的用於設計IC佈局設計、模擬IC設計以及製造IC電路的系統1400的示意圖。在一些實施例中,系統1400生成或放置本文描述的一個或多個IC佈局設計,測試基於IC佈局設計的一個或多個IC設計,並製造基於佈局設計的IC。在一些實施例中,系統1400是第1圖中的系統110,因此類似的詳細描述被省略。
系統1400包括硬體處理器1402和編碼有(即儲存)電腦程式代碼1406(即可執行指令集合1406)的非暫時性電腦可讀儲存介質1404(例如記憶體1404)。在一些實施例中,電腦可讀儲存介質1404被配置用於與用於生產積體電路的製造機器介面連接。在一些實施例中,電腦可讀儲存介質1404被配置用於與晶圓102、測試電路板104、載體晶圓106、以及加熱器晶片108介面連接以用於測試積體電路。在一些實施例中,電腦可讀儲存介 質1404用以生成並模擬積體電路設計。
處理器1402通過匯流排1408電性耦接到電腦可讀儲存介質1404。處理器1402還通過匯流排1408電性耦接到輸入/輸出(I/O)介面1410。網路介面1412還通過匯流排1408電性連接到處理器1402。網路介面1412連接到網路1414,使得處理器1402和電腦可讀儲存介質1404能夠通過網路1414連接到外部元件。處理器1402用以執行被編碼在電腦可讀儲存介質1404中的電腦程式代碼1406,以便使得系統1400能夠用於執行至少在方法400、500、800、1000或1200中描述的一部分或全部操作。
在一些實施例中,處理器1402是中央處理單元(CPU)、多處理器、分散式處理系統、專用積體電路(ASIC)和/或合適的處理單元。
在一些實施例中,電腦可讀儲存介質1404是電子、磁性、光學、電磁、紅外和/或半導體系統(或裝置或設備)。例如,電腦可讀儲存介質1404包括半導體或固態記憶體、磁帶、可移動電腦磁片、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、剛性磁片、和/或光碟。在一些使用光碟的實施例中,電腦可讀儲存介質1404包括光碟唯讀記憶體(CD-ROM)、光碟讀/寫(CD-R/W)和/或數位視訊光碟(DVD)。
在一些實施例中,儲存介質1404儲存用以使系統1400至少執行方法400、500、800、1000或1200的 電腦程式代碼1406。在一些實施例中,儲存介質1404還儲存執行至少方法400、500、800、1000或1200所需的資訊以及在執行至少方法400、500、800、1000或1200期間生成的資訊,例如IC設計1416、使用者介面1418、IC設計模擬1422、模擬參數1424、測試參數1426、查找表1428和映射1430,和/或用於執行至少方法400、500、800、1000或1200的操作的可執行指令集合。在一些實施例中,IC設計1416包括系統100、晶圓200、積體電路設計700、900A~900B的佈局設計中的一個或多個佈局圖案。
在一些實施例中,IC設計1416至少包括第7圖的積體電路設計700、第9A圖的積體電路設計902、第11A圖的積體電路設計1112、第11B圖至第11C圖的積體電路設計1132、或第11C圖的積體電路設計1162。
在一些實施例中,IC設計模擬1422包括用以執行至少方法400、500、800、1000或1200的一個或多個部分的電腦代碼。
在一些實施例中,模擬參數1424至少包括如由第1圖至第13圖中的一個或多個附圖中的一個或多個用戶、一個或多個熱量參數或一個或多個功率參數所定義的方法500的重複次數。在一些實施例中,一個或多個熱量參數至少包括第1圖至第13圖中的一個或多個附圖中的熱量分佈、一個或多個來自(一個或多個)用戶的熱量範圍、一個或多個熱量特徵、一個或多個熱量值、一個或多個總熱 量值。在一些實施例中,一個或多個功率參數至少包括來自表600的功率資訊、第1圖至第13圖的一個或多個附圖中的視窗陣列的每個視窗的功率資訊或一個或多個功率值。
在一些實施例中,測試參數1426至少包括第1圖至第13圖中的一個或多個附圖中的老化測試參數和ATE測試參數。
在一些實施例中,查找表1428至少包括第1圖至第13圖的一個或多個附圖中的表600、功率資訊或位置資訊。在一些實施例中,查找表1428包括方法500的設計檔。
在一些實施例中,映射1430包括第1圖至第13圖中的一個或多個附圖中的至少一個或多個熱量圖、一個或多個功率圖、一個或多個熱點、一個或多個冷點或一個或多個視窗陣列。
在一些實施例中,儲存介質1404儲存用於與製造機器介面連接的指令(例如電腦程式代碼1406)。指令(例如電腦程式代碼1406)使處理器1402能夠生成製造機器可讀的製造指令,以在製造工藝中有效地至少實施方法400、500、800、1000或1200。
系統1400包括I/O介面1410。I/O介面1410耦接到外部電路。在一些實施例中,I/O介面1410包括鍵盤、小鍵盤、滑鼠、軌跡球、軌跡板和/或游標方向鍵,以用於向處理器1402傳送資訊和命令。
系統1400還包括耦接到處理器1402的網路介面1412。網路介面1412允許系統1400與一個或多個其他電腦系統所連接的網路1414通信。網路介面1412包括:無線網路介面,例如藍牙、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,例如乙太網、USB或IEEE-1494。在一些實施例中,在兩個或更多個系統1400中實現至少方法400、500、800、1000或1200,並且通過網路1414在不同系統1400之間交換諸如IC設計、使用者介面、IC設計模擬、模擬參數、測試參數、查找表和圖之類的資訊。
系統1400用以通過I/O介面1410或網路介面1412接收與IC或佈局設計有關的資訊。該資訊通過匯流排1408被傳送到處理器1402,以確定用於生產至少晶圓102、晶圓200、多個積體電路201或積體電路203的IC設計。然後,將IC設計儲存在電腦可讀儲存介質1404中作為IC設計1416。系統1400用以通過I/O介面1410或網路介面1412接收與使用者介面有關的資訊。該資訊儲存在電腦可讀儲存介質1404中作為使用者介面1418。系統1400用以通過I/O介面1410或網路介面1412接收與IC設計模擬有關的資訊。該資訊儲存在電腦可讀儲存介質1404中作為IC設計模擬1422。系統1400用以通過I/O介面1410或網路介面1412接收與模擬參數有關的資訊。該資訊儲存在電腦可讀儲存介質1404中作為模擬參數1424。系統1400用以通過I/O介面1410或網 路介面1412接收與測試參數有關的資訊。該資訊儲存在電腦可讀儲存介質1404中作為測試參數1426。系統1400用以通過I/O介面1410或網路介面1412接收與查找表有關的資訊。該資訊儲存在電腦可讀儲存介質1404中作為查找表1428。系統1400用以通過I/O介面1410或網路介面1412接收與圖有關的資訊。該資訊儲存在電腦可讀儲存介質1404中作為映射1430。
在一些實施例中,方法400、500、800、1000或1200中的一個或多個的至少一些部分被實現為用於由處理器執行的獨立軟體應用。在一些實施例中,方法400、500、800、1000或1200中的一個或多個的至少一些部分被實現為作為附加軟體應用的一部分的軟體應用。在一些實施例中,方法400、500、800、1000或1200中的一個或多個的至少一些部分被實現為軟體應用的外掛程式。在一些實施例中,方法400、500、800、1000或1200中的一個或多個方法的至少一些部分被實現為作為EDA工具的一部分的軟體應用。在一些實施例中,方法400、500、800、1000或1200中的一個或多個的方法的至少一些部分被實現為由EDA工具使用的軟體應用。在一些實施例中,EDA工具用於生成積體電路裝置的佈局設計,生成並模擬IC裝置的積體電路設計或執行對IC裝置的測試。在一些實施例中,佈局設計、IC設計以及模擬和測試參數被儲存在非暫時性電腦可讀介質上。在一些實施例中,佈局是使用諸如可從CADENCE DESIGN SYSTEMS公 司得到的VIRTUOSO®之類的工具或另一種合適的佈局生成工具而生成的。在一些實施例中,佈局是根據基於示意設計創建的網表來生成的。在一些實施例中,方法400、500、800、1000或1200中的一個或多個方法的至少一些部分由製造設備實現,以使用基於由系統1400生成的一個或多個佈局設計製造的遮罩集合來製造積體電路。在一些實施例中,系統1400是使用基於本公開的一個或多個佈局設計製造的遮罩集合來製造積體電路的製造設備。
在一些實施例中,第14圖的系統1400進行操作以實現上文至少關於第1圖的系統100、第2圖的晶圓200、第3圖的載體晶圓300、第4圖或第5圖至第13圖的方法400所論述的益處。
第15圖是根據本公開的至少一個實施例的積體電路(IC)製造系統1500以及與其相關聯的IC製造流程的框圖。在一些實施例中,基於佈局圖,使用製造系統1500製造以下項中的至少一項:(A)一個或多個半導體遮罩、或(B)半導體積體電路的層中的至少一個元件。
在第15圖中,IC製造系統1500(下文稱為“系統1500”)包括在設計、開發、以及與製造IC裝置1560有關的製造週期和/或服務中彼此交互的實體,例如,設計室1520、遮罩室1530以及IC製造者/製造商(“fab”)1540。系統1500中的實體通過通信網路連接。在一些實施例中,通信網路是單個網路。在一些實施例中,通信網路是各種不同的網路,例如,內聯網和互聯網。該通信網 路包括有線和/或無線通訊通道。每個實體與一個或多個其他實體交互,並且向一個或多個其他實體提供服務和/或從一個或多個其他實體接收服務。在一些實施例中,設計室1520、遮罩室1530和IC fab 1540中的一者或多者由單個較大公司擁有。在一些實施例中,設計室1520、遮罩室1530和IC fab 1540中的一者或多者在公共設施中共存並使用公共資源。
設計室(或設計團隊)1520生成IC設計佈局1522。IC設計佈局1522包括針對IC裝置1560設計的各種幾何圖案。幾何圖案對應於構成要製造的IC裝置1560的各個元件的金屬、氧化物或半導體層的圖案。各種層組合形成各種IC特徵。例如,IC設計佈局1522的一部分包括各種IC特徵,例如,有源區域、柵極電極、源極電極和漏極電極、層間互連的金屬線或通孔以及用於接合焊盤的開口,以形成於半導體襯底(例如,矽晶圓)以及設置在半導體襯底上的各個材料層中。設計室1520實現了適當設計程式以形成IC設計佈局1522。設計程式包括一個或多個邏輯設計、物理設計或地點和佈線。IC設計佈局1522呈現在具有幾何圖案的資訊的一個或多個資料檔案中。例如,IC設計佈局1522可以用GDSII檔案格式或DFII檔案格式表示。在一些實施例中,IC設計佈局1522至少包括第7圖的積體電路設計700、第9A圖的積體電路設計902、第11A圖的積體電路設計1112、第11B圖至第11C圖的積體電路設計1132、或第11C圖 的積體電路設計1162。
遮罩室1530包括資料準備1532和遮罩製造1534。遮罩室1530使用IC設計佈局1522來製造一個或多個遮罩1545,以用於根據IC設計佈局1522來製造IC裝置1560的各個層。遮罩室1530執行遮罩資料準備1532,其中IC設計佈局1522被轉換為代表性資料檔案(“RDF”)。遮罩資料準備1532向遮罩製造1534提供RDF。遮罩製造1534包括遮罩寫入器。遮罩寫入器將RDF轉換為襯底上的圖像,例如,遮罩(刻線(reticle))1545或半導體晶圓1542。設計佈局1522由遮罩資料準備1532操縱,以符合遮罩寫入器的特定特性和/或IC fab 1540的要求。在第15圖中,將遮罩資料準備1532和遮罩製造1534示為單獨的元素。在一些實施例中,遮罩資料準備1532和遮罩製造1534可以被統稱為遮罩資料準備。
在一些實施例中,遮罩資料準備1532包括光學鄰近校正(OPC),其使用光刻增強技術來補償圖像誤差,例如,可能由衍射、干涉、其他工藝效果等引起的那些誤差。OPC調整IC設計佈局1522。在一些實施例中,遮罩資料準備1532還包括解析度增強技術(RET),例如,離軸照明、亞解析度輔助特性、相移遮罩、其他合適的技術等或前述項的組合。在一些實施例中,還使用了將OPC視為逆成像問題的逆光刻技術(ILT)。
在一些實施例中,遮罩資料準備1532包括遮罩規 則檢查器(MRC),其利用一組遮罩創建標準規則來檢查已經在OPC中進行處理的IC設計佈局,該組遮罩創建標準規則包含某些幾何和/或連線性限制以確保足夠的餘量,以解釋半導體製造工藝的可變性等。在一些實施例中,MRC修改IC設計佈局以補償遮罩製造1534期間的限制,其可以撤銷OPC所執行的部分修改以便滿足遮罩創建標準規則。
在一些實施例中,遮罩資料準備1532包括類比將由IC fab 1540實現以製造IC裝置1560的處理的光刻工藝檢查(LPC)。LPC基於IC設計佈局1522模擬此處理,以創建類比製造的裝置,例如IC裝置1560。LPC類比中的工藝參數可以包括與IC製造週期的各種工藝相關聯的參數、與用於製造IC的工具相關聯的參數、和/或製造工藝的其他方面。LPC考慮了各種因素,例如,航空圖像對比度、聚焦深度(“DOF”)、遮罩誤差增強因數(“MEEF”)、其他合適的因素等或前述項的組合。在一些實施例中,在由LPC創建類比製造的裝置之後,如果模擬裝置的形狀不足以滿足設計規則,則重複OPC和/或MRC以進一步改進IC設計佈局1522。
應當理解,出於清楚的目的,對遮罩資料準備1532的上述描述進行了簡化。在一些實施例中,資料準備1532包括諸如邏輯操作(LOP)之類的附加特徵,以根據製造規則來修改IC設計佈局。此外,在資料準備1532期間應用於IC設計佈局1522的工藝可以以各種不同的循 序執行。
在遮罩資料準備1532之後和遮罩製造1534期間,基於經修改的IC設計佈局1522來製造遮罩1545或一組遮罩1545。在一些實施例中,遮罩製造1534包括基於IC設計佈局1522執行一個或多個光刻曝光。在一些實施例中,基於經修改的IC設計佈局1522,電子束(e-beam)或多個電子束的機構用於在遮罩(光遮罩或刻線)1545上形成圖案。遮罩1545可以以各種技術形成。在一些實施例中,使用二進位技術形成遮罩1545。在一些實施例中,遮罩圖案包括不透明區域和透明區域。用於曝光已塗覆在晶圓上的圖像敏感材料層(例如,光致抗蝕劑)的輻射光束(例如,紫外線(UV)光束)被不透明區域阻擋並穿過透明區域。在一個示例中,遮罩1545的二元版本包括透明襯底(例如,熔融石英)和塗覆在二元遮罩的不透明區域中的不透明材料(例如,鉻)。在另一示例中,使用相移技術形成遮罩1545。在遮罩1545的相移遮罩(PSM)版本中,在遮罩上形成的圖案中的各種特徵用以具有適當的相位差以增強解析度和成像品質。在各種示例中,相移遮罩可以是衰減的PSM或交替的PSM。由遮罩製造1534生成的(一個或多個)遮罩用於各種工藝。例如,在離子注入工藝中使用這樣的(一個或多個)遮罩,以在半導體晶圓中形成各種摻雜區域,在蝕刻工藝中使用這樣的(一個或多個)遮罩,以在半導體晶圓中形成各種蝕刻區域,和/或在其他合適的工藝中使用這樣的(一個或多個)遮 罩。
IC fab 1540是包括用於製造各種不同的IC產品的一個或多個製造設施的IC製造實體。在一些實施例中,IC fab 1540是半導體鑄造廠。例如,可以存在用於多個IC產品的前端製造的製造設施(前段制程(FEOL)製造),而第二製造設施可以提供用於IC產品的互連和封裝的後端製造(後段制程(BEOL)製造),第三製造設施可以為鑄造實體提供其他服務。
IC fab 1540包括晶圓製造工具1552(下文中稱為“製造工具1552”),製造工具1552用以在半導體晶圓1542上執行各種製造操作,從而根據(一個或多個)遮罩(例如,遮罩1545)來製造IC裝置1560。在各種實施例中,製造工具1552包括以下項中的一項或多項:晶圓步進器、離子注入機、光致抗蝕劑塗布機、處理室(例如,CVD室或LPCVD爐)、CMP系統、等離子體蝕刻系統、晶圓清潔系統、或如本文所討論的能夠執行一個或多個合適的製造工藝的其他製造設備。
IC fab 1540使用由遮罩室1530製造的(一個或多個)遮罩1545來製造IC裝置1560。因此,IC fab 1540至少間接地使用IC設計佈局圖1522來製造IC裝置1560。在一些實施例中,半導體晶圓1542由IC fab 1540使用(一個或多個)遮罩1545製造以形成IC裝置1560。在一些實施例中,IC製造包括至少間接地基於IC設計佈局1522執行一個或多個光刻曝光。半導體晶圓 1542包括矽襯底或具有在其上形成的材料層的其他適當襯底。半導體晶圓1542還包括各種摻雜區域、電介質特徵、多級互連等(在隨後的製造步驟中形成)中的一者或多者。
在一些實施例中,IC裝置1560至少包括晶圓102、晶圓200、多個積體電路201或積體電路203。
系統1500被示為具有作為單獨的元件或實體的設計室1520、遮罩室1530或IC fab 1540。然而,應理解,設計室1520、遮罩室1530或IC fab 1540中的一者或多者是相同元件或實體的一部分。
關於積體電路(IC)製造系統(例如,第15圖的系統1500)以及與之相關聯的IC製造流程的細節在例如以下專利文獻中找到:2016年2月9日授權的美國專利No.9,256,709;2015年10月1日公開的美國預授權公開No.20150278429;2014年2月6日公開的美國預授權公開No.20140040838;以及2007年8月21日授予的美國專利No.7,260,442,這些專利文獻的全部內容通過引用合併於此。
本領域普通技術人員將容易看到,所公開的實施例中的一個或多個實現了上述一個或多個優點。在閱讀上述說明書之後,普通技術人員將能夠實現如本文廣泛公開的各種變化、等同物的替換和各種其它實施例。因此,此處授予的保護僅由所附權利要求及其等同物中包含的定義限定。
本說明書的一個方面關於一種在測試電路板上測試積體電路的方法。在一些實施例中,該方法包括:由處理器執行對整個積體電路設計中的第一熱分佈的模擬;根據積體電路設計來製造積體電路;以及同時執行對積體電路的老化測試和對積體電路的自動化測試。在一些實施例中,積體電路設計用以以模擬設計功率水準進行操作,並生成第一熱分佈。在一些實施例中,老化測試具有積體電路的最低老化溫度和在整個積體電路上的老化熱分佈。在一些實施例中,積體電路設計對應於積體電路。在一些實施例中,積體電路用以根據模擬設計功率水準進行操作,並且積體電路被耦接至測試電路板。在一些實施例中,積體電路包括電路塊集合和第一加熱器集合。在一些實施例中,同時執行對積體電路的老化測試和對積體電路的自動化測試包括:將電路塊集合和第一加熱器集合配置作為用於對積體電路的老化測試的一第一熱源集合,從而生成積體電路的一第一熱量特徵。在一些實施例中,將電路塊集合和第一加熱器集合配置作為用於對積體電路的老化測試的第一熱源集合,從而生成積體電路的第一熱量特徵包括:根據模擬設計功率水準接通電路塊集合和第一加熱器集合,以生成第一熱量特徵。在一些實施例中,積體電路的第一熱量特徵對應於積體電路上的老化熱分佈。在一些實施例中,同時執行對積體電路的老化測試和對積體電路的自動化測試還包括:將積體電路放置在一載體晶圓上;以及將載體晶圓的至少一部分配置作為一第二熱源集合,第二熱 源集合用於對積體電路的老化測試,從而生成積體電路的一第二熱量特徵,其中第二熱源集合對應於位於一積體電路裸晶的一網格佈置中的一第二加熱器集合,並且積體電路裸晶是載體晶圓的一部分。在一些實施例中,積體電路的第一熱量特徵和第二熱量特徵對應於積體電路上的老化熱分佈。在一些實施例中,將載體晶圓的至少一部分配置作為用於對積體電路的老化測試的第二熱源集合包括:接通第二加熱器集合,從而生成與用於對積體電路的老化測試的第二熱源集合相對應的第二熱量特徵。在一些實施例中,整個積體電路設計中的第一熱分佈是均勻的。在一些實施例中,在不使用一老化板或一烤箱的情況下,同時執行對積體電路的老化測試和對積體電路的自動化測試。在一些實施例中,執行對整個積體電路設計中的第一熱分佈的模擬包括:根據整個積體電路設計中的第一熱分佈來修改積體電路設計。
本說明書的另一方面關於一種在測試電路板上測試積體電路的方法。在一些實施例中,方法包括:由處理器執行對整個積體電路設計中的第一熱分佈的模擬,以及根據積體電路設計來製造積體電路。在一些實施例中,積體電路設計用以以模擬設計功率水準進行操作,並生成第一熱分佈。在一些實施例中,模擬設計功率水準包括配置功率資訊。在一些實施例中,積體電路設計包括電路塊集合和加熱器集合。在一些實施例中,執行模擬包括:根據用於積體電路設計中所包括的電路塊集合中的每個電路塊 和加熱器集合中的每個加熱器的配置功率資訊和位置資訊來確定積體電路設計的熱量特徵。在一些實施例中,包括熱量值的熱量特徵分佈在整個積體電路設計中。在一些實施例中,執行模擬還包括:確定積體電路設計的熱量特徵的熱量值是否在積體電路設計的熱量範圍內,以及回應於確定積體電路設計的熱量值不在熱量範圍內而修改積體電路設計。在一些實施例中,方法還包括:回應於確定積體電路設計的熱量特徵的熱量值在熱量範圍內或者確定模擬的一重複次數超過一用戶定義的限制,而不修改積體電路設計。在一些實施例中,修改積體電路設計包括:回應於確定積體電路設計的熱量特徵的熱量值不在積體電路設計的熱量範圍內,修改積體電路設計中的至少一元件的一配置功率,元件至少包括電路塊集合的一第一電路塊或加熱器集合的一第一加熱器。在一些實施例中,修改積體電路設計中的至少元件的配置功率包括:增加電路塊集合中的至少第一電路塊或加熱器集合中的第一加熱器的配置功率。在一些實施例中,修改積體電路設計中的至少元件的配置功率包括:減小電路塊集合中的至少第一電路塊或加熱器集合中的第一加熱器的配置功率。在一些實施例中,修改積體電路設計包括:回應於確定積體電路的熱量特徵的熱量值不在積體電路設計的熱量範圍內,而向積體電路設計中的加熱器集合添加一新加熱器。在一些實施例中,修改積體電路設計包括:回應於確定積體電路的熱量特徵的熱量值不在積體電路設計的熱量範圍內,而從積體電路設計 中的加熱器集合中移除加熱器。在一些實施例中,執行對整個積體電路設計中的第一熱分佈的模擬還包括:獲得用於積體電路設計中的電路塊集合中的每個電路塊以及加熱器集合中的每個加熱器的配置功率資訊;以及從一設計檔提取積體電路設計中的電路塊集合中的每個電路塊和加熱器集合中的每個加熱器的位置資訊。
本具體實施方式的另一方面關於一種測試系統。在一些實施例中,測試系統包括積體電路,耦接到積體電路的測試電路板、耦接到至少積體電路或測試電路板的載體晶圓、以及電性耦接到積體電路的第一系統。在一些實施例中,第一系統包括用以儲存可執行指令的非暫時性電腦可讀介質,以及耦接到該非暫時性電腦可讀介質的處理器。在一些實施例中,處理器用以執行可執行指令,以執行對整個積體電路設計中的第一熱分佈的模擬。在一些實施例中,積體電路設計用以以模擬設計功率水準進行操作,並生成第一熱分佈。在一些實施例中,積體電路設計對應於積體電路。在一些實施例中,積體電路用以根據模擬設計功率水準進行操作。在一些實施例中,測試系統用以同時執行對積體電路的老化測試和對積體電路的自動化測試。在一些實施例中,老化測試具有積體電路的最低老化溫度和在整個積體電路上的老化熱分佈。在一些實施例中,執行對整個該積體電路設計中的該第一熱分佈的該模擬包括:獲得用於該積體電路設計中的一電路塊集合中的每個電路塊和一加熱器集合中的每個加熱器的配置功率資訊和位置 資訊;根據用於該積體電路設計中所包括的該電路塊集合中的每個電路塊和該加熱器集合的每個加熱器的該配置功率資訊和該位置資訊,來確定該積體電路設計的一熱量特徵,該熱量特徵包括在整個該積體電路設計上所分佈的多個熱量值;確定該積體電路設計的該熱量特徵的該些熱量值是否在該熱量範圍內;以及回應於確定該積體電路設計的該熱量特徵的該些熱量值不在該熱量範圍內,而修改該積體電路設計。
前述內容概述幾個實施例之特徵,以便熟習此項技術者可更佳地理解本揭示內容之各個態樣。熟習此項技術者應理解,其可容易地將本揭示內容用作設計或修改其他程式及結構的基礎,以實施本文仲介紹之實施例的相同目的及/或實施相同優點。熟習此項技術者亦應認識到,此類等效結構不脫離本揭示內容之精神及範疇,且其可在不脫離本揭示內容之精神及範疇的情況下對本文進行各種更改、替換及變更。
500:方法
502、504、506、508、510、512、514、516:操作

Claims (10)

  1. 一種在一測試電路板上測試一積體電路的方法,該積體電路包括一電路塊集合和一第一加熱器集合,該方法包括:由一處理器執行對整個積體電路設計中的一第一熱量分佈的一模擬,該積體電路設計用以以多個模擬設計功率水準進行操作並且生成該第一熱量分佈,並且該積體電路設計與該積體電路相對應;根據該積體電路設計來製造該積體電路;以及同時執行對該積體電路的一老化測試和對該積體電路的一自動化測試,該積體電路用以根據該些模擬設計功率水準進行操作,並且該積體電路耦接到該測試電路板,其中該老化測試具有該積體電路的一最低老化溫度和該積體電路上的一老化熱分佈,其中同時執行對該積體電路的該老化測試和對該積體電路的該自動化測試包括:將該電路塊集合和該第一加熱器集合配置作為用於對該積體電路的該老化測試的一第一熱源集合。
  2. 如請求項1所述的方法,其中同時執行對該積體電路的該老化測試和對該積體電路的該自動化測試更包括:將該電路塊集合和該第一加熱器集合配置作為用於對該積體電路的該老化測試的該第一熱源集合,從而生成該積 體電路的一第一熱量特徵。
  3. 如請求項2所述的方法,其中將該電路塊集合和該第一加熱器集合配置作為用於對該積體電路的該老化測試的該第一熱源集合,從而生成該積體電路的該第一熱量特徵包括:根據該些模擬設計功率水準接通該電路塊集合和該第一加熱器集合,以生成該第一熱量特徵。
  4. 如請求項2所述的方法,其中同時執行對該積體電路的該老化測試和對該積體電路的該自動化測試還包括:將該積體電路放置在一載體晶圓上;以及將該載體晶圓的至少一部分配置作為一第二熱源集合,該第二熱源集合用於對該積體電路的該老化測試,從而生成該積體電路的一第二熱量特徵,其中該第二熱源集合對應於位於一積體電路裸晶的一網格佈置中的一第二加熱器集合,並且該積體電路裸晶是該載體晶圓的一部分。
  5. 如請求項4所述的方法,其中將該載體晶圓的至少一部分配置作為用於對該積體電路的該老化測試的該第二熱源集合包括:接通該第二加熱器集合,從而生成與用於對該積體電路 的該老化測試的該第二熱源集合相對應的該第二熱量特徵。
  6. 一種在一測試電路板上測試一積體電路的方法,該方法包括:由一處理器執行對整個積體電路設計中的一第一熱分佈的一模擬,該積體電路設計包括一電路塊集合和一加熱器集合,該積體電路設計用以以多個模擬設計功率水準進行操作並且生成該第一熱分佈,該些模擬設計功率水準包括配置功率資訊,並且執行該模擬包括:根據該積體電路設計中所包括的該電路塊集合中的每個電路塊和該加熱器集合中的每個加熱器的該配置功率資訊和位置資訊,來確定該積體電路設計的一熱量特徵,該熱量特徵包括在整個該積體電路設計中所分佈的多個熱量值;確定該積體電路設計的該熱量特徵的該些熱量值是否在該積體電路設計的一熱量範圍內;以及回應於確定該積體電路設計的該熱量特徵的該些熱量值不在該熱量範圍內,修改該積體電路設計;以及根據該積體電路設計來製造一積體電路。
  7. 如請求項6所述的方法,其中修改該積體電路設計包括:回應於確定該積體電路設計的該熱量特徵的該些熱量值 不在該積體電路設計的該熱量範圍內,修改該積體電路設計中的至少一元件的一配置功率,該元件至少包括該電路塊集合的一第一電路塊或該加熱器集合的一第一加熱器。
  8. 如請求項6所述的方法,其中執行對整個該積體電路設計中的該第一熱分佈的該模擬還包括:獲得用於該積體電路設計中的該電路塊集合中的每個電路塊以及該加熱器集合中的每個加熱器的該配置功率資訊;以及從一設計檔提取該積體電路設計中的該電路塊集合中的每個電路塊和該加熱器集合中的每個加熱器的該位置資訊。
  9. 一種測試系統,包括:一積體電路;一測試電路板,耦接到該積體電路;一載體晶圓,至少耦接到該積體電路或該測試電路板;以及一第一系統,電性耦接到該積體電路,該第一系統包括:一非暫時性電腦可讀介質,用以儲存多個可執行指令;以及一處理器,耦接到該非暫時性電腦可讀介質,其中該處理器用以執行該些可執行指令,以進行以下操作:執行對整個積體電路設計中的一第一熱分佈的一模擬,該 積體電路設計用以以多個模擬設計功率水準進行操作並且生成該第一熱量分佈,並且該積體電路設計與該積體電路相對應;其中該測試系統用以同時執行對該積體電路的一老化測試和對該積體電路的一自動化測試,該積體電路用以根據該些模擬設計功率水準進行操作,其中該老化測試具有該積體電路的一最低老化溫度和整個該積體電路上的一老化熱分佈,其中執行對整個該積體電路設計中的該第一熱分佈的該模擬包括:獲得用於該積體電路設計中的一電路塊集合中的每個電路塊和一加熱器集合中的每個加熱器的位置資訊;以及根據用於該積體電路設計中所包括的該電路塊集合中的每個電路塊和該加熱器集合的每個加熱器的該位置資訊,來確定該積體電路設計的一熱量特徵。
  10. 如請求項9所述的測試系統,其中執行對整個該積體電路設計中的該第一熱分佈的該模擬更包括:獲得用於該積體電路設計中的該電路塊集合中的每個電路塊和該加熱器集合中的每個加熱器的配置功率資訊;根據用於該積體電路設計中所包括的該電路塊集合中的每個電路塊和該加熱器集合的每個加熱器的該配置功率資訊和該位置資訊,來確定該積體電路設計的該熱量特徵, 該熱量特徵包括在整個該積體電路設計上所分佈的多個熱量值;確定該積體電路設計的該熱量特徵的該些熱量值是否在該熱量範圍內;以及回應於確定該積體電路設計的該熱量特徵的該些熱量值不在該熱量範圍內,而修改該積體電路設計。
TW111103018A 2021-07-02 2022-01-24 在測試電路板上測試積體電路的方法及測試系統 TWI792887B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN202110752449.3 2021-07-02
CN202110752449.3A CN115308563A (zh) 2021-07-02 2021-07-02 测试集成电路的方法和测试系统

Publications (2)

Publication Number Publication Date
TW202303171A TW202303171A (zh) 2023-01-16
TWI792887B true TWI792887B (zh) 2023-02-11

Family

ID=83853250

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111103018A TWI792887B (zh) 2021-07-02 2022-01-24 在測試電路板上測試積體電路的方法及測試系統

Country Status (3)

Country Link
US (1) US11879933B2 (zh)
CN (1) CN115308563A (zh)
TW (1) TWI792887B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020171449A1 (en) * 1999-11-19 2002-11-21 Hitachi, Ltd. Test system and manufacturing of semiconductor device
US20040012404A1 (en) * 2002-07-19 2004-01-22 Delta Design, Inc. Thermal control of a DUT using a thermal contro substrate
CN102169516A (zh) * 2010-02-26 2011-08-31 台湾积体电路制造股份有限公司 集成电路方法
CN102999656A (zh) * 2011-09-07 2013-03-27 台湾积体电路制造股份有限公司 用于半导体器件的基于目标的虚拟插入
US20150370937A1 (en) * 2014-06-18 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit temperature distribution determination

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100548103B1 (ko) * 1998-12-31 2006-02-02 폼팩터, 인크. 반도체 제품 다이 테스트용 테스트 다이를 포함하는테스트 장치 및 반도체 제품 다이 테스트 방법
US6861860B2 (en) * 2002-05-17 2005-03-01 Stmicroelectronics, Inc. Integrated circuit burn-in test system and associated methods
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US8286111B2 (en) * 2004-03-11 2012-10-09 Gradient Design Automation Inc. Thermal simulation using adaptive 3D and hierarchical grid mechanisms
US20070030019A1 (en) * 2005-08-04 2007-02-08 Micron Technology, Inc. Power sink for IC temperature control
US20090187368A1 (en) * 2008-01-21 2009-07-23 Texas Instruments Incorporated Burn-In Tests To Produce Fabricated Integrated Circuits With Reduced Variations Due To Process Spread
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
TWI828676B (zh) * 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
KR102580947B1 (ko) * 2018-06-29 2023-09-20 삼성전자주식회사 추출된 모델 파라미터를 이용하여 집적 회로를 설계하기 위한 컴퓨팅 시스템 및 이를 이용한 집적 회로의 제조 방법
TWI745775B (zh) * 2019-11-01 2021-11-11 美商第一檢測有限公司 晶片測試裝置及晶片測試系統

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020171449A1 (en) * 1999-11-19 2002-11-21 Hitachi, Ltd. Test system and manufacturing of semiconductor device
US20040175850A1 (en) * 1999-11-19 2004-09-09 Renesas Technology Corp. Test system and manufacturing of semiconductor device
US20040012404A1 (en) * 2002-07-19 2004-01-22 Delta Design, Inc. Thermal control of a DUT using a thermal contro substrate
CN102169516A (zh) * 2010-02-26 2011-08-31 台湾积体电路制造股份有限公司 集成电路方法
CN103268369A (zh) * 2010-02-26 2013-08-28 台湾积体电路制造股份有限公司 集成电路的电路性能最佳化方法
CN102999656A (zh) * 2011-09-07 2013-03-27 台湾积体电路制造股份有限公司 用于半导体器件的基于目标的虚拟插入
US20150370937A1 (en) * 2014-06-18 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit temperature distribution determination

Also Published As

Publication number Publication date
CN115308563A (zh) 2022-11-08
TW202303171A (zh) 2023-01-16
US20230003790A1 (en) 2023-01-05
US11879933B2 (en) 2024-01-23

Similar Documents

Publication Publication Date Title
US11133254B2 (en) Hybrid power rail structure
TWI725521B (zh) 積體電路裝置及其電路和操作方法
CN110729290A (zh) 集成电路及其形成方法
US20220147692A1 (en) Method and apparatus of electromigration check
TW202008438A (zh) 產生積體電路元件之佈局圖之方法
TW202309769A (zh) 積體電路器件設計方法、積體電路器件設計系統和非暫態電腦可讀介質
TW202001637A (zh) 修正電線佈線布置的佈圖的方法
TWI792887B (zh) 在測試電路板上測試積體電路的方法及測試系統
US20230369144A1 (en) System and method for measuring device inside through-silicon via surroundings
CN111125984A (zh) 集成电路设计方法
US11929360B2 (en) Power cell for semiconductor devices
TW202026919A (zh) 積體電路佈局圖產生系統及其產生方法
KR20230006375A (ko) 집적 회로를 테스트하는 방법 및 테스트 시스템
CN111129014A (zh) 集成电路
US11942168B2 (en) EFuse structure and method
KR20200021437A (ko) 이퓨즈 회로, 방법, 레이아웃, 및 구조물
TWI842616B (zh) 積體電路及其製造方法
TWI840650B (zh) 半導體裝置及其製造方法
TWI809684B (zh) 三維積體電路結構與製造晶粒層的方法
US11995388B2 (en) Integrated circuit and method of forming same
US20230157009A1 (en) Anti-fuse device and method
TWI810664B (zh) 阻抗降低之半導體裝置及其製造方法
US20230385504A1 (en) Integrated circuit and method of forming the same
US20220328455A1 (en) Vertical interconnect structures in three-dimensional integrated circuits
US20220415911A1 (en) Multiple pattern metal fuse device, layout, and method