CN103310028B - 考虑器件老化的设计集成电路的方法 - Google Patents

考虑器件老化的设计集成电路的方法 Download PDF

Info

Publication number
CN103310028B
CN103310028B CN201210057627.1A CN201210057627A CN103310028B CN 103310028 B CN103310028 B CN 103310028B CN 201210057627 A CN201210057627 A CN 201210057627A CN 103310028 B CN103310028 B CN 103310028B
Authority
CN
China
Prior art keywords
aging
activity
electrical characteristics
data
file
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201210057627.1A
Other languages
English (en)
Other versions
CN103310028A (zh
Inventor
张致琛
王传政
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Priority to CN201210057627.1A priority Critical patent/CN103310028B/zh
Priority to US13/607,787 priority patent/US8479130B1/en
Publication of CN103310028A publication Critical patent/CN103310028A/zh
Application granted granted Critical
Publication of CN103310028B publication Critical patent/CN103310028B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/04Ageing analysis or optimisation against ageing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明涉及考虑器件老化的设计集成电路的方法,公开了一种设计集成电路(IC)的方法,包括通过提供标准单元库以及作为标准单元的引脚处的电活动的函数的标准单元内的器件电活动的器件活动文件,考虑热载流子注入、负偏置温度不稳定性和栅极氧化物击穿,来仿真IC的老化发展。提供标准单元发展文件,其存储标准单元的电特性老化数据。提供IC内的单元的各个实例的引脚处的仿真电活动的实例活动文件。实例活动文件和器件活动文件用于分析器件活动和器件的结果老化发展,并且然后产生IC的结果老化发展的数据。然后可以修改IC设计以便考虑老化发展。

Description

考虑器件老化的设计集成电路的方法
技术领域
本发明涉及半导体集成电路设计,并且更具体地,涉及考虑在电路设计中使用的器件的老化可靠性的半导体集成电路设计。
背景技术
半导体器件经受随着使用和随时间使其性能劣化的各种现象。随着制造处理技术的发展,特征尺寸变得更小,当前小到几十纳米,这样加重了这种劣化。在这些现象中,有热载流子注入(HCI)、负偏置温度不稳定性(NBTI)和与时间相关的电介质(栅极氧化物)击穿(TDDB)。器件特性发生的改变改变了电路性能,并且可以引发电路故障。因此,需要在集成电路(IC)的设计阶段模拟这些现象的影响,以便检查和分析可靠性问题,并且在需要的情况下,使得能够修改设计。
超大规模集成电路(VLSI)可以包括几亿个半导体器件。VLSI的设计和制造通常使用将综合、布局和布线算法与IC的性能和行为仿真和用于设计闭合的分析流程集成在一起的计算机辅助设计工具和程序。已知的模拟仿真器是“以集成电路为重心的模拟程序(Simulation program with Integrated Circuit Emphasis,SPICE)”,并且已知的数字仿真器基于Verilog和VHDL(超高速IC硬件描述语言)。
电子设计自动化(EDA)工具通常使用标准单元法,其中不同类型的标准单元被汇编到库中。每个标准单元定义相应逻辑或存储功能(逻辑图)的布局和某些特性(示意图)。所述示意图通常提供网表,网表是对器件(各个晶体管,或晶体管或存储器件的连接组)、对它们与单元内的其它器件的连接、以及用于将该单元连接到其它单元和外部环境的该标准单元的引脚的节点描述。然后,仿真器可以仿真作为定义的输入信号的函数的(包括互连的电阻、电容和电感的影响)网表的电子行为(包括诸如功耗、定时和信号传播延迟的参数)。
通常以逻辑综合工具、布局和布线(PNR)工具和RC提取定义整个IC布局,逻辑综合工具使用标准单元库内的与技术相关的网表,将IC的寄存器传输级(RTL)描述变换为平面布置网表(floor plan netlist),布局和布线(PNR)工具然后定位并且将所选择尺寸的标准单元的实例与设计特定的单元连接在一起,RC提取计算互连的电特性。然后通过验证工具检验所产生的IC布局的定义,所述验证工具诸如Design Rule Check(设计规则检查,DRC)、Parasitic Extraction(寄生提取,PEX)和Layout Vs Schematic(布局与示意,LVS),如果需要,以PNR工具反复进行。
标准单元库是通常具有相同高度和各种宽度、不同单元面积和速度的相同标准逻辑功能(诸如门、反相器、触发器、锁存器和缓冲器)的多个定义的集合。典型的标准单元库包含两个主要组件:库数据库,通常包括布局、示意、符号、简介(abstract)和其它逻辑或仿真视图;以及定时简介,其提供每个单元的功能定义、定时、功率和噪声信息。布局数据可以根据美国信息交换标准码(ASCII)以及Synopsys Milky Way格式以多种格式保存,诸如Cadence Design Exchange Format(Cadence设计交换格式,′.def′)和Library ExchangeFormat(库交换格式,′.lef′)。定时简介可以以例如Synopsis Liberty格式存储。
即使对于新的(未使用过的)单个器件的特性,在器件级别仿真VLSI的网表的行为涉及大量数据和处理。单独地计算组件器件的使用以及由此产生的老化以便计算所导致的老化的VLSI的性能特性将增加数据和处理的量。已经提出根据布局执行单元级计算,仅仅考虑HCI影响,并且按照预期劣化比例外推单元新品变化率,以便仅仅获得定时的结果,从而简化老化计算。然而,这种仿真提议不够灵活或准确,不能考虑其它老化现象,并且不能仿真VLSI的其它性能特性(诸如功率和热效应)的结果,其仅仅在新品状态下分析。
附图说明
以示例方式说明本发明,并且本发明不限于附图中所示的其实施例,在附图中类似的参考号指示类似的要素。为了简单和清楚起见示出图中的要素,并且它们不必按照比例绘制。
图1是根据本发明的一个实施例的以示例方式给出的设计IC的方法的示意方框图,示出了用于仿真IC老化的设计处理步骤和数据文件之间的交互作用;以及
图2是图1的方法中的用于仿真IC性能的老化发展的处理的流程图。
具体实施方式
图1示出了根据本发明的实施例的一个例子,设计半导体集成电路(IC)的方法100,并且图2示出了方法100中的用于仿真IC性能的老化发展的处理200的例子。方法100包括提供标准单元的库102,每个标准单元具有互连的器件和用于连接到其它单元和/或端口的引脚的相应定义,该库包含相应单元的布局和性能数据。方法100包括提供器件活动文件(DAF)202,其包含作为标准单元的引脚处的电活动的函数的相应标准单元内的器件的电活动;DAF202可以是库文件102的一部分。提供标准单元发展文件(SCEF)204,其包含作为标准单元内的器件的电特性的老化发展的函数的相应标准单元的电特性的发展;SCEF204可以是库文件102的一部分。提供实例活动文件(IAF)104,其仿真IC内的单元的各个实例在引脚处的电活动。IAF104和DAF202被用于提供IC内的器件的活动以及作为结果的这些器件的电特性的老化发展的仿真206。作为结果的器件的电特性的老化发展的SCEF204和仿真206被用于产生用于实例的电特性的结果老化发展的数据208。用于实例的电特性的结果老化发展的数据208被用于产生IC的电特性的结果老化发展的数据210。
在本发明的一个实施例中,一种制造IC的方法包括以方法100设计IC,和使用该设计制造IC。在本发明的一个实施例中,非暂时性计算机可读介质上具有在执行方法100时,可被数据处理器执行的计算机程序元件。
更具体地,设计IC的方法100包括提供设计定义文件,所述设计定义文件包括定义要满足的定时条件的定时约束数据106,以及定义从布局中提取的电容和其它阻抗数据的.capbl数据108。标准单元库102包括可以采用.lef库交换格式的布局数据110、可以采用.lib库格式的库数据112以及门级网表114。
该设计定义处理可以包括设计编辑阶段116和综合阶段118,设计编辑阶段116提供IC的寄存器-传输级(′RTL′)描述,综合阶段118使用标准单元的实例,如果希望连同特定于设计的单元,以及单元的引脚的互连,将RTL描述变换为平面布置网表。综合操作之后可以是平面布置阶段120、定位平面布置中的单元的每个实例以及信号和功率连接的布局和布线阶段122、以及计算互连的电特性的RC提取阶段124。结果数据可被存储在包括门级网表114的标准单元库102内。
设计检验处理产生输出文件125。设计检验处理可以包括设计规则检查(DRC)、寄生提取(PEX)和布局与示意(LVS)阶段(未示出)。阶段126内的对包括定时、功率和热特性在内的参数的分析可以产生标准延迟格式(′.sdf′)文件128中的数据。阶段130中对IC的静态和动态性能的仿真可以产生值变化转储(′.vcd′)文件132内的数据以及文件133内的各种格式的其它仿真实例活动的数据。
结果数据最初与新品状态的集成电路的性能相关。然后,在数字老化仿真阶段134中,将IAF104的数据与布局文件110、标准单元库112、门级网表114和.vcd格式文件132的数据一起使用,IAF104中的数据仿真IC寿命期间内的单元的各个实例的引脚处的假定电活动。数字老化仿真阶段134产生老化示意图135,包括与新品文件110、112和114的格式相同,并且使用与新品实例名称相关的老化名称的老化布局文件136、老化标准单元库138以及老化门级网表140。然后在数据检验阶段126和130中使用老化文件136、138和140产生IC的电特性的老化发展的数据,例如,包括老化定时、功率、热和其它特性。
用于仿真IC的性能的老化发展的处理200的例子包括产生DAF202,其存储作为标准单元的引脚处的电活动的函数的相应标准单元内的器件的电活动。DAF202的数据与制造技术相关,并且可与数字标准单元库102一起发布。对于每个标准单元,DAF202包含标准单元的名称、单元的输入和输出引脚的名称、在不同的操作状态下以及对于标准单元内的器件工作的每个操作状态的引脚的状态。
处理200还包括产生SCEF204,SCEF204存储作为标准单元内的器件的电特性的老化发展的函数的相应标准单元的电特性的发展。SCEF204的数据与制造技术相关,并且可被与与标准单元的数字库102一起发布。对于每个标准单元,SCEF204包含标准单元的名称,以及该单元内的每个器件的特性的老化发展在该单元的特性的老化发展中的权重。
产生IAF104,IAF104仿真作为在IC的规定寿命内的假定IC静态和动态活动的函数的IC内的单元的各个实例的引脚处的电活动。IAF104可以具有IC内的单元的每个实例的切换数目(switch number)和传号空号比(mark-space ratio)。IAF104可以以.vcd格式保存。可由脚本实现IAF104的产生。用户可以设想针对设计静态活动的设计工作状态,包括器件的电偏置,或可替换地,可将设计静态活动数据转储到.vcd文件内。用户可以将设计动态活动数据作为基于设计的实际仿真的输入转储到.vcd文件内。可以执行并行数据处理,以便处理大量数据。根据引脚的活动数据,为IAF104计算每个实例的输入和输出引脚的切换数目和传号空号比。
IAF104和DAF202被用于提供IC内的器件在IC寿命上的活动的仿真206,以及作为结果的这些器件的电特性的老化发展。IC内的单元的每个实例的引脚的切换数目和传号空号比用于获得每个实例内的器件的切换数目和传号空号比。当标准单元引脚状态和器件引脚状态之间的关系已知时,基于这种实例信息从IAF104计算每个器件的引脚的切换数目和传号空号比。由仿真206产生的器件活动数据被用于计算器件的电特性的预期老化发展。每个器件的定时数据的老化发展可以基于器件老化变化率和负载电容。在这个例子中,基于器件引脚的切换数目和传号空号比,针对热载流子注入(HCI)、负偏置温度不稳定性(NBTI)和与时间相关的电介质击穿(TDDB)和其它适当的SPICE模型参数的影响,计算每个器件的特性的老化发展。这些影响可被合并,从而仿真不同现象的累积作用。可以同时或分别仿真HCI、NBTI、TDDB和其它现象的影响。仿真206可由脚本执行。当用户使用固有公式定义可靠性压力时间时,可以计算对每个器件的影响。输出每个器件的电特性的老化发展的数据。
器件的电特性的结果老化发展的SCEF204和仿真206被用于产生每个实例的电特性的结果老化发展的数据208。数据208的产生可通过脚本执行。
然后,基于每个实例的老化特性产生每个实例的老化库数据138。新品门级网表114和布局数据文件110被变换为老化实例的对应的老化门级网表140和老化布局数据文件136,从而产生老化IC的示意图135。可以在一般数字设计检验阶段126和130中使用这些老化文件,以便产生用于老化定时、功率、热和其它高级分析的老化输出文件125。文件136、138和140的产生可由脚本执行。可以执行并行数据处理,以便处理大量数据。使用每个实例的对应的标准单元,并且以每个实例的老化电特性替代新品特性以便获得老化定时,从而产生老化数据库138,功率和其它信息通过使用新品定时库和产生的实例损坏计算。老化实例的示意图135被用于产生数据210,以便获得IC的电特性的结果老化发展。
应当理解,方法100和处理200提供了灵活并且准确的仿真,该仿真可以考虑各种老化现象,并且可以仿真各种性能特性(不仅是定时)的结果。方法100提供了一种包括用于数字电路的可靠性分析的模型、方法和软件的系统。在数字设计阶段,方法100基于数字电路的寿命期间的预期偏置电压、温度和动态切换活动,计算在老化(burn-in)、压力时间和产品操作时间期间,由HCI、NBTI和其它可靠性影响引起的每个实例内的每个器件的电特性的发展。由于该计算是器件级别的,因此分析准确性高。方法100使得能够合并不同可靠性现象的影响,并且获得累积老化分析。方法100基于对老化设计的分析,诸如定时、功率、热分析和其它,支持不同的所希望的数字定时库。用户可以从任意数字设计阶段(诸如综合、平面布置或布局和布线)开始老化分析。方法100可以产生老化仿真的老化SDF文件128。基于对新品和老化设计分析或仿真的比较,设计者可以调试和/或修改设计,以便考虑预期的老化问题。
本发明可被整个或部分地以用于在计算机系统上执行的计算机程序实现,至少包括用于当运行在诸如计算机系统的可编程装置上时,执行根据本发明的方法的步骤,或使得可编程装置执行根据本发明的设备或系统的功能的代码部分。计算机程序是指令列表,诸如特定应用程序和/或操作系统。计算机程序可以例如包括下列的一个或多个:子例程、函数、过程、对象方法、对象实现、可执行应用、小程序、服务器程序、源代码、目标码、共享库/动态加载库和/或设计为在计算机系统上执行的其它指令序列。
该计算机程序可被存储在计算机可读存储介质内部,或通过计算机可读传输介质传输到计算机系统。所有或某些计算机程序可被永久地、可删除地提供在计算机可读介质上,或被远程地耦连到信息处理系统。计算机可读介质可以包括,例如,任意数目的下列介质:磁存储介质,包括盘和带存储介质;光学存储介质,诸如压缩盘介质(例如,CD-ROM、CD-R等)和数字视频盘存储介质;非易失存储器存储介质,包括基于半导体的存储器单元,诸如闪速存储器、EEPROM、EPROM、ROM;铁磁数字存储器;MRAM;易失存储介质,包括寄存器、缓冲器或高速缓存器、主存储器、RAM等;和数据传输介质,仅仅列举几个,包括计算机网络、点到点电信装置和载波传输介质。
在前面的说明中,已经参考本发明的实施例的特定例子描述了本发明。然而,显而易见可以做出各种修改和改变,而不脱离在所附的权利要求中提出的本发明的更宽的精神和范围。本领域的技术人员将认识到逻辑块之间的边界仅是说明性的,并且另选实施例可以合并逻辑块或电路元件,或对各种逻辑块或电路元件施加功能的交替分解。因此,应当理解,此处示出的体系结构仅仅是示例性的,并且实际上可以实施许多实现相同功能的其它体系结构。另外,本领域的技术人员将认识到,上述操作之间的边界仅是说明性的。多个操作可被组合到单个操作中,单个操作可被分布在附加操作内,并且操作可被至少部分地以时间重叠的方式执行。另外,另选实施例可以包括特定操作的多个实例,并且操作的顺序可被在各种其它实施例中改变。
在权利要求中,单词“包括”、“包含”或“具有”的使用不排除除了权利要求中所列之外的其它要素或步骤的存在。另外,此处使用的术语“一”或“一个”被定义为一个或多于一个。另外,权利要求中对诸如“至少一个”和“一个或多个”的引导性短语的使用不应被认为暗示以非限定性冠词“一”或“一个”引入的另一个要求要素将包含这种引入的要求要素的任意特定权利要求局限于仅仅包含一个这种要素的发明,即使当相同权利要求包括引入性短语“一个或多个”或“至少一个”和诸如“一”或“一个”的非限定性冠词时也是如此。这对于限定性冠词的使用也成立。除非另外说明,使用诸如“第一”和“第二”的术语以便任意区分这种术语描述的元件。因此,这些术语不必然旨在指示这些要素的时间或其它优先顺序。在相互不同的权利要求中提及某些措施的这一事实不指示这些措施的组合不能被用于使得优点更加突出。

Claims (9)

1.一种设计半导体集成电路IC的方法,包括:
提供标准单元的库,每个标准单元具有互连的器件以及用于连接到其它单元和/或端口的引脚的相应定义,所述库包含用于相应单元的布局和性能数据;
提供作为相应标准单元的引脚处的电活动的函数的相应标准单元中的器件的电活动的器件活动文件DAF;
提供作为相应标准单元中的器件的电特性的老化发展的函数的相应标准单元的电特性的发展的标准单元发展文件SCEF;
提供实例活动文件IAF,实例活动文件仿真IC中的单元的各个实例的引脚处的电活动;
使用所述实例活动文件IAF和所述器件活动文件DAF,以提供对IC中的所述器件的活动和所述器件的电特性的结果老化发展的仿真;
使用所述标准单元发展文件SCEF和对所述器件的电特性的结果老化发展的所述仿真,以产生所述实例的电特性的结果老化发展的数据;以及
使用所述实例的电特性的结果老化发展的所述数据,以产生IC的电特性的结果老化发展的数据;
其中产生所述实例的电特性的结果老化发展的数据包括产生与新品实例的示意图相对应的老化实例的示意图,并且使用所述老化实例的示意图产生IC的电特性的结果老化发展的数据。
2.如权利要求1所述的方法,其中所述器件活动文件DAF包含作为相应标准单元的引脚处的动态电活动和器件的电偏置的函数的相应标准单元中的器件的电活动。
3.如权利要求1所述的方法,其中仿真所述实例活动文件IAF中的所述电活动包括提供在IC中的单元的各个实例的引脚处的传号空号比和电切换的数目。
4.如权利要求3所述的方法,其中提供对IC中的所述器件的活动的仿真包括使用所述各个实例的引脚处的传号空号比和电切换的数目,以提供实例中的各个器件的引脚处的传号空号比和电切换的数目。
5.如权利要求1所述的方法,其中对所述器件的电特性的结果老化发展的所述仿真包括关于热载流子注入对所述器件的所述电特性的影响的仿真。
6.如权利要求1所述的方法,其中对所述器件的电特性的结果老化发展的所述仿真包括关于负偏置温度不稳定性对所述器件的所述电特性的影响的仿真。
7.如权利要求1所述的方法,其中对所述器件的电特性的结果老化发展的所述仿真包括关于与时间相关的电介质击穿对所述器件的所述电特性的影响的仿真。
8.如权利要求1所述的方法,其中所述IC的电特性的结果老化发展的数据包括IC的定时、功率和热特性的老化发展的数据。
9.一种制造半导体集成电路IC的方法,包括以权利要求1的方法设计所述IC,并且使用所述设计来制造IC。
CN201210057627.1A 2012-03-07 2012-03-07 考虑器件老化的设计集成电路的方法 Expired - Fee Related CN103310028B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201210057627.1A CN103310028B (zh) 2012-03-07 2012-03-07 考虑器件老化的设计集成电路的方法
US13/607,787 US8479130B1 (en) 2012-03-07 2012-09-09 Method of designing integrated circuit that accounts for device aging

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210057627.1A CN103310028B (zh) 2012-03-07 2012-03-07 考虑器件老化的设计集成电路的方法

Publications (2)

Publication Number Publication Date
CN103310028A CN103310028A (zh) 2013-09-18
CN103310028B true CN103310028B (zh) 2017-08-15

Family

ID=48671350

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210057627.1A Expired - Fee Related CN103310028B (zh) 2012-03-07 2012-03-07 考虑器件老化的设计集成电路的方法

Country Status (2)

Country Link
US (1) US8479130B1 (zh)
CN (1) CN103310028B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120123745A1 (en) * 2010-11-16 2012-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive Content-aware Aging Simulations
US10083257B2 (en) * 2014-08-20 2018-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method, system and computer program product for generating simulation sample
CN106656162B (zh) * 2015-10-30 2019-12-10 中国科学院微电子研究所 器件特性老化自适应控制方法及装置
US10303541B2 (en) * 2016-03-01 2019-05-28 Georgia Tech Research Corporation Technologies for estimating remaining life of integrated circuits using on-chip memory
CN106295009A (zh) * 2016-08-12 2017-01-04 江苏商贸职业学院 一种基于电荷俘获‑释放机制的电路pbti老化建模方法
US10503849B2 (en) * 2016-12-15 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit testing and manufacture using multiple timing libraries
CN108804719B (zh) * 2017-04-26 2021-04-09 华为技术有限公司 数字电路老化仿真方法及装置
US11113442B2 (en) * 2017-09-28 2021-09-07 Intel Corporation Methods and apparatus for reducing reliability degradation on an integrated circuit
KR20230020571A (ko) 2017-11-15 2023-02-10 프로틴텍스 엘티디. 집적 회로 마진 측정 및 고장 예측 장치
US10664636B2 (en) * 2017-12-20 2020-05-26 International Business Machines Corporation Pin number definition based analytics
TWI828676B (zh) 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
CN113474668A (zh) 2018-12-30 2021-10-01 普罗泰克斯公司 集成电路i/o完整性和退化监测
KR20210066628A (ko) 2019-11-28 2021-06-07 삼성전자주식회사 집적 회로의 에이징을 추정하기 위한 방법 및 장치
WO2021111444A1 (en) 2019-12-04 2021-06-10 Proteantecs Ltd. Memory device degradation monitoring
CN112100158B (zh) * 2020-09-21 2022-11-22 海光信息技术股份有限公司 一种标准单元库的建立方法、装置、电子设备及存储介质
TW202328964A (zh) * 2021-11-15 2023-07-16 以色列商普騰泰克斯有限公司 用於劣化估計和故障時間預測的積體電路模擬器
US11815551B1 (en) 2022-06-07 2023-11-14 Proteantecs Ltd. Die-to-die connectivity monitoring using a clocked receiver

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101964003A (zh) * 2009-07-24 2011-02-02 复旦大学 一种集成电路可靠性分析方法和装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5600578A (en) 1993-08-02 1997-02-04 Advanced Micro Devices, Inc. Test method for predicting hot-carrier induced leakage over time in short-channel IGFETs and products designed in accordance with test results
JP3380054B2 (ja) 1994-08-19 2003-02-24 三菱電機株式会社 P−mosトランジスタのホットキャリア劣化のシミュレーション方法
US5533197A (en) 1994-10-21 1996-07-02 International Business Machines Corporation Method to assess electromigration and hot electron reliability for microprocessors
JPH09330344A (ja) 1996-06-10 1997-12-22 Mitsubishi Electric Corp 半導体装置の設計支援装置および設計支援方法
TW346657B (en) 1996-08-29 1998-12-01 Matsushita Electron Co Ltd Simulation device for time degradation of LSI and simulation method thereof
TW440782B (en) 1996-12-11 2001-06-16 Matsushita Electric Ind Co Ltd Method for estimating hot carrier deterioration
US6300765B1 (en) 1999-02-09 2001-10-09 Bta Technology, Inc. System, IC chip, on-chip test structure, and corresponding method for modeling one or more target interconnect capacitances
US6396307B1 (en) * 1999-05-19 2002-05-28 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit and method for designing the same
US6530064B1 (en) 1999-12-29 2003-03-04 Texas Instruments Incorporated Method and apparatus for predicting an operational lifetime of a transistor
JP4095753B2 (ja) * 2000-03-30 2008-06-04 株式会社ルネサステクノロジ コンピュータ読み取り可能な記憶媒体、および半導体装置の設計方法
US7567891B1 (en) * 2000-09-29 2009-07-28 Cadence Design Systems, Inc. Hot-carrier device degradation modeling and extraction methodologies
US7292968B2 (en) 2000-09-29 2007-11-06 Cadence Design Systems, Inc. Hot carrier circuit reliability simulation
US7689377B2 (en) * 2006-11-22 2010-03-30 Texas Instruments Incorporated Technique for aging induced performance drift compensation in an integrated circuit
JP2009260176A (ja) * 2008-04-21 2009-11-05 Nec Electronics Corp 半導体装置の信頼性予測方法、及びそのプログラム
US8392869B2 (en) * 2010-06-15 2013-03-05 California Institute Of Technology Systems and methods for circuit lifetime evaluation
US20120266123A1 (en) * 2011-04-12 2012-10-18 Texas Instruments Incorporated Coherent analysis of asymmetric aging and statistical process variation in electronic circuits

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101964003A (zh) * 2009-07-24 2011-02-02 复旦大学 一种集成电路可靠性分析方法和装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
新一代大规模集成电路高温动态老化测试系统的研制;齐本胜 等;《电子测量与仪器学报》;20020630;第16卷(第2期);第5-9页 *

Also Published As

Publication number Publication date
CN103310028A (zh) 2013-09-18
US8479130B1 (en) 2013-07-02

Similar Documents

Publication Publication Date Title
CN103310028B (zh) 考虑器件老化的设计集成电路的方法
US9449130B1 (en) Methods, systems, and articles of manufacture for back annotating and visualizing parasitic models of electronic designs
US9798840B1 (en) Methods, systems, and computer program product for implementing a simulation platform with dynamic device model libraries for electronic designs
Cong et al. Interconnect performance estimation models for design planning
US8060355B2 (en) Automatic, hierarchy-independent partitioning method for transistor-level circuit simulation
US20090228849A1 (en) Method for Using an Equivalence Checker to Reduce Verification Effort in a System Having Analog Blocks
US9348965B2 (en) Parasitic component library and method for efficient circuit design and simulation using the same
US9443050B2 (en) Low-voltage swing circuit modifications
US10289780B1 (en) Systems and methods for performing electromigration and voltage drop verification in electronic circuit designs
US9953120B2 (en) Relative timing characterization
US11461523B1 (en) Glitch analysis and glitch power estimation system
Kahng et al. Horizontal benchmark extension for improved assessment of physical CAD research
Pontes et al. An accurate single event effect digital design flow for reliable system level design
US20210312113A1 (en) Method for finding equivalent classes of hard defects in stacked mosfet arrays
US20210374314A1 (en) Engineering Change Order Scenario Compression by Applying Hybrid of Live and Static Timing Views
US11022634B1 (en) Rail block context generation for block-level rail voltage drop analysis
Tam et al. SLIDER: Simulation of layout-injected defects for electrical responses
US11797737B2 (en) Finding equivalent classes of hard defects in stacked MOSFET arrays
CN115688641A (zh) 一种表征标准单元片上变化参数的方法与系统
US20230177244A1 (en) Creation of reduced formal model for scalable system-on-chip (soc) level connectivity verification
US11829692B1 (en) Machine-learning-based design-for-test (DFT) recommendation system for improving automatic test pattern generation (ATPG) quality of results (QOR)
US20230071521A1 (en) Detecting simulation, emulation and prototyping issues using static analysis tools
Bard et al. Transistor-level tools for high-end processor custom circuit design at IBM
Vangala FinFET Cell Library Design and Characterization
Chakravarthi SOC Physical Design Verification

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder

Address after: Texas in the United States

Patentee after: NXP America Co Ltd

Address before: Texas in the United States

Patentee before: Fisical Semiconductor Inc.

CP01 Change in the name or title of a patent holder
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170815

Termination date: 20210307

CF01 Termination of patent right due to non-payment of annual fee