DE69838484T2 - Hochtemperatur-prozesskammer mit langer lebensdauer - Google Patents

Hochtemperatur-prozesskammer mit langer lebensdauer Download PDF

Info

Publication number
DE69838484T2
DE69838484T2 DE69838484T DE69838484T DE69838484T2 DE 69838484 T2 DE69838484 T2 DE 69838484T2 DE 69838484 T DE69838484 T DE 69838484T DE 69838484 T DE69838484 T DE 69838484T DE 69838484 T2 DE69838484 T2 DE 69838484T2
Authority
DE
Germany
Prior art keywords
chamber
susceptor
ring
silicon carbide
quartz
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69838484T
Other languages
English (en)
Other versions
DE69838484D1 (de
Inventor
John F. Jacksonville Wengert
Ivo Phoenix RAAIJMAKERS
Mike Phoenix HALPIN
Loren Mesa JACOBS
Michael J. Tempe MEYER
Frank Phoenix VAN BILSEN
Matt Chandler GOODMAN
Eric Mesa BARRETT
Eric Mesa WOOD
Blake Scottsdale SAMUELS
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of DE69838484D1 publication Critical patent/DE69838484D1/de
Application granted granted Critical
Publication of DE69838484T2 publication Critical patent/DE69838484T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/08Protective devices, e.g. casings
    • G01K1/12Protective devices, e.g. casings for preventing damage due to heat overloading
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • G01K7/04Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples the object to be measured not forming one of the thermoelectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Description

  • GEBIET DER ERFINDUNG
  • Diese Erfindung betrifft Vorrichtungen für die Hochtemperaturbearbeitung von Substraten und insbesondere die chemische Gasphasenabscheidung von Materialien auf Halbleiterwafern in einem chemischen Gasphasenabscheidungsreaktor.
  • HINTERGRUND DER ERFINDUNG
  • Im Allgemeinen wird das in chemischen Gasphasenabscheidungsreaktoren abgeschiedene Material nicht nur wie erwünscht auf dem Wafer abgeschieden, sondern einiges Material, jedoch nicht notwendigerweise dasselbe wie das auf dem Wafer, wird auch auf den Reaktorkammerwänden und anderen Teilen innerhalb des Reaktors abgeschieden, insbesondere auf der Waferhalterung und dem Ring, der um die Waferhalterung in vielen Reaktoren positioniert ist. Um einen wiederholbaren Prozess zu erhalten, muss die Kammer in regelmäßigen Zeitabständen gereinigt werden. Diese Kammerreinigung wird typischerweise durch Erwärmen der Waferhalterung, der Kammerwände und anderer Teile auf eine ausreichend hohe Temperatur und die Aufnahme eines Halogen enthaltenden Gases, wie zum Beispiel HCI erreicht.
  • Reaktoren für epitaktische Abscheidung verwenden im Allgemeinen einen Suszeptor und einen umgebenden Ring, der hilft, die Temperatur des Suszeptors zu steuern. Diese Komponenten bestehen üblicherweise aus Graphit und sind mit Siliciumcarbid (SiC) beschichtet. Schließlich wird die ätzende HCI in die SiC-Beschichtung eindringen, was eine schnelle Verschlechterung der Eigenschaften der abgeschiedenen Schichten verursacht. Deshalb müssen sie ersetzt werden. Eine Art bekannten Reaktors verwendet Thermoelemente, die sich neben dem Ring befinden, um die Temperatur der Ringe, die den Suszeptor umgeben, zu erkennen, der wiederum ein indirektes Temperaturmaß des Suszeptors und eines Wafers ist, der auf dem Suszeptor positioniert ist. Diese Thermoelemente sind gewöhnlich mit Quarz ummantelt. Wenn das Quarz häufig auf Temperaturen über 1000°C erhitzt wird, verursacht dies eine Entglasung der Quarzummantelung und einen Defekt der Thermoelemente, was einen Ersatz erforderlich macht.
  • Üblicherweise besteht die Kammer aus Quarz. Ein Problem bei chemischen Hochtemperaturgasphasenabscheidungsverfahren ist, dass Reaktionsgase das Innere von Quarzkammerwänden beschichten können. Beschichtungen auf den Kammerwänden können viele unerwünschte Aspekte besitzen, einschließlich dem Abbröckeln von Partikeln von den Wänden und der Notwendigkeit einer häufigeren Reinigung der Kammer. Ein Teil des Materials, dass auf den Quarzkammerwänden abgeschieden wird, kann nicht weggeätzt werden, wenn die Kammer gereinigt ist.
  • Wenn sich ausreichende Abscheidungen ansammeln, verliert die Quarzkammer örtlich ihre Transparenz und wird sich schnell durch die Bestrahlung der Lampen erhitzen, die konventionell neben dem Äußeren einer oberen Kammerwand und neben dem Äußeren einer niedrigeren Kammerwand positioniert sind. Dies erfordert schließlich die Notwendigkeit, die Quarzkammer nass zu reinigen oder sogar auszutauschen.
  • Wenn die Kammerwände zu heiß werden, können die Reaktionsgase ähnlich wie auf einem Wafer auf den Wänden abgeschieden werden. Die Kammerwände bestehen oft aus Quarz, da Quarz größtenteils zu der von den Lampen bereitgestellten Wärmeenergie transparent ist. Da der Wafer, der Suszeptor und der umgebende Kompensationsring durch diese Strahlungsenergie erwärmt werden, strahlen sie wieder Energie an die Kammerwände ab. Ein Teil dieser wieder abgestrahlten Energie hat eine Wellenlänge, bei der ein wesentlicher Teil der Energie von den Quarzkammerwänden absorbiert wird. Um die Temperatur der Wände unterhalb der Temperatur zu halten, bei der Abscheidungen auf den Wänden auftreten, ist es üblich, Luft oder ein anderes Kühlmittel über die Lampen und die angrenzenden Kammerwände fließen zu lassen. Diese Kühlung kann jedoch verursachen, dass einige Abschnitte der Kammerwände auf einer Temperatur gehalten werden, bei der Reaktionsgase an diesen kälteren Bereichen kondensieren können. Andere Kammerkomponenten, wie zum Beispiel ein Drehkreuz, das verwendet wird, um den Suszeptor und einen Untersatz zum Halten des Rings zu stützen, bestehen üblicherweise auch aus Quarz und unterliegen deshalb den gleichen Problemen der Entglasung und dem Ausgesetztsein von Bearbeitungsgasen.
  • Die Notwendigkeit, Suszeptoren, Ringe, Thermoelemente, Kammern und verschiedene andere Kammerkomponenten zu ersetzen, führt natürlich zu einer Ausfallzeit des Reaktors und zu beachtlichen Kosten für Ersatzteile. Außerdem kostet es viel Zeit und Geld, den Reaktor in den Zustand zurückzuversetzen, dass er die gewünschten Schichteigenschaften auf den beschichteten Wafern bereitstellen kann.
  • Ein Ziel dieser Erfindung ist es, die Lebensdauer der Komponenten innerhalb der chemischen Gasphasenabscheidungskammer wesentlich zu verlängern. Ein weiteres Ziel dieser Erfindung ist es, den Umfang der Abscheidungen auf den Kammerwänden und einigen Komponenten in der chemischen Gasphasenabscheidungskammer zu verringern, um ihre Lebensdauer zu verlängern. Ziel ist es auch, die Reinigungseffizienz des Reinigungsmittels zu steigern. In Bezug auf die letztgenannten beiden Ziele ist es ein weiteres Ziel dieser Erfindung, die Ausfallzeit zu reduzieren und somit die Durchsatzleistung zu steigern.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Gemäß der vorliegenden Erfindung wird bereitgestellt: eine chemische Gasphasenabscheidungsvorrichtung für die Bearbeitung von Substraten, wie zum Beispiel Halbleiterwafer, die eine Prozesskammer mit Quarzwänden aufweist und einen Einlass für die Einführung von Reaktionsgas in die Kammer, einen Suszeptor, der in der Kammer positioniert ist, um einen Wafer aufzunehmen, einen Temperaturkompensationsring, der den Suszeptor umgibt, und eine Getterplatte hat, die stromabwärts von dem Suszeptor und dem Ring positioniert ist, um die Abscheidung von nicht verwendetem Reaktionsgas aufzunehmen, wobei der Suszeptor, der Ring und die Platte aus festem Siliciumcarbid hergestellt sind, wobei die Vorrichtung weiterhin aufweist ein oder mehrere Elemente, die in der Kammer neben den Kammerwänden positioniert sind, um Strahlungsenergie von den Heizlampen zu absorbieren, die in die Kammer über die Quarzwände übertragen wird, und um die Energie wieder abzustrahlen, um die benachbarten Kammerwände zu erwärmen, um die Beschichtung des Abscheidungsgases auf den benachbarten Kammerwänden zu minimieren, und wobei die Elemente neben den Kammerwänden auf gegenüberliegenden Seiten der Getterplatte angeordnet sind.
  • In Übereinstimmung mit der Erfindung wird ein chemischer Gasphasenabscheidungsreaktor zur Bearbeitung von Halbleiterwafern bereitgestellt, wobei die Lebensdauer der Kammer und aller inneren Komponenten verlängert und der Lebensdauer der Prozesskammer durch die passende Wahl von Infrarot absorbierenden und nicht absorbierenden Teilen/Materialien angepasst wird. In einer Anordnung hat die Kammer die Form einer horizontal ausgerichteten Quarzröhre, die durch eine vordere Verteilerplatte in einen oberen und einen unteren Abschnitt aufgeteilt ist, einen Suszeptor, der von einem Temperaturkompensations- oder Kontaktring umgeben ist, und eine hintere Verteilerplatte. Im oberen Abschnitt setzt der Reaktionsfluss ein, um das Wachstum von Silicium oder einem anderen Material auf einem auf dem Suszeptor positionierten Wafer zu verursachen. Im unteren Abschnitt des Reaktors wird ein Spülgas eingeführt, um zu verhindern, dass sich die Reaktionsgase ausbreiten oder in den unteren Teil des Reaktors fließen.
  • Zur Verringerung der Abscheidung ungenutzter Reaktionsgase auf den Kammerwänden, die abstromig vom Suszeptor positioniert sind, sind Flächen in dem Gasstrom positioniert, damit einige Reaktionsgase auf diesen anstatt auf den angrenzenden Kammerwänden abgeschieden werden. Die Flächen bestehen aus Infrarotlicht absorbierendem Material, das hohen Temperaturen standhält, wie zum Beispiel Siliciumcarbid. In einer Anordnung befinden sich die Flächen auf ei ner Platte, die parallel zum Gasfluss verläuft und zwischen der hinteren Kammerverteilerplatte und der oberen Wand der Kammer angeordnet ist, sodass sowohl die oberen als auch die unteren Flächen dieser sogenannten Getterplatte den unbenutzten Reaktionsgasen ausgesetzt sind. Außerdem strahlt die Platte Energie in einem größeren Spektrum wieder ab, das Wellenlängen enthält, die schneller von den Quarzwänden absorbiert werden. Wenn man die Platte nahe der oberen Kammerwand positioniert, verstärkt dies diesen Effekt. Durch genaues Regulieren der Temperatur verringert diese Anordnung die Abscheidung oder Kondensation auf der Kühlvorrichtung, die an die Quarzkammerwand grenzt und verbessert auch die Reinigung der Wand, wodurch die Lebensdauer der Kammer verlängert wird.
  • Die vorliegende Erfindung stellt ein weiteres Verfahren zur Minimierung der Abscheidung auf Quarzkammerwänden durch Positionieren eines Schirms oder Wärmeabsorbierers neben den Quarzkammerwänden, der dazu tendiert, zu kalt zu sein oder ansonsten dazu neigt, den größten Teil der Abscheidungen oder Kondensationen zu erhalten, bereit. Dies kann bei unterschiedlichen Kammerkonfigurationen variieren. In einigen Kammern können zum Beispiel Wände, die einen Suszeptor umgeben, vom Gebrauch derartiger Schirme profitieren. Abgesehen vom Verlängern der Lebensdauer der Kammer können derartige Schirme aufgrund des Abblätterns der Ablagerungsschicht Partikelprobleme minimieren. Weiteres Dotieren darauffolgender Wafer als Folge von Restdotiermittel in den Ablagerungen auf der Kammer wird auch minimiert. Die Blockierung der Strahlungswärme durch die Kammerwände, die den Suszeptor umgeben, beschränkt die Kühlung an den Rändern der Kammer.
  • Neben dem Suszeptor sind ein oder mehrere Thermoelemente befestigt, die eine äußere Ummantelung haben, die beständiger ist als Quarz, wie zum Beispiel Siliciumcarbid. Siliciumcarbid entglast nicht und nutzt sich nicht ab, wenn es hohe Temperaturen durchläuft und deshalb ist die Lebensdauer der Thermoelementummantelung deutlich länger als die vorher verwendeter Quarzummantelungen. Da Siliciumcarbid nachteilig mit dem Thermoelement reagieren könnte, ist eine dünne Quarz- oder eine andere Hülle aus nichtreagierendem Material über der Thermoelementverbindung innerhalb der Siliciumcarbidummantelung positioniert.
  • Siliciumcarbidschirme werden durch die Kammer bereitgestellt, um Quarzreaktionskomponenten vor Entglasung zu schützen. In einer Ausführungsform wird über einer Quarzummantelung, die ein zentrales Thermoelement bedeckt, bereitgestellt, wodurch das Quarz vor den Bearbeitungsgasen geschützt wird. Siliciumcarbidschirme können auch verwendet werden, um entweder teilweise oder vollständig andere Quarzkomponenten, wie zum Beispiel das Quarzdrehkreuz, das den Suszeptor stützt, oder der Quarzuntersatz, der den Kontaktring hält, zu bedecken.
  • Der Suszeptor und der Ring, der den Suszeptor umgibt, bestehen beide aus einem Material wie z. B. festem Siliciumcarbid anstatt aus mit Siliciumcarbid überzogenem Graphit. Die Lebensdauer chemischer Gasphasenabscheidungs-Siliciumcarbidkomponenten ist ungefähr fünf Mal so lang wie die von mit Siliciumkarbon überzogenen Graphitkomponenten. Diese verlängerte Lebensdauer entspricht ungefähr der der Reaktorkammer, die die Getterplatte wie oben beschrieben einsetzt. Es wird angenommen, dass die mit Siliciumcarbid ummantelten Thermoelemente und die mit Siliciumcarbid bedeckten Quarzkomponenten ungefähr genauso lang bestehen bleiben. Infolgedessen wird angenommen, dass die oben genannten Verbesserungen bezüglich der Lebensdauer der inneren Reaktorkomponenten und der Quarzkammer den Wartungsrhythmus des Reaktors von ungefähr 1.500 auf 4.000 Wafer bis zu mehr als 20.000 Wafern verbessern kann.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist eine perspektivische Explosionsdarstellung der verbesserten Kammer der Erfindung zusammen mit deren verbesserten inneren Komponenten.
  • 2 ist eine Querschnittsansicht der in 1 beschriebenen Kammer.
  • 3 ist eine vergrößerte Querschnittsansicht der in 1 zu sehenden Thermoelemente.
  • 4 ist eine Querschnittsansicht, die die Thermoelementhalterung und die Feinheit des Suszeptors und des Rings zeigt.
  • 5 ist eine bruchstückhafte Querschnittsansicht einer anderen Form von Waferhalterungen.
  • 6 ist eine Querschnittsansicht eines zentralen Thermoelements, das eine Siliciumcarbidkappe hat.
  • 7A–B sind Schaubildansichten des Inneren einer im Allgemeinen runden Kammer mit Wärmeabsorbierern, die sich neben den Kammerwänden befinden, die den Suszeptor umgeben.
  • DETAILLIERTE BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORM
  • Die 1 und 2 zeigen eine längliche, im Allgemeinen flache rechteckige Kammer 10, die aus Quarz besteht. Die Kammer hat eine flache obere Wand 10a und eine flache untere Wand 10b, die über ein Paar kurzer senkrechter Seitenwände 10c miteinander verbunden sind. Ein verdickter Einlassflansch 12 erstreckt sich über dem Gaseinlassende der Kammer und ist an den Kammerwänden befestigt. Ein ähnlicher Gasaustrittsflansch 14 ist an dem abstromigen Ende der Kammer gezeigt und an den Kammerwänden befestigt. Die Kammer ist in einen oberen Teil 15 und einen unteren Teil 17 durch eine flache vordere oder aufstromige Verteilerplatte 16 und eine hintere, abstromige Platte 18, die sich zwischen den Kammerseitenwänden 10c erstrecken, die im Allgemeinen parallel zu den oberen und unteren Wanden sind, geteilt. Die Verteilerplatten 16 und 18 werden von Halterungen 19 gehalten, die sich an den Seitenwänden 10c befinden oder von Halterungen (nicht gezeigt), die sich von der unteren Kammerwand aufwärts erstrecken. Die hintere Kammerverteilerplatte befindet sich in ungefähr der gleichen Ebene wie die vordere Platte. Die Kammer ist des Weiteren durch einen im Allgemeinen flachen, runden Suszeptor 20 und einen umgebenden Ring 22 aufgeteilt, der manchmal als Temperaturkompensationsring oder als Gleit- bzw. Kontaktring (um ein kristallographisches Gleiten zu verhindern) bezeichnet wird. Um beste Ergebnisse zu erzielen, sollte die thermisch wirksame Masse pro bestrahlter Bereichseinheit des Gleitrings ähnlich dem des Suszeptors sein. Abhängig von der speziellen Konfiguration kann der optimale Gleitring und die optimale thermisch wirksame Masse etwas größer oder kleiner sein als die des Suszeptors. Experimente sind geeignet, um das Optimum festzulegen. Der Suszeptor und der Gleitring sind im Wesentlichen auch in der gleichen Ebene wie die Verteilerplatten 18 und 16 positioniert, wie man am Besten in der in 2 gezeigten Querschnittsansicht sehen kann.
  • Der Suszeptor 20 wird von einem Drehkreuz 24 gehalten, das drei Arme hat, die sich von einer zentralen Anlagefläche radial nach außen erstrecken und sich aufwärts erstreckende Nasen an den Enden der Anne haben, die den Suszeptor umgeben. Der Suszeptor kann auch mit einem oder mehreren Ausnehmungen (nicht gezeigt) auf seiner unteren Fläche zum Aufnehmen der Nasenenden ausgestattet sein, um den Suszeptor zentral zu positionieren und um eine Kopplung zum Drehen des Suszeptors zu bilden. Das Drehkreuz ist an einem schematisch dargestellten röhrenförmigen Schaft 26 befestigt, der durch die untere Kammerwand 10b und auch durch ein Quarzrohr 27 verläuft, das an der unteren Kammerwand befestigt ist und an dieser hängt. Der Schaft ist angepasst, um mit einem Antrieb (nicht gezeigt) zum Drehen des Schafts, des Drehkreuzes und des Suszeptors verbunden zu sein. Einzelheiten einer derartigen Anordnung in Kombination mit einem Antriebsmechanismus sind im US-Patent mit der Seriennummer 4.821.674 erläutert.
  • Der Ring 22 liest auf einem Untergestell 23, das sich auf der unteren Kammerwand 10b befindet. Alternativ kann der Ring auf Leisten liegen, die sich von den Kammerseitenwänden nach innen erstrecken oder auf Leisten liegen, die sich von den Verteilerplatten 16 und 18 erstrecken.
  • Stromabwärts des Suszeptors und des Rings ist eine Getterplatte 30 auf einer Vielzahl von Stiften 31 positioniert, die sich von der hinteren Kammerverteilerplatte 18 nach oben erstrecken. Die Getterplatte verläuft im Allgemeinen parallel zu und ungefähr in der Mitte zwischen der oberen Kammerwand 10a und der Verteilerplatte. Eine oder mehrere dieser Platten können verwendet werden. Die Platte kann so geformt sein, dass sie die Gasströmungsdynamik des Systems anpasst. Mit einer einzigen flachen Platte wurden einwandfreie Ergebnisse erzielt. Für den Fachmann ist die Optimierung der Form der Platte jedoch einfach. Schirme oder Wärmeabsorbierer 32, die auf jeder Seite der Getterplatte und neben den abstromigen Abschnitten der Seitenwände 10c positioniert sind, sind auch optional stromabwärts des Suszeptors positioniert. Außerdem können Schirme oder Wärmeabsorbierer 33 auf jeder Seite des zentralen Bereichs der Kammer neben den zentralen Abschnitten der Seitenwände 10c verwendet werden. Die Elemente 33 können nicht notwendig sein, da der Siliciumcarbidring 22, der an die Kammerwände grenzt, eine große Wärmewirkung auf diese angrenzenden Kammerwände hat. Diese Elemente 32 und 33 können mit jedem geeigneten Mittel in Position gehalten werden. Die Elemente 32 können z. B. durch die Stifte 31 positioniert und von den Kammerseitenwänden 10c leicht beabstandet werden. Wenn erwünscht, können Vorsprünge an den Kammerseitenwänden und auf der abstromigen Platte 18 befestigt werden, um die Elemente 32 leicht beabstandet von den Seitenwänden zu positionieren. Ebenso können die Elemente 33 auf Halterungen auf der unteren Seitenwand 10b zwischen den Kammerseitenwänden 10c und dem Untersatz 23 ruhen, der von geeigneten Halterungen positioniert wird, die an den Seitenwänden befestigt sind, um das obere Ende des Elements 33 leicht von den Seitenwänden zu beabstanden.
  • Die Elemente 32 und 33 bestehen vorzugsweise aus Siliciumcarbid, mit Siliciumcarbid überzogenem Graphit oder einem anderen geeigneten wärmeabsorbierenden Material. Die Elemente 32 und 33 sollen einen Teil der Wärme absorbieren, um die Abscheidung von Materialien auf den Kammerwänden auf ein Mindestmaß zu verkleinern. Ein kleineres Maß an Abscheidungen geht einher mit weniger Partikelproblemen aufgrund des Abblätterns der Beschichtung der Kammerwand. Des Weiteren wird das Dotieren der Wafer als Folge von übrig gebliebenen Dotierstoffen und Ablagerungen auf der Kammer minimiert. Blockierungen von Strahlungswärme, die die Wafertemperatur durch eine Temperaturregelschleife direkt beeinflussen, werden auch minimiert.
  • Obwohl die Elemente 32 und 33 als dünne Platten dargestellt sind, die sich ziemlich mit den angrenzenden Seitenwänden decken, können auch andere Konfigurationen eingesetzt werden. Es können z. B. dickere, aber vertikal kürzere Elemente eingesetzt werden, sodass die Elemente im Wesentlichen Wärmeabsorbierer sind und dann Wärmerückstrahler Wärme an die Seitenwände zurückstrahlen, wodurch die Kühlung in den Kammerkanten minimiert wird. Deshalb würden die kürzeren, dickeren Abschnitte auch eher die Temperatur der angrenzenden Kammerwände erhöhen, selbst wenn diese sich nicht mit der Wand decken.
  • 7a ist die schematische Darstellung einer Reihe von Siliciumcarbidteilen 62 neben der Seitenwand 63 einer chemischen Gasphasenabscheidungskammer mit im Wesentlichen kreisförmiger Konfiguration. Eine Reihe kurzer, gerader Teile 62 sind gezeigt, aber es können natürlich auch längere gebogene Teile 64 wie in 7b gezeigt, verwendet werden. Die Teile 62 werden in passender Weise neben der Seitenkammerwand, wie z. B. auf geeigneten Quarzstiften (nicht gezeigt), die auf einem Quarzkammerflansch 65 befestigt sind, gehalten. 7b ist die schematische Darstellung einer Kammer, die eine kuppelförmige obere Wand 66 hat.
  • Eine sauberere Kammer führt aus oben genannten Gründen natürlich zu besseren Verlaufsergebnissen. Des Weiteren muss eine sauberere Kammer nicht so oft stillgelegt werden und eine Kammer, die weniger häufig gereinigt werden muss, wird aufgrund der verringerten Abnutzung, die von dem Reinigungsprozess hervorgerufen wird, länger betriebsfähig sein.
  • Ein Paar Thermoelemente 34 sind auf gegenüberliegenden Seiten des Rings 22 gezeigt, wobei die Thermoelemente sich im Allgemeinen parallel zu den Kammerseitenwänden 10c erstrecken. Die Thermoelemente sind unter dem Ring 22 positioniert und werden von ihm gehalten, wie man am Besten in 4 sehen kann, in der jedes Thermoelement durch ein röhrenförmiges Teil 22a des Rings verläuft. Man kann die Thermoelemente abhängig von dem zulässigen Temperaturmessfehler auch nahe des Schleifrings positionieren. In 3 enthält jedes Thermoelement 34 eine äußere Hülle 35, die eine keramische Halterung 37 umgibt, die ein Paar Thermoelementdrähte 36 aufweist, die durch die Halterung gehen und eine Verbindungsstelle 36a bildet. Außerdem verläuft eine kleine Quarzhülse oder -kappe 40 über die Thermoelementverbindungsstelle 36a, um sie von der Hülse abzuschirmen, falls die Hülse nicht chemisch kompatibel mit den Thermoelementdrähten ist. Andernfalls kann ein Bornitridüberzug auf den Drähten verwendet werden.
  • Vorzugsweise ist eine Thermoelementverbindungsstelle 36a an jeder der vorderen oder aufstromigen Ecken des Rings 22. Es ist auch wünschenswert, dass eine oder zwei zusätzliche Thermoelementverbindungsstellen in jeder Hülse 35 positioniert werden, wobei die Verbindungsstelle eines zweiten Drahtpaares, das neben den hinteren oder abstromigen Ecken des Rings 22 positioniert ist. Es kann auch eine Verbindungsstelle zwischen den auf- und abstromigen Ecken positioniert werden. Außerdem ist ein ähnliches Thermoelement 38 gezeigt, das sich durch den röhrenförmigen Schaft 26 nach oben erstreckt, wobei dessen Spitze nahe der Mitte des Suszeptors 20 lokalisiert ist.
  • Sowohl der Suszeptor als auch der umgebende Ring sind so geformt, dass sie eine sehr niedrige effektive thermische Masse haben. Der Suszeptor hat vorzugsweise eine ähnliche Masse wie der Halbleiterwafer, den er tragen soll, d. h. innerhalb eines Faktors von drei. Der Suszeptor kann wie in 4 gezeigt aus einem Teil bestehen oder wie in 5 gezeigt aus zwei Teilen. Dies beinhaltet einen äußeren Stützring 42, der einen unteren Flansch hat, der sich nach innen erstreckt und eine zentrale flache Scheibe 44 halt. Der Ring beinhaltet des Weiteren eine Kante, die drei bis sechs erhöhte Lippen 42b zum Halten eines Wafers 45 hat, der in den Ring 42 passt. Die Lippen beabstanden den Wafer von der Scheibe 44. Das Ende einer Drehkreuzarmnase 24a ist in einer Nut 42a in der unteren Fläche des Rings 42 positioniert gezeigt.
  • In Übereinstimmung mit der Erfindung bestehen der Suszeptor, der Ring und die Getterplatte alle aus einem Material, das der chemischen Gasphasenabscheidungsverarbeitung genauso oder besser als dem mit Siliciumcarbid überzogenem Graphit widerstehen kann. Die Thermoelementummantelungen bestehen aus einem Material, das nicht entglast. Das Material sollte Strahlungsenergie von dem Suszeptor und den Heizlampen 46, die in 2 schematisch dargestellt sind, gut absorbieren. Des Weiteren muss das Material einigermaßen gut thermisch leiten und in der Lage sein, den hohen Temperaturen, denen es bei der Gasphasenabscheidungsverarbeitung über viele Zyklen ausgesetzt ist, standzuhalten. Dies schließt auch die Fähigkeit mit ein, dem thermischen Schock, der beim Platzieren eines relativ kalten Wafers auf einem heißen Suszeptor wiederholt auftritt, standzuhalten. Außerdem muss das Material langlebig und sowohl mit den verschiedenen Materialien, die im Abscheidungsvorgang eingesetzt werden als auch mit den verschiedenen Chemikalien, die bei der Reinigung oder beim Ätzen eingesetzt werden, kompatibel sein und muss eine hervorragende chemische Stabilität haben. Das beste Material, das diese Bedingungen bei chemischen Eigenschaften erfüllt, die typischerweise für Si oder Si-Ge-Epitaxie verwendet werden, ist Siliciumcarbid. Deshalb bestehen der Suszeptor und dessen umgebender Ring vorzugsweise aus festem Siliciumcarbid im Gegensatz zu der Vorgehensweise im Stand der Technik, wo mit Siliciumcarbid überzogenes Graphit verwendet wird. Des Weiteren bestehen sowohl der Ring und der Suszeptor als auch die Getterplatte und die Thermoelementhülsen vorzugsweise aus Gasphasenabscheidungs-Siliciumcarbid. Dies ermöglicht, dass der Suszeptor und der Ring in viel mehr Wärmezyklen verwendet werden kann als die bisherigen Komponenten, die aus mit Siliciumcarbid überzogenem Graphit bestehen. Da die Temperatur abstromig vom Suszeptor niedriger ist als auf dem Suszeptor, kann die Gettervorrichtung länger halten als anderen Elemente des Systems. Die Siliciumcarbidthermoelementummantelung kann viel mehr Zyklen überleben als die bisher verwendeten Quarzhülsen.
  • Die Lebensdauer der Reaktorkomponenten wird auch durch Bereitstellen von Siliciumcarbidschirmen an speziellen Orten in der Kammer verbessert. Die Abscheidung von Material auf Quarzteilen (wie Si) kann zu vorzeitiger Fehlfunktion des Quarzes führen. Das Schützen bedenklicher Bereiche mit SiC verhindert den Verschleiß des Quarzes, wodurch die Lebensdauer der Verarbeitungskammer verlängert wird. Es versteht sich, dass Siliciumcarbidschirme überall bereitgestellt werden können, wo sich Quarz in der Kammer befindet, z. B. das Drehkreuz 24, das Untergestell 23, die Thermoelemente 34 und 38 und jeder andere geeignete Ort, solange das Siliciumcarbid den Betrieb der Kammer nicht beeinträchtigt.
  • In 6 ist eine Ausführungsform zum Schutz von Quarzkomponenten mit einem Siliciumcarbidschirm in Bezug auf das zentrale Thermoelement 38 gezeigt. Das Thermoelement 38 weist Thermoelementdrähte 50 auf, die von einer Quarzummantelung 52 umgeben sind. Eine Siliciumcarbidkappe 54 ist über der Quarzummantelung 52 bereitgestellt, um das Thermoelement 38 vor Bearbeitungsgasen zu schützen, die dazu neigen, sich auf der Spitze des Thermoelements abzulagern und die Quarzummantelung vor den Auswirkungen des Ätzvorgangs abzuschirmen, der angewendet wird, um die Bearbeitungsgasabscheidungen zu beseitigen.
  • Siliciumcarbidschirme, wie z. B. die in 6 gezeigte Kappe 54 bestehen vorzugsweise aus einer einfachen geometrischen Konstruktion, die angepasst ist, um über die entsprechende Quarzkomponente zu passen, um die Komponente entweder teilweise oder ganz von den Bearbeitungsgasen der Kammer zu isolieren. Da Siliciumcarbidkomponenten im Allgemeinen relativ teuer und schwierig in komplexen Formen zu bilden sind, reduziert die Verwendung einfacher Gestaltungen, wie z. B. Kappen, flache Platten, L-Formen, U-Formen, T-Formen und verschiedene andere planare, gekrümmte und gekrümmt-planare Formen die Herstellungskosten und vereinfacht den gesamten Herstellungsprozess. Dies ermöglicht des Weiteren, dass die komplexen Komponenten der Kammer aus Quarz gebildet werden können, das relativ kostengünstig, leicht zu bearbeiten und leicht zu schweißen ist. Daher sind in Kammer 10, die in der bevorzugten Ausführungsform der 1 und 2 gezeigt ist, Komponenten wie die Thermoelemente 34 und 38, das Drehkreuz 24 und das Untergestell 23 vorzugsweise unter Verwendung von Quarz hergestellt und dann mit einem einfach hergestellten Siliciumcarbidschirm bedeckt.
  • Außer Siliciumcarbid gibt es noch andere zufriedenstellende Materialien wie z. B. Bornitrid, Siliciumnitrid, Siliciumdioxid, Aluminiumnitrid, Aluminiumoxid, Verbindungen, ternäre oder quaternäre Verbindungen dieser Materialien, pyrolytischer Graphit und andere ähnliche keramische Hochtemperaturverbindungen. Die Siliciumcarbidkomponenten können aus einer Verbindung von chemischen Gasphasenabscheidungen von SiC und Materialenffernungsverfahren hergestellt werden. Beispiele derartiger Verfahren sind in den US-Patenten mit den Seriennummern 4.978.567 und 5.514.439 , die hier durch Bezugnahme aufgenommen werden, beschrieben.
  • BETRIEB
  • Während des Betriebs werden zwei Gasströme in den Reaktor geführt. Im oberen Teil wird der Reaktionsgasstrom durch den Einlassflansch 12 eingeführt, um das Wachstum von Silicium oder einem anderen Material auf einem Wafer, der in einer zentralen Vertiefung in der oberen Fläche des Suszeptors positioniert ist, zu veranlassen. Im unteren Teil des Reaktors wird ein Spülgas durch den Einlassflansch eingeführt, um zu verhindern, dass Reaktionsgase oder Reinigungsgase durch die kleinen Löcher zwischen dem Ring und den Verteilerplatten und zwischen dem Suszeptor und dem Ring in den unteren Teil des Reaktors strömen. Das abstromige Ende des unteren Kammerbereichs wird durch eine Verschlusskappe oder eine Wand 48 blockiert, sodass das Spülgas durch die Löcher in den oberen Kammerauslass entweichen muss, wodurch die Möglichkeit, dass Gas aus der oberen in die untere Kammer fließt, minimiert wird.
  • Nicht verwendete Reaktionsgase, die stromabwärts des Suszeptors und des Rings fließen, werden auf beiden Seiten der in 1 gezeigten Getterplatte abgeschieden, wodurch die Abscheidungen auf der oberen Quarzwand des Reaktors minimiert werden. Die Getterplatte erhält nicht nur Abscheidungen, sie strahlt auch wieder Energie an die kühlere obere Kammerwand ab, die auch in einem Wellenlängenbereich liegt, in dem ein großer Teil von der Quarzwand absorbiert wird. Die Teile, die an der Seitenwand positioniert sind, arbeiten auf ähnliche Weise. Es sollte beachtet werden, dass es wichtig ist, diese Elemente nahe der gewünschten Fläche, die erwärmt werden soll, zu positionieren, um die Abstrahlung, die absorbiert wird, zu maximieren.
  • Gleichermaßen sind in den Anordnungen in 7 die Siliciumcarbidteile positioniert, um die umgebende Seitenkammerwand und den Umfangsabschnitt der oberen Kuppelwand 66 zu heizen. In einer kuppelartigen Kammer kann der Gasfluss abwärts vom Zentrum einer oberen Kammerwand oder horizontal über der Kammer verlaufen. In letzterem Fall ist ein horizontal breiter aber vertikal kurzer Schlitz (nicht gezeigt) in der Seitenwand der Kammer gebildet und es besteht keine Notwendigkeit oder Wunsch, die Siliciumcarbidteile in diesem Bereich zu haben, sie sind jedoch um den Rest des Umfangs der Kammerwand, einschließlich eines angrenzenden Gasauslassschlitzes (nicht gezeigt) gewünscht. Das Warmhalten der Kammer während eines Kammerreinigungszyklusses erhöht auch den Atzwirkungsgrad eines Reinigungsmittels, wie z. B. HCl.
  • Aufgrund der vorhergehenden Verfahren wird die Lebensdauer der Prozesskammer wesentlich verlängert, schätzungsweise von ca. 10.000 Wafern bis zu mehr als 20.000 Wafern. Des Weiteren haben das Quarzdrehkreuz und das Quarzuntergestell für den Ring durch Schützen der Komponenten im unteren Teil der Kammer auch eine verlängerte Lebensdauer und müssen nicht mehr häufiger als die Kammer selbst ausgewechselt werden. Des Weiteren ist es möglich, dass Siliciumcarbid oder andere genannte Materialien für diese Komponenten verwendet werden können.
  • Wie bereits erwähnt sind der Suszeptor, der umgebende Ring und die Thermoelementummantelungen wie die abstromige Getterplatte auch aus festem Siliciumcarbid hergestellt. Festes Siliciumcarbid wird durch Ätzen an der Kammer mit HCI bei hohen Temperaturen nicht merklich angegriffen. Die Lebensdauer fester Siliciumcarbidkomponenten beträgt schätzungsweise ca. 20.000 Wafer, was ca. fünf Mal länger als die der mit Siliciumcarbid überzogenen Graphitkomponenten ist (ca. 3.000 bis 4.000 Wafer). Dies gleicht die Lebensdauer des lichtabsorbierenden Suszeptors und Rings mit der der Reaktorkammer aus. Des Weiteren wird erwartet, dass Thermoelementummantelungen ungefähr genauso lang oder länger halten. Folglich können die Verbesserungen bezüglich der Lebensdauer der inneren Reaktorkomponenten und der Quarzkammer die Wartungshäufigkeit des Reaktors von ca. 1.500 bis 4.000 bis ca. 20.000 Wafern verbessern.
  • Eine längere Lebensdauer der Reaktorkomponenten und der Prozesskammer führt natürlich zu niedrigeren Verbrauchskosten. Gleichermaßen haben längere Intervalle bei der Präventivwartung weniger Ausfallzeit und weniger Reaktoreinstellungen zur Folge. Weniger Reaktoreinstellung läuft auch auf weniger Verwendung von Kontrollwafern heraus. Folglich ist offensichtlich, dass dieses integrierte Kammersystem große Vorteile mit sich bringt.
  • Auch wenn die Erfindung in Verbindung mit einer bestimmten Kammer und den dazugehörigen Komponenten beschrieben wurde, ist die Erfindung auch bei anderen Kammerformen und Komponenten anwendbar.

Claims (11)

  1. Chemische Gasphasenabscheidungsvorrichtung für die Bearbeitung von Substraten, wie zum Beispiel Haibleiterwafer, die eine Prozesskammer mit Quarzwänden aufweist und einen Einlass für das Einführung von Reaktionsgas in die Kammer, einen Suszeptor, der in der Kammer positioniert ist, um einen Wafer aufzunehmen, einen Temperaturkompensationsring, der den Suszeptor umgibt, und eine Getterplatte hat, die stromabwärts von dem Suszeptor und dem Ring positioniert ist, um die Abscheidung von nicht verwendetem Reaktionsgas aufzunehmen, wobei der Suszeptor, der Ring und die Platte aus festem Siliciumcarbid hergestellt sind, wobei die Vorrichtung weiterhin aufweist ein oder mehrere Elemente, die in der Kammer neben den Kammerwänden positioniert sind, um Strahlungsenergie von den Heizlampen zu absorbieren, die in die Kammer über die Quarzwände übertragen wird, und um die Energie wieder abzustrahlen, um die benachbarten Kammerwände zu erwärmen, um die Beschichtung des Abscheidungsgases auf den benachbarten Kammerwänden zu minimieren, und wobei die Elemente neben den Kammerwänden auf gegenüberliegenden Seiten der Getterplatte angeordnet sind.
  2. Vorrichtung nach Anspruch 1, die weiterhin aufweist ein mit Siliciumcarbid ummanteltes Thermoelement, das sich neben dem Suszeptor erstreckt, um die Suszeptortemperatur zu überwachen.
  3. Vorrichtung nach Anspruch 1, die weiterhin aufweist ein mit Siliciumcarbid ummanteltes Thermoelement, das auf gegenüberliegenden Seiten des Suszeptors positioniert ist, und wobei sich jedes zu einem abstromigen Ende der Kammer erstreckt.
  4. Vorrichtung nach Anspruch 1, die weiterhin ein Thermoelement aufweist, das neben dem Suszeptor angeordnet ist und eine Quarzummantelung aufweist, die mit einer Siliciumcarbidkappe abgedeckt ist.
  5. Vorrichtung nach einem der vorherigen Ansprüche, die weiterhin aufweist einen röhrenförmigen Schaft, der den Suszeptor trägt, und ein Thermoelement, das sich nach oben durch den Schaft erstreckt und ausreichend nahe an dem Suszeptor endet, um eine Eingabe betreffend die Temperatur des Suszeptors an diesem Ort bereitzustellen.
  6. Vorrichtung nach einem der vorherigen Ansprüche, die weiterhin aufweist eine Verteilerplatte, die sich aufstromig von dem Ring in der Approximationsebene des Rings und des Suszeptors erstreckt, und eine Verteilerplatte abstromig des Suszeptors und des Rings, die sich durch die Kammer erstreckt und im allgemeinen in der Ebene des Suszeptors und Rings, wobei die Kammer in eine obere Sektion und eine untere Sektion durch die Verteilerplatten, den Ring und den Suszeptor geteilt wird.
  7. Vorrichtung nach Anspruch 6, bei der der Reaktionsgaseinlass sich in die obere Sektion der Kammer öffnet, und weiterhin ein Spülgaseinlass vorgesehen ist, der sich in die untere Sektion öffnet.
  8. Vorrichtung nach Anspruch 7, die weiterhin aufweist einen Gasauslass von der oberen Sektion und eine Einrichtung, die ein abstromiges Ende der unteren Sektion blockiert, so dass Spülgas dazu gebracht wird, nach oben durch Lücken zwischen den Komponenten in die oberen Sektionen auszutreten.
  9. Vorrichtung nach Anspruch 1, bei der die Elemente aus Siliciumcarbid hergestellt sind.
  10. Vorrichtung nach einem der vorherigen Ansprüche, bei der die Kammer eine obere Deckenwand mit einer zentralen Sektion hat, durch die Strahlungsenergie von Heizlampen oberhalb der Deckenwand transmittiert wird, wobei die Elemente angeordnet sind, um die Energie wieder in die Umgebung der Deckenwand abzustrahlen.
  11. Vorrichtung nach einem der Ansprüche 1 bis 5, bei der die Kammer im allgemeinen horizontal orientiert ist und eine Teilerplatte stromabwärts des Suszeptors beinhaltet, die die Kammer in eine obere und eine untere Sektion unterteilt, und wobei die Getterplatte oberhalb der Teilerplatte von dieser beabstandet ist.
DE69838484T 1997-11-03 1998-11-02 Hochtemperatur-prozesskammer mit langer lebensdauer Expired - Fee Related DE69838484T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US6456797P 1997-11-03 1997-11-03
US64567P 1997-11-03
US9513998P 1998-07-31 1998-07-31
US95139P 1998-07-31
PCT/US1998/023205 WO1999023276A1 (en) 1997-11-03 1998-11-02 Long life high temperature process chamber

Publications (2)

Publication Number Publication Date
DE69838484D1 DE69838484D1 (de) 2007-11-08
DE69838484T2 true DE69838484T2 (de) 2008-06-26

Family

ID=26744648

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69838484T Expired - Fee Related DE69838484T2 (de) 1997-11-03 1998-11-02 Hochtemperatur-prozesskammer mit langer lebensdauer

Country Status (6)

Country Link
US (1) US6325858B1 (de)
EP (1) EP1029109B1 (de)
JP (1) JP4475804B2 (de)
KR (1) KR20010031714A (de)
DE (1) DE69838484T2 (de)
WO (1) WO1999023276A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse

Families Citing this family (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6530994B1 (en) * 1997-08-15 2003-03-11 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
NL1012004C2 (nl) * 1999-05-07 2000-11-13 Asm Int Werkwijze voor het verplaatsen van wafers alsmede ring.
US6169244B1 (en) 1999-05-21 2001-01-02 Moore Epitaxial, Inc. Thermocouple sheath cover
US6315833B1 (en) * 1999-07-01 2001-11-13 Applied Materials, Inc. Silicon carbide sleeve for substrate support assembly
EP1313890B1 (de) * 2000-04-06 2006-10-11 ASM America, Inc. Sperrschicht für glasartige werkstoffe
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6796711B2 (en) * 2002-03-29 2004-09-28 Axcelis Technologies, Inc. Contact temperature probe and process
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
TWI294155B (en) 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US8366830B2 (en) * 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP2005229043A (ja) * 2004-02-16 2005-08-25 Sumitomo Electric Ind Ltd ヒータユニット及び該ヒータを搭載した装置
EP1790757B1 (de) * 2004-07-22 2013-08-14 Toyo Tanso Co., Ltd. Suszeptor
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060275933A1 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
US20070089836A1 (en) * 2005-10-24 2007-04-26 Applied Materials, Inc. Semiconductor process chamber
DE102006055038B4 (de) * 2006-11-22 2012-12-27 Siltronic Ag Epitaxierte Halbleiterscheibe sowie Vorrichtung und Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20110114022A1 (en) * 2007-12-12 2011-05-19 Veeco Instruments Inc. Wafer carrier with hub
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
JP5103677B2 (ja) * 2008-02-27 2012-12-19 ソイテック Cvdリアクタにおける気体状前駆体の熱化
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
DE102008034260B4 (de) * 2008-07-16 2014-06-26 Siltronic Ag Verfahren zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels CVD in einer Kammer und Kammer zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels CVD
US8394229B2 (en) * 2008-08-07 2013-03-12 Asm America, Inc. Susceptor ring
US8801857B2 (en) * 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
KR101714660B1 (ko) * 2008-11-07 2017-03-22 에이에스엠 아메리카, 인코포레이티드 반응 챔버
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011054870A (ja) * 2009-09-04 2011-03-17 Shin Etsu Handotai Co Ltd 気相成長装置及びシリコンエピタキシャルウェーハの製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
NL2008751A (en) 2011-06-06 2012-12-10 Asml Netherlands Bv Temperature sensing probe, burl plate, lithographic apparatus and method.
JP5878813B2 (ja) * 2011-06-21 2016-03-08 東京エレクトロン株式会社 バッチ式処理装置
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9349620B2 (en) * 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104818527A (zh) * 2015-04-08 2015-08-05 上海晶盟硅材料有限公司 外延片生产设备
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR20170007181A (ko) 2015-07-10 2017-01-18 3스캔 인크. 조직학적 염색제의 공간 다중화
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (de) 2018-02-14 2020-11-18 ASM IP Holding B.V. Verfahren zum abscheiden eines ruthenium-haltigen films auf einem substrat durch ein zyklisches abscheidungsverfahren
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
JP7205079B2 (ja) * 2018-05-29 2023-01-17 株式会社デンソー 温度センサ
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111636098A (zh) * 2020-07-07 2020-09-08 深圳市纳设智能装备有限公司 一种碳化硅外延设备的cvd反应模块
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023107724A1 (en) * 2021-12-09 2023-06-15 Helios Technical Services, Llc Thermocouple leak detection

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3011006A (en) * 1958-11-10 1961-11-28 Carborundum Co Process and apparatus for measuring high temperatures
JPS5611329A (en) * 1979-07-09 1981-02-04 Nippon Kokan Kk <Nkk> Measuring method of melted metal temperature in vessel
US4592307A (en) * 1985-02-28 1986-06-03 Rca Corporation Vapor phase deposition apparatus
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0648217B2 (ja) * 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) * 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
US5027748A (en) * 1988-12-27 1991-07-02 Wolak Ronald G Pet litter box
US5360269A (en) * 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
US5098198A (en) * 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
JP2780866B2 (ja) * 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5248500A (en) * 1990-12-21 1993-09-28 Del Monte Corporation Slow-release biodegradable granules of pasteuria penetrans
JP2991830B2 (ja) * 1991-09-30 1999-12-20 三菱電機株式会社 化学気相成長装置およびそれを用いた化学気相成長方法
JP3326538B2 (ja) * 1991-10-24 2002-09-24 東京エレクトロン株式会社 コールドウォール形成膜処理装置
JPH05283339A (ja) * 1992-03-31 1993-10-29 Fuji Electric Co Ltd 気相成長装置
US5455069A (en) * 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5456761A (en) * 1993-07-15 1995-10-10 Alcan International Limited High temperature and abrasion resistant temperature measuring device
US5421693A (en) * 1994-01-05 1995-06-06 Petersen; Philip L. Wheelchair tilting apparatus
US5474618A (en) * 1994-04-19 1995-12-12 Rdc Controle Ltee Protective ceramic device for immersion pyrometer
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3137164B2 (ja) * 1994-06-02 2001-02-19 信越半導体株式会社 熱処理炉
US5514439A (en) * 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
JPH08188876A (ja) * 1995-01-09 1996-07-23 Fujitsu Ltd 半導体製造装置及び半導体装置の製造方法
JPH0917742A (ja) * 1995-06-30 1997-01-17 Hitachi Ltd 熱処理装置
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
WO1997008288A1 (en) * 1995-08-30 1997-03-06 The Dow Chemical Company Succinic acid derivative degradable chelants, uses and compositions thereof
JPH1070083A (ja) * 1996-08-27 1998-03-10 Kokusai Electric Co Ltd 縦型炉

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse

Also Published As

Publication number Publication date
DE69838484D1 (de) 2007-11-08
JP2001522138A (ja) 2001-11-13
JP4475804B2 (ja) 2010-06-09
EP1029109A1 (de) 2000-08-23
WO1999023276A1 (en) 1999-05-14
WO1999023276A8 (en) 1999-09-16
EP1029109B1 (de) 2007-09-26
US6325858B1 (en) 2001-12-04
KR20010031714A (ko) 2001-04-16

Similar Documents

Publication Publication Date Title
DE69838484T2 (de) Hochtemperatur-prozesskammer mit langer lebensdauer
DE69628211T2 (de) Prozesskammer mit innerer Tragstruktur
DE69835105T2 (de) System zur Temperaturreglung eines Wafers
DE69534965T2 (de) Abscheidungsverfahren
DE19649508B4 (de) Halter für Halbleiterplatten
DE69628761T2 (de) Anordnung zur thermischen behandlung und entsprechendes verfahren
DE69731199T2 (de) Verfahren und einrichtung zur berührungslose behandlung eines scheiben förmiges halbleitersubstrats
DE60131695T2 (de) Aktiv gekühlte Verteilungsplatte zur Temperaturreduzierung der reaktiven Gase in einem Plasmabehandlungssystem
DE60117629T2 (de) Vorrichtung zur Erhitzung einer Flüssigkeit
DE60033035T2 (de) Gekühltes fenster
DE2749439C3 (de) Verfahren und Vorrichtung zum Verhindern von Dampfniederschlägen auf dem Reflektor einer UV-Strahlungsquelle
DE112014004088T5 (de) Verfahren und Apparatur zur Herstellung von Massen-Siliciumcarbid unter Verwendung eines Siliciumcarbid-Impfkristalls
DE3634129A1 (de) Verfahren und reaktor zum chemischen aufdampfen
DE60133628T2 (de) Vorrichtung zur schnellen und gleichmässigen heizung eines halbleitersubstrats durch infrarotstrahlung
DE3905626A1 (de) Verfahren und vorrichtung zur zuechtung von siliziumkristallen
DE112017001577T5 (de) Suszeptorträger
WO2011029739A1 (de) Cvd-reaktor
DE60302214T2 (de) Pumpenanschlusstück für prozesskammer für einzelne halbleiterscheiben frei von emissivitätsänderungen
DE112014004073T5 (de) Apparatur zur Herstellung von Massen-Siliciumcarbid
DE112014004096T5 (de) Verfahren zur Herstellung von Massen-Siliciumcarbid
DE102014104218A1 (de) CVD-Reaktor mit Vorlaufzonen-Temperaturregelung
DE202015007242U1 (de) Waferträger mit einer Multitaschenkonfiguration
DE19728310A1 (de) Verfahren und Apparatur zum Herstellen epitaxialer Wafer
DE112011102504T5 (de) Verbesserter Reaktor zur chemischen Gasphasenabscheidung
DE69803783T2 (de) Aufblasbare elastomere dichtung für eine vorrichtung für schnelle thermische behandlungen (rtp)

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee