DE69834868T2 - Verfahren zum Herstellen von Kontakten mit niedrigem Widerstand zwischen Metallisierungsschichten eines integrierten Schaltkreises und dadurch hergestellte Strukturen - Google Patents

Verfahren zum Herstellen von Kontakten mit niedrigem Widerstand zwischen Metallisierungsschichten eines integrierten Schaltkreises und dadurch hergestellte Strukturen Download PDF

Info

Publication number
DE69834868T2
DE69834868T2 DE69834868T DE69834868T DE69834868T2 DE 69834868 T2 DE69834868 T2 DE 69834868T2 DE 69834868 T DE69834868 T DE 69834868T DE 69834868 T DE69834868 T DE 69834868T DE 69834868 T2 DE69834868 T2 DE 69834868T2
Authority
DE
Germany
Prior art keywords
barrier layer
dielectric
thickness
plane
level
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69834868T
Other languages
English (en)
Other versions
DE69834868D1 (de
Inventor
Tue Vancouver Nguyen
Sheng Teng Camas Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Sharp Microelectronics Technology Inc
Original Assignee
Sharp Corp
Sharp Microelectronics Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp, Sharp Microelectronics Technology Inc filed Critical Sharp Corp
Application granted granted Critical
Publication of DE69834868D1 publication Critical patent/DE69834868D1/de
Publication of DE69834868T2 publication Critical patent/DE69834868T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Description

  • Hintergrund und Zusammenfassung der Erfindung
  • Die Erfindung betrifft allgemein Prozesse für integrierte Schaltkreise (ICs) sowie deren Herstellung, und spezieller betrifft sie Kupfer-Verbindungsstrukturen sowie ein Verfahren zum Herstellen von Kupfer-Verbindungsstrukturen ohne eingefügte Verunreinigungs-Barriereschichten, um die elektrische Leitfähigkeit zwischen den Kupferebenen zu verbessern.
  • Die Nachfrage nach fortschreitend kleineren, billigeren und leistungsfähigeren elektronischen Erzeugnissen treibt wiederum den Bedarf an integrierten Schaltkreisen mit kleinerer Geometrie und an größeren Substraten an. Dadurch entsteht auch die Forderung einer dichteren Packung von Schaltkreisen auf IC-Substraten. Der Wunsch nach IC-Schaltungen mit kleinerer Geometrie macht es erforderlich, dass die Zwischenverbindungen zwischen Komponenten und dielektrischen Schichten so klein wie möglich sind. Daher dauert die Forschung hinsichtlich einer Verringerung der Breite von Durchführungs-Zwischenverbindungen und Verbindungsleitungen an. Die Leitfähigkeit der Zwischenverbindungen nimmt ab, wenn die Oberfläche einer Zwischenverbindung verkleinert wird, und die sich ergebende Zunahme des Widerstands der Zwischenverbindung wurde zu einem Hindernis beim IC-Design. Leiter mit hohem Wider- stand erzeugen Leitungspfade mit hoher Impedanz und großen Ausbreitungsverzögerungen. Diese Probleme führen zu einem unzuverlässigen Signaltiming, unzuverlässigen Spannungspegeln und längeren Signalverzögerungen zwischen Komponenten im IC. Ausbreitungsdiskontinuitäten ergeben sich auch aus einander schneidenden Leitungsflächen, die schlecht angeschlossen sind, oder aus dem Verbinden von Leitern mit stark verschiedenen Impedanzcharakteristiken. Es besteht Bedarf an Zwischenverbindungen und Durchführungen, die jeweils über niedrigen Widerstand und die Fähigkeit verfügen, schwankenden Prozessumgebungen Stand zu halten.
  • Bei der Herstellung integrierter Schaltkreise werden zum Herstellen von Zwischenverbindungen, oder Durchführungen, zwischen elektrisch aktiven Gebieten häufig die Metalle Aluminium und Wolfram verwendet. Diese Metalle sind beliebt, da sie in einer Herstellungsumgebung leicht verwendbar sind, abweichend von Kupfer, das eine spezielle Handhabung erfordert.
  • Kupfer (Cu) ist die natürliche Wahl beim Ersetzen von Aluminium bei der Anstrengung, die Größe von Leitungen und Durchführungen in einem elektrischen Schaltkreis zu verringern. Die Leitfähigkeit von Kupfer ist ungefähr doppelt so groß wie die von Aluminium und über dreimal so groß wie die von Wolfram. Im Ergebnis kann über eine Kupferleitung mit der Hälfte der Breite einer Aluminiumleitung derselbe Strom geleitet werden.
  • Die Elektromigrationseigenschaften von Kupfer sind ebenfalls denen von Aluminium stark überlegen. Aluminium neigt ungefähr zehnmal stärker als Kupfer zu einer Beeinträchtigung und Unterbrechung durch Elektromigration. Im Ergebnis kann eine Kupferleitung, obwohl sie über einen viel kleineren Querschnitt als eine Aluminiumleitung verfügt, die elektrische Unversehrtheit besser aufrechterhalten.
  • Es bestanden jedoch auch bei der IC-Bearbeitung Probleme in Zusammenhang mit der Verwendung von Kupfer. Kupfer verunreinigt viele der in IC-Prozessen verwendeten Materialien, und daher muss Sorgfalt gewahrt werden, um Kupfer am Migrieren zu hindern. Es wurden verschiedene Maßnahmen vorgeschlagen, um das Problem einer Diffusion von Kupfer in das Material eines integrierten Schaltkreises zu meistern. Es wurden mehrere Materialien, insbesondere hochschmelzende Materialien, zur Verwendung als Barrieren zum Verhindern des Kupferdiffusionsprozesses vorgeschlagen. Wolfram, Molybdän und Titannitrid (TiN) sind Beispiele für hochschmelzende Metalle, die zur Verwendung als Kupferdiffusionsbarrieren geeignet sein können. Jedoch war die Anhaftung von Kupfer an diesen Diffusionsbarrieremetallen ein Problem bei IC-Prozessen, und die elektrische Leitfähigkeit derartiger Materialien bildet beim Aufbauen von IC-Zwischenverbindungen einen Problempunkt.
  • Metall kann nicht unter Verwendung der herkömmlichen Abscheideprozesse, wie Sputtern, auf Substraten, oder in Durchführungen, abgeschieden werden, wenn die Geometrien der ausgewählten IC-Elemente klein sind. Es ist unpraktisch, ein Metall, sei es Aluminium oder Kupfer, zu Sputtern, um Durchführungen kleinen Durchmessers aufzufüllen, da das Vermögen, Zwischenräume aufzufüllen, schlecht ist. Um Kupfer abzuscheiden, befinden sich in der Industrie verschiedene Techniken einer chemischen Dampfabscheidung (CVD) in der Entwicklung.
  • Bei einem typischen CVD-Prozess wird Kupfer mit einem organischen Liganden kombiniert, um eine flüchtige Kupferverbindung oder einen Vorläufer herzustellen. D.h., dass Kupfer in eine Verbindung eingebaut wird, die leicht zu einem Gas verdampft werden kann. Ausgewählte Oberflächen eines integrierten Schaltkreises, wie ein Diffusionsbarrierematerial werden dem kupferhaltigen Gas in einer Umgebung auf hoher Temperatur ausgesetzt. Wenn sich die flüchtige Kupfergasverbindung zersetzt, verbleibt Kupfer auf der erwärmten, ausgewählten Fläche. Mehrere Kupferverbindungen stehen zur Verwendung beim CVD-Prozess zur Verfügung. Es ist allgemein anerkannt, dass die Molekülstruktur der Kupferverbindung die Leitfähigkeit des Kupferfilmrests auf der ausgewählten Fläche zumindest teilweise beeinflusst.
  • Verbindungen zwischen Metallisierungsebenen, wie aus Kupfer, die durch dielektrische Zwischenebenen getrennt sind, werden typischerweise durch ein Feinstrukturierverfahren einer Durchführungsausbildung zwischen Metallisierungsebenen hergestellt. Der unten liegende Kupferfilm wird zunächst vollständig mit dem Dielektrikum, ein typisches Dielektrikum ist Siliciumdioxid, bedeckt. Dann wird auf dem Dielektrikum ein strukturiertes Fotoresistprofil ausgebildet, und in das Dielektrikum wird ein Zwischenverbindungsgraben eingeätzt. Eine andere Resistschicht verfügt über eine Öffnung, oder ein Loch, im Fotoresist über dem Graben, entsprechend dem Gebiet im Dielektrikum, wo die Durchführung auszubilden ist. Dann wird das nicht mit dem Fotoresist bedeckte Dielektrikum geätzt, um ein Oxid unter dem Loch im Fotoresist zu entfernen. Dann wird der Fotoresist abgehoben. Anschließend wird ein Dünnfilm aus Kupfer, oder einem anderen metallischen Material, dazu verwendet, die Durchführung und den Graben aufzufüllen. Nun liegt eine Schicht aus einem Dielektrikum mit einer Kupferdurchführung über dem Kupferfilm. Das überschüssige, verbliebene Kupfer wird durch einen chemisch-mechanischen Polierprozess (CMP) entfernt, wie es in der Technik gut bekannt ist. Das Ergebnis ist eine "Intarsien-" oder Feinstruktur.
  • Die Herstellung von Kupfer-Zwischenverbindungen erfordert es, dass die Kupferleitungen vollständig durch Barriereschichten umgeben sind. Die Barriereschichten können entweder leitend oder nichtleitend sein. Beim Herstellprozess sind zusätzliche Prozessschritte erforderlich, um vorhandene Barriereschichten vor einem Ätzen zu schützen und die Oberflächen dieser Barriereschichten so zu erstellen, dass sie an Kupfer und anderen IC-Materialien anhaften. Leitende Barrieren müssen auch so erstellt werden, dass sie eine gute elektrische Grenzfläche zu Metallisierungsebenen aufweisen. Barriereschichten können als Film zwischen den verschiedenen Metallisierungsebenen und dielektrischen Zwischenebenen des IC abgeschieden werden. Der Feinstrukturierprozess kann die Ausbildung zusätzlicher Barriereschichten während der Herstellung einer feinstrukturierten Durchführung und eines Grabens erforderlich machen. Die meisten zuvor vorhandenen, leitenden Barriereschichten können die Leitfähigkeit zwischen der Kupferdurchführung und den Metallisierungsebenen beeinträchtigen, jedoch sind diese Barrieren häufig schwierig zu entfernen. Es wurden Prozeduren zum Minimieren des Widerstands zwischen einer Kupferdurchführung und einer dieser zugewandten, leitenden Barriereschicht entwickelt. Außerdem werden häufig Kompromisse zwischen der Anhaftung und den Leitungseigenschaften geschlossen.
  • Eine ebenfalls anhängige Anmeldung, die mit der Seriennr. 08/717,267 am 20. September 1996 mit dem Titel "Oxidized Diffusion Barrier Surface for the Adherence of Copper and Method for Same" mit Nguyen et al. als Erfinder, Anwaltsakte Nr. SMT 123, angemeldet wurde und die auf dieselbe Rechtsnachfolgerin wie beim vorliegenden Patent übertragen ist, offenbart ein Verfahren zum Oxidieren der Oberfläche der Diffusionsbarriere, um die Anhaftung von Kupfer an einer Diffusionsbarriere zu verbessern. Bei elektrischen Schaltkreisen mit geringer Geschwindigkeit ist der Widerstand, wie er sich durch eine dünne Oxidebene ergibt, nicht merklich. Jedoch kann bei Anwendungen mit höherer Geschwindigkeit selbst ein kleiner Widerstandswert die Ausbreitungsverzögerung des Elektronenstroms durch eine Oxidschicht erhöhen. Der Hauptzweck dieser, nämlich der oben genannten, Patentanmeldung liegt darin, die Fähigkeit von Kupfer zu verbessern, auf einer Oberfläche abgeschieden zu bleiben, nicht im Verbessern der Leitfähigkeit zwischen Kupfer und einer anderen Fläche.
  • Eine andere ebenfalls anhängige Anmeldung, die am 20. September 1996 mit der Seriennr. 08/717,315 mit dem Titel "Copper Adhered to a Diffusion Barrier Surface and Method for Same", mit Charneski und Nguyen als Erfindern, Anwaltsakte Nr. SMT 243, erfunden wurde und auf dieselbe Rechtsnachfolgerin wie beim vorliegenden Patent übertragen ist, offenbart ein Verfahren zum Verwenden einer Anzahl reaktiver Gasspezies zum Verbessern der Anhaftung von Kupfer, ohne dass sich eine Oxidschicht über der Diffusionsbarriere ausbildet. Jedoch konzentriert sich dieses Patent auf die Verbesserung der Anhaftung von Kupfer, nicht auf eine Verbesserung der Leitfähigkeit von auf einer Oberfläche abgeschiedenem Kupfer.
  • Eine andere ebenfalls anhängige Anmeldung, die am 11. Oktober 1996 mit der Seriennr. 08/729,567 mit dem Titel "Chemical Vapor Deposition of Copper on an ION Prepared Conductive Surface and Method for Same", mit Nguyen und Maa als Erfindern, Anwaltsakte Nr. 114, erfunden wurde und auf dieselbe Rechtsnachfolgerin wie beim vorliegenden Patent übertragen ist, offenbart ein Verfahren zum Herstellen einer leitenden Fläche, wie einer Barriereschicht, die Inertgasionen ausgesetzt wird, um die elektrische Leitfähigkeit zwischen einer leitenden Fläche und einer folgenden Kupferabscheidung zu verbessern. Jedoch ist es der Hauptzweck dieser Erfindung, eine leitende Fläche herzustellen, die im Wesentlichen frei von Nebenprodukten und Kleinteilchen aus einem IC-Prozess ist.
  • Tsuchiya et al. offenbaren im Artikel "Ultra-Low Resistance Direct Contact Cu Via Technology Using In-Situ Chemical Vapor Cleaning", 1997 Symposium on VSLI Technology Digest of Technical Papers, S. 59-60, ein Verfahren zum Herstellen einer doppelt fein strukturierten Direktverbindung zwischen zwei Kupferebenen. Die Verbindung wird über zwei dielektrische Schichten zu einer darunter liegenden Kupferebene hergestellt, wobei sich Barriereschichten zwischen den drei Ebenen befinden. Jedoch ist kein Verfahren zum Herstellen einer Durchführungsverbindung zwischen Metallisierungsebenen ohne eine dazwischen liegende Barriereschicht offenbart. Auch ist kein Verfahren zum Herstellen einer doppelt fein strukturierten Verbindung durch eine einzelne dielektrische Zwischenebene, wenn Barriereschichten für den Zwischenverbindungsgraben herzustellen sind, offenbart.
  • EP 0 703 610 offenbart ein Verfahren zum Herstellen von Zwischenverbindungsstrukturen in einem Halbleiterbauteil unter Verwendung von Isolatoren aus porösen dielektrischen Materialien sowie dadurch hergestellte Strukturen.
  • Es wäre von Vorteil, ein Verfahren zum Minimieren des Widerstands zwischen einer Kupferdurchführung und einer Zwischenschicht-Metallisierungsebene in einem IC zu schaffen.
  • Es wäre von Vorteil, Maßnahmen zum selektiven Herstellen von Barriereschichten in einer Durchführung oder einer Feinstruktur zu verwenden, um die schlecht leitenden Zwischenflächen zwischen Kupfer und leitenden Barriereschichten zu beseitigen.
  • Es wäre von Vorteil, ein Verfahren zum selektiven Abscheiden und selektiven Ätzen von Barriereschichten bei der Herstellung einer Durchführung oder einer Feinstruktur zu verwenden. Ferner wäre es von Vorteil, wenn das Verfahren die Anzahl der Prozessschritte verringern würde und die Leitfähigkeit zwischen Metallisierungsebenen verbessern würde.
  • Es wäre von Vorteil, ein Verfahren zum selektiven Herstellen von Barriereschichten in einer IC-Zwischenverbindung zu verwenden, um eine Kupferverunreinigung zu verhindern und um Barriereschichten selektiv zu entfernen, um die Leitfähigkeit zwischen Kupferebenen zu verbessern.
  • Gemäß der Erfindung ist, in einem integrierten Schaltkreis mit einer ersten Metallisierungsebene, einer ersten Barriereschicht über der ersten Metallisierungsebene sowie einer ersten dielektrischen Zwischenebene über der ersten Barriereschicht, wobei die erste dielektrische Zwischenebene über eine erste Dicke und eine zweite Dicke über der ersten Dicke verfügt, ein Verfahren zum Herstellen einer Doppel-Feinstruktur-Zwischenverbindung zwischen Metallisierungsebenen mit den folgenden Schritten geschaffen:
    • a) Ätzen ausgewählter Gebiete der ersten dielektrischen Zwischenebene zweiter Dicke, Herstellen eines Feinstruktur-Verbindungsgrabens, und Freilegen vertikaler Seitenwandflächen der ersten dielektrischen Zwischenebene zweiter Dicke sowie ausgewählter horizontaler Flächen der ersten dielektrischen Zwischenebene erster Dicke;
    • b) anisotropes Abscheiden, in horizontaler Richtung, einer zweiten Barriereschicht über der ersten dielektrischen Zwischenebene in solcher Weise, dass sie über der im Schritt a) freigelegten, ausgewählten horizontalen Fläche der ersten dielektrischen Zwischenebene erster Dicke liegt, wobei sie die vertikalen Seitenflächen der ersten dielektrischen Zwischenebene zweiter Dicke minimal bedeckt;
    • c) Ätzen ausgewählter, im Schritt b) abgeschiedener, oben liegender Gebiete der zweiten Barriereschicht, ausgewählter, horizontaler Flächen der ersten dielektrischen Zwischenebene erster Dicke, und der ersten Barriereschicht, wobei vertikale Seitenwandflächen der ersten dielektrischen Zwischenebene erster Dicke sowie ausgewählte Gebiete der ersten Metallebene frei gelegt werden, wodurch vom Graben zu den ausgewählten Gebieten der ersten Metallebene eine Durchführung erzeugt wird;
    • d) Abschalten einer dritten Barriereschicht mit gleicher Form über den vertikalen Seitenwandflächen der im Schritt a) frei gelegten ersten dielektrischen Zwischenebene zweiter Dicke, der im Schritt b) abgeschiedenen zweiten Barriereschicht und den ausgewählten Gebieten der ersten Metallebene und der vertikalen Seitenfläche der im Schritt c) frei gelegten dielektrischen Zwischenebene erster Dicke;
    • e) anisotropes Ätzen, in horizontaler Richtung, zum selektiven Entfernen der dritten Barriereschicht über den ausgewählten Gebieten der ersten Metallebene, jedoch nicht der dritten Barriereschicht über den vertikalen Seitenwandflächen der ersten dielektrischen Zwischenebene erster Dicke und zweiter Dicke, wodurch eine Feinstrukturierprozess-Durchführung und ein Graben mit Barriereflächen-Seitenwänden so erzeugt werden, dass sie die erste Metallebene mit einer anschließend abgeschiedenen Metallebene verbinden.
  • Auch ist, für einen integrierten Schaltkreis (IC), ein Verfahren zum Herstellen einer Doppel-Feinstruktur-Zwischenverbindung mit einer Metallisierungsebene niedrigen Widerstands mit einer horizontalen Metallisierungsebene und einer über dieser liegenden dielektrischen Zwischenebene geschaffen, wobei die dielektrische Zwischenebene über eine erste Dicke und eine zweite Dicke über der ersten Dicke verfügt. Die Feinstruktur-Zwischenverbindung verfügt ferner über einen Feinstruktur-Zwischenverbindungs-Graben, der durch ausgewählte Gebiete der dielektrischen Zwischenebene zweiter Dicke ausgebildet ist, wobei vertikale Seitenflächen der dielektrischen Zwischenebene zweiter Dicke und ausgewählte horizontale Flächen der dielektrischen Zwischenebene erster Dicke freigelegt sind. Außerdem verfügt. die Feinstruktur-Zwischenverbindung über eine isolierende Barriereschicht, die durch anisotropes Abscheiden in einer horizontalen Richtung so ausgebildet wurde, dass sie über den ausgewählten horizontalen Flächen der dielektrischen Zwischenebene erster Dicke liegt.
  • Die Feinstruktur-Zwischenverbindung verfügt über eine Durchführung durch ausgewählte obere Gebiete der isolierenden Barriereschicht und die erste dielektrische Zwischenebene erster Dicke hindurch, wobei Seitenwandflächen der dielektrischen Zwischenebene erster Dicke und ausgewählte Gebiete der Metallisierungsebene freigelegt sind. Schließlich verfügt die Feinstruktur-Zwischenverbindung über eine leitende Barriereschicht, die durch Abscheiden mit jeweils gleicher Form des leitenden Barriereschichtmaterials über den Seitenwandflächen der dielektrischen Zwischenebene erster und zweiter Dicke, der ausgewählten horizontalen Flächen der dielektrischen Zwischenebene erster Dicke und der ausgewählten Gebiete der Metallisierungsebene hergestellt wurde. Dann wird die leitende Barriere anisotrop in der horizontalen Richtung geätzt, um die auf den ausgewählten Gebieten der Metallisierungsebene abgeschiedene leitende Barriereschicht selektiv zu entfernen. Die Durchführung und der Graben, mit leitenden Barrierefläche-Seitenwänden, werden durch den Feinstrukturierprozess so hergestellt, dass sie die Metallisierungsebene direkt mit einer anschließend abgeschiedenen Metallisierungsebene verbinden.
  • Um die Erfindung leichter verständlich zu machen, werden nun spezielle Ausführungsformen und Beispiele derselben unter Bezugnahme auf die beigefügten Zeichnungen beschrieben.
  • Kurze Beschreibung der Zeichnungen
  • 1 bis 3, die als Beispiel enthalten sind, veranschaulichen Schritte bei einem Verfahren zum Herstellen einer fertig gestellten Durchführung-Zwischenverbindung mittels einer Metallisierungsebene niedrigen Widerstands in einem integrierten Schaltkreis.
  • 4 bis 9, die als Beispiel enthalten sind, bilden eine detaillierte Darstellung des Verfahrens zum Herstellen einer fertig gestellten Zwischenverbindung mittels einer Metallisierungsebene niedrigen Widerstands in einem Schaltkreis, wie er in den 1-3 dargestellt ist.
  • 10 ist ein Flussdiagramm zum Veranschaulichen von Schritten bei einem Verfahren zum Herstellen einer Durchführungs-Zwischenverbindung niedrigen Widerstands zwischen Metallisierungsebenen.
  • 11 ist eine detailliertere Version des Flussdiagramms in der 10 zum Veranschaulichen eines Verfahrens zum Herstellen einer Zwischenverbindung niedrigeren Widerstands zwischen Metallisierungsebenen.
  • 12 bis 18, die Ausführungsformen der Erfindung zeigen, veranschaulichen Schritte bei einem Verfahren zum Herstellen einer fertig gestellten Doppel-Feinstruktur-Zwischenverbindung mittels einer Metallisierungsebene niedrigen Widerstands in einem integrierten Schaltkreis.
  • 19 ist ein Flussdiagramm zum Veranschaulichen von Schritten bei einem Verfahren zum Herstellen einer Zwischenverbindung niedrigen Widerstands zwischen Metallisierungsebenen unter Verwendung eines Feinstrukturierprozesses.
  • 20 ist eine detailliertere Version des Flussdiagramms der 19 zum Veranschaulichen von Schritten bei einem Verfahren zum Herstellen einer Doppel-Feinstruktur-Zwischenverbindung niedrigen Widerstands zwischen Metallisierungsebenen.
  • Detaillierte Beschreibung der bevorzugten Ausführungsformen sowie von Beispielen
  • Die 1 bis 3 veranschaulichen Schritte bei einem Verfahren zum Herstellen einer fertig gestellten Durchführungs-Zwischenverbindung mittels einer Metallisierungsebene niedrigen Widerstands in einem integrierten Schaltkreis. Die 4 ist eine teilgeschnittene Schnittansicht eines IC 10. Der IC 10 verfügt über eine Metallisierungsebene 12 sowie eine dielektrische Zwischenebene 14 über der ersten Metallisierungsebene 12.
  • Gemäß einigen Erscheinungsformen der Erfindung trennt eine nichtleitende Barriereschicht 16 die dielektrische Zwischenebene 14 von der Metallisierungsebene 12. Gemäß einigen Erscheinungsformen der Erfindung liegt eine nichtleitende Barriereschicht 18 über der dielektrischen Zwischenebene 14. Der IC 10 verfügt auch über eine Durchführung 20 durch ausgewählte Gebiete der dielektrischen Zwischenebene 14 hindurch, wobei Seitenwandflächen 22 der die lektrischen Zwischenebene 14 und ausgewählte Gebiete 29 der Metallisierungsebene 12 freigelegt sind.
  • Die 2 ist eine teilgeschnittene Schnittansicht des IC 10, wobei eine Barriereschicht 26 über Seitenwandflächen 22 der dielektrischen Zwischenebene 14 verfügt, die durch konformes Abscheiden leitender und nichtleitender Barriereschichtmaterialien über Seitenwandflächen 22 der dielektrischen Zwischenebene 14 und ausgewählter Gebiete 24 der Metallisierungsebene hergestellt wurden.
  • Die 3 ist eine teilgeschnittene Schnittansicht des IC 10, und sie zeigt das anisotrope Ätzen der Barriereschicht 26 zum selektiven Entfernen derselben über den ausgewählten Gebieten 24 der Metallisierungsebene. Bei diesem Prozess wird auch die Barriereschicht 26 über der Barriereschicht 18 entfernt. Nun verfügt die Durchführung 20 über Barriere-Seitenwandflächen 26, und sie ist so hergestellt, dass sie die Metallisierungsebene 12 direkt mit einer anschließend abgeschiedenen Metallisierungsebene (nicht dargestellt) verbindet.
  • Die 4 bis 9 bilden eine detailliertere Veranschaulichung des Verfahrens zum Herstellen einer fertig gestellten Zwischenverbindung mittels einer Metallisierungsebene niedrigen Widerstands in einem integrierten Schaltkreis, wie er in den 1-3 dargestellt ist. Die 9 ist eine teilgeschnittene Schnittansicht eines integrierten Schaltkreises 30 mit einer ersten horizontalen Metallisierungsebene 32. Die Ebene der Metallisierungsebene 32 wird zu Zwecken einer deutlichen Beschreibung der Erfindung als horizontal bezeichnet, was willkürlich ist. Alternativ ist die erste Metallisierungsebene 32 vertikal, oder die Ansicht des Bezugsbilds ist um 90 Grad gedreht. Über der ersten Metallisierungsebene 32 liegt eine erste Barriereschicht 34, über der wiederum eine erste dielektrische Zwischenebene 36 liegt. Über der ersten dielektrischen Zwischenebene 36 liegt eine zweite Barriereschicht 38.
  • Die 5 ist eine teilgeschnittene Schnittansicht des IC 30, und sie zeigt eine erste Durchführung 90 durch ausgewählte obere Gebiete der ersten Barriereschicht 34, der ersten dielektrischen Zwischenebene 36 und der zweiten Barriereschicht 38, wobei vertikale Seitenwandflächen 42 der ersten dielektrischen Zwischenebene 36 sowie ausgewählte Gebiete 44 der ersten Metallisierungsebene 32 freigelegt sind. Typischerweise wird die Durchführung 40 da durch hergestellt, dass eine Fotoresistschicht 46 abgeschieden wird und diese strukturiert wird, um dort einen Zwischenraum auszubilden, wo die Durchführung 40 herzustellen ist. Die erste Barriereschicht 34, die erste dielektrische Zwischenebene 36 und die zweite Barriereschicht 38 werden durch den Zwischenraum im Fotoresist 46 hindurch durch ein Plasma geätzt, um die Durchführung 40 auszubilden. Nach der Ausbildung der Durchführung 40 wird der Fotoresist 46 entfernt. Die 36 ist eine teilgeschnittene Schnittansicht des IC 30, und sie zeigt eine dritte Barriereschicht 46, die durch konformes Abscheiden dieser dritten Barriereschicht 46 über vertikalen Seitenwandflächen 42 der ersten dielektrischen Zwischenebene 36 und der ausgewählten Gebiete 44 der ersten Metallisierungsebene hergestellt wurde.
  • Die 7 ist eine teilgeschnittene Schnittansicht des IC 30 und sie zeigt die dritte Barriereschicht 46 nach einem horizontalen Ätzen in der horizontalen Richtung zum selektiven Entfernen dieser dritten Barriereschicht 46 über den ausgewählten Gebieten 44 der ersten Metallisierungsebene. Beim Ätzprozess wird im Allgemeinen die dritte Barriereschicht 46 über der zweiten Barriereschicht 38 entfernt. Als Erstes wird die Durchführung 40, mit den Barriere-Seitenwandflächen 46, so hergestellt, dass sie die erste Metallisierungsebene 32 direkt mit einer anschließend abgeschiedenen Metallisierungsebene (nicht dargestellt) verbindet.
  • Die 8 ist eine teilgeschnittene Schnittansicht des IC 30, der ferner über eine zweite Metallisierungsebene 48 über der zweiten Barriereschicht 38 verfügt, die die erste Durchführung 40 auffüllt, um eine Verbindung mit den ausgewählten Gebieten 44 der ersten Metallisierungsebene herzustellen. Zwischen den Metallisierungsebenen ist eine Verbindung minimalen Widerstands, ohne dazwischen liegende Barriereschicht, gebildet.
  • Die 9 ist eine teilgeschnittene Schnittansicht des IC 30, wobei die zweite Metallisierungsebene 48 selektiv abgeätzt ist, um eine Zwischenverbindung oder eine Zwischenverbindungsschicht zu bilden. Gemäß einigen Erscheinungsformen der Erfindung werden Abschnitte der zweiten Barriereschicht 38 entfernt, wenn die zweite Metallisierungsebene 48 geätzt wird. Dann verbleibt die zweite Barriereschicht 38 unter den nicht geätzten Gebieten der zweiten Metallisierungsebene 48, wie es in der 9 dargestellt ist. Der IC 30 verfügt ferner über eine vierte Barriereschicht 50 über der zweiten Metallisierungsebene 98 sowie das erste Dielektrikum 36 dort, wo die zweite Barriere schicht 38 weggeätzt ist. Über der vierten Barriereschicht 50 liegt eine zweite dielektrische Zwischenebene 52. Nach dem Abscheiden der zweiten dielektrischen Zwischenebene 52 ist die Oberfläche derselben uneben, da ihre Oberflächenkontur den verschiedenen horizontalen Ebenen der zweiten Metallisierungsebene 48 und der ersten dielektrischen Zwischenebene 36 folgt. Gemäß einigen Erscheinungsformen der Erfindung wird an der zweiten dielektrischen Zwischenebene 52 ein chemisch-mechanisches Polieren ausgeführt, um eine im Wesentlichen ebene Fläche auszubilden. Über der zweiten dielektrischen Zwischenebene 52 liegt eine fünfte Barriereschicht 54. Der IC 30 verfügt auch über eine zweite Durchführung 56 durch ausgewählte obere Gebiete der vierten Barriereschicht 50, die zweite dielektrische Zwischenebene 52 und die fünfte Barriereschicht 54 hindurch, um vertikale Seitenwandflächen 58 der zweiten dielektrischen Zwischenebene 52 und ausgewählte Gebiete 60 der zweiten Metallisierungsebene 48 freizulegen. Eine sechste Barriereschicht 62 wird durch konformes Abscheiden dieser sechsten Barriereschicht 62 über vertikalen Seitenwandflächen 58 der zweiten dielektrischen Zwischenebene 52 und ausgewählten Gebieten 60 der zweiten Metallisierungsebene hergestellt. Die sechste Barriereschicht 62 wird anisotrop in der horizontalen Richtung geätzt, um die über den zweiten ausgewählten Gebieten 60 der zweiten Metallisierungsebene und den horizontalen Flächen der fünften Barriereschicht 54 ausgebildete sechste Barriereebene selektiv zu entfernen. Die zweite Durchführung 56, die über die Barriere-Seitenwandflächen 58 verfügt, wird so hergestellt, dass sie die zweite Metallisierungsebene 48 mit einer anschließend abgeschiedenen Metallisierungsebene (nicht dargestellt) direkt verbindet.
  • Die 4 bis 9 veranschaulichen die Zwischenverbindung der zwei Metallisierungsebenen sowie die Herstellung für eine Verbindung zu einer dritten Metallisierungsebene. Auf dieselbe Weise, wie es in den 4 bis 9 dargestellt ist, und es oben beschrieben ist, können Zwischenverbindungen mit einer noch größeren Anzahl von Metallisierungsebenen in einem integrierten Schaltkreis hergestellt werden. Die verschiedenen Zwischenverbindungs-Durchführungen und -Gräben müssen nicht ausgerichtet sein, wie es in den 4-9 dargestellt ist, und die Durchmesser der Durchführungen und die Formen der Gräben sind variabel.
  • Das erste Barriereschichtmaterial 39 und das zweite Barriereschichtmaterial 38 sind nichtleitend, und das dritte Barriereschichtmaterial 46 wird aus der aus leitenden und nichtleitenden Materialien bestehenden Gruppe ausgewählt.
  • Typischerweise ist die dritte Barriereschicht 46 leitend. Wenn die dritte Barriereschicht 46 leitend ist, müssen die erste Metallisierungsebene 32 und die zweite Metallisierungsebene 48 nicht notwendigerweise in Kontakt stehen, um eine elektrische Verbindung herzustellen. Dann erfolgt die elektrische Verbindung zwischen der ersten Metallisierungsebene 32 und der zweiten Metallisierungsebene 98 über die dritte Barriereschicht 46. D.h., dass die dritte Barriereschicht 46 für eine elektrische Verbindung zwischen Metallisierungsebenen sorgt, wenn die zweite Metallisierungsebene 48 die erste Durchführung 40 unvollständig auffüllt. Jedoch ist eine indirekte elektrische Verbindung, durch die Barriereschicht 46, nicht in solcher Weise leitend, wie eine direkte Kupfer-Kupfer-Verbindung.
  • Das nichtleitende Barriereschichtmaterial wird aus der Si3N4 und TiO bestehenden Gruppe ausgewählt. Das leitende Barriereschichtmaterial wird aus der aus hochschmelzenden Metallen und hochschmelzenden Metallverbindungen bestehenden Gruppe, einschließlich TiN, WN und TaN, ausgewählt. Typischerweise bestehen die erste Metallisierungsebene 32 sowie die zweite Metallisierungsebene 38 aus Kupfer.
  • Die 10 ist ein Flussdiagramm zum Veranschaulichen von Schritten bei einem Verfahren zum Herstellen einer Durchführungs-Zwischenverbindung niedrigen Widerstands zwischen Metallisierungsebenen. In einem Schritt 100 wird eine über Seitenwandflächen verfügende Durchführung eines integrierten Schaltkreises hergestellt, die durch eine dielektrische Zwischenebene verläuft, um ausgewählte Gebiete einer Metallisierungsebene freizulegen. In einem Schritt 102 wird ein Barriereschichtmaterial über der Durchführung mit gleicher Form abgeschieden, um eine Barriereschicht über den Seitenwandflächen der dielektrischen Zwischenebene und ausgewählten Gebieten der Metallisierungsebene auszubilden. In einem Schritt 104 erfolgt ein anisotropes Ätzen zum selektiven Entfernen der über den ausgewählten Gebieten der Metallisierungsebene, jedoch nicht der Seitenwandflächen der dielektrischen Zwischenebene, abgeschiedenen Barriereschicht. Im Schritt 106 geht es um ein Produkt, eine Durchführung mit Barriere-Seitenwandflächen, die so erstellt sind, dass sie die Metallisierungsebene direkt mit einer anschließend abgeschiedenen Metallisierungsebene verbinden.
  • Die 11 ist eine detailliertere Version des Flussdiagramms in der 10 zum Veranschaulichen eines Verfahrens zum Herstellen einer Zwischenverbindung niedrigen Widerstands zwischen Metallisierungsebenen. In einem Schritt 120 wird ein integrierter Schaltkreis mit einer ersten horizontalen Metallisierungsebene, einer ersten Barriereschicht über dieser, einer ersten dielektrischen Zwischenebene über dieser und einer zweiten Barriereschicht über dieser erstellt. In einem Schritt 122 werden ausgewählte, obere Gebiete einer ersten Barriereschicht, der ersten dielektrischen Zwischenebene und der zweiten Barriereschicht geätzt, um eine Durchführung auszubilden, die vertikale Seitenwandflächen der ersten dielektrischen Zwischenebene und ausgewählte Gebiete der ersten Metallisierungsebene freilegt. In einem Schritt 124 wird eine dritte Barriereschicht mit passender Form über den vertikalen Seitenwandflächen der ersten dielektrischen Zwischenebene und den im schritt 122 freigelegten ausgewählten Gebieten der ersten Metallisierungsebene abgeschieden. In einem Schritt 126 erfolgt ein anisotropes Ätzen in der horizontalen Richtung, um die über den ausgewählten Gebieten der ersten Metallisierungsebene, aber nicht über den vertikalen Seitenwandflächen der ersten dielektrischen Zwischenebene, abgeschiedene dritte Barriereschicht selektiv zu entfernen. In einem Schritt 128 geht es um ein Erzeugnis, eine IC-Durchführung, mit Barriere-Seitenwandflächen, die so hergestellt wurden, dass sie die erste Metallisierungsebene direkt mit einer anschließend abgeschiedenen Metallisierungsebene verbinden.
  • Gemäß einer Erscheinungsform der Erfindung wird in einem folgenden Schritt, folgend auf den Schritt 126, eine zweite Metallisierungsebene über der zweiten Barriereschicht abgeschieden, um die im Schritt 122 hergestellte Durchführung aufzufüllen, um eine Verbindung mit der ersten Metallisierungsebene herzustellen. Zwischen zwei Metallisierungsebenen ist eine Verbindung minimalen Widerstands, ohne dazwischenliegende Barriereschichten, gebildet, wenn sie direkt verbunden sind.
  • Durch weitere Schritte erfolgt ein selektives Ätzen der zweiten Metallisierungsebene zum Ausbilden einer Zwischenverbindung oder einer Zwischenverbindungsschicht. Auf der zweiten Metallisierungsebene wird eine vierte Barriereschicht abgeschieden. Wenn durch selektives Ätzen die zweite Barriereschicht entfernt ist, wird die vierte Barriereschicht auf der freigelegten ersten dielektrischen Zwischenebene abgeschieden. Auf der vierten Barriereschicht wird eine zweite dielektrische Zwischenebene abgeschieden. Typischerweise erfolgt an der zweiten dielektrischen Zwischenebene ein CMP, um eine ebene horizontale Fläche auszubilden. Es wird eine fünfte Barriereschicht über der zweiten dielektrischen Zwischenebene abgeschieden. In einem folgenden Schritt werden die Schritte des oben hinsichtlich der 11 beschriebenen Verfahrens wiederholt, um eine Verbindung niedrigen Widerstands zwischen der zweiten Metallisierungsebene und einer anschließend abgeschiedenen dritten Metallisierungsebene herzustellen. Auf diese Weise werden mehrere Metallisierungsebenen im IC miteinander verbunden.
  • Das erste und das zweite Barriereschichtmaterial sind nichtleitend, und das dritte Barriereschichtmaterial wird aus der Gruppe leitender und nichtleitender Materialien ausgewählt. Das nichtleitende Barriereschichtmaterial wird aus der aus Si3N4 und TiO bestehenden Gruppe ausgewählt. Das leitende Barriereschichtmaterial wird aus der aus hochschmelzenden Metallen und Verbindungen hochschmelzender Metalle bestehenden Gruppe, einschließlich TiN, WN und TaN ausgewählt. Typischerweise besteht die erste und die zweite Metallisierungsebene aus Kupfer.
  • Die 12 bis 18 veranschaulichen Schritte bei einem Verfahren zum Herstellen einer fertiggestellten Doppel-Feinstruktur-Zwischenverbindung mittels einer Metallisierungsebene niedrigen Widerstands in einem integrierten Schaltkreis. Die 12 ist eine teilgeschnittene Schnittansicht eines IC 160 mit einer ersten Metallisierungsebene 162, einer ersten Barriereschicht 164 auf dieser und einer ersten dielektrischen Zwischenebene 166 über der ersten Barriereschicht 164 und der ersten Metallisierungsebene 162. Der Begriff "horizontal" ist zu Veranschaulichungszwecken angegeben, und er ist willkürlich. Die erste dielektrische Zwischenebene 166 verfügt über eine erste Dicke 168 und eine zweite Dicke 170 über der ersten Dicke 168.
  • Der IC 160 verfügt über einen ersten Feinstruktur-Zwischenverbindungsgraben 172, der durch ausgewählte Gebiete der ersten dielektrischen Zwischenebene zweiter Dicke 170 ausgebildet ist, um vertikale Seitenwandflächen 174 der ersten dielektrischen Zwischenebene zweiter Dicke 170 und ausgewählte horizontale Flächen 176 der ersten dielektrischen Zwischenebene erster Dicke 168 freizulegen. Gemäß einigen Erscheinungsformen der Erfindung ist die erste Barriereschicht 164 nicht vorhanden, wie dann, wenn die erste Metallisierungsebene 162 nicht aus Kupfer besteht. Die Barriereschicht 164 ist nicht erforderlich, wenn die erste Metallisierungsebene 162 aus einem Metall besteht, das umgebende Substratgebiete nicht verunreinigt. Wenn die erste Barriereschicht 169 nicht vorhanden ist, wird das Material der ersten Metalli sierungsebene aus der aus Aluminium, Aluminium-Kupfer-Legierungen und Wolfram bestehenden Gruppe ausgewählt.
  • Die 13 ist eine teilgeschnittene Schnittansicht des IC 160, der ferner über eine zweite Barriereschicht 168 verfügt, die durch anisotropes Abscheiden in der horizontalen Richtung so hergestellt wurde, dass sie über ausgewählten horizontalen Flächen 176 des ersten Dielektrikums erster Dicke 168 liegt und vertikale Seitenwandflächen 174 der ersten dielektrischen Zwischenebene zweiter Dicke 170 minimal bedeckt. Idealerweise sammeln die vertikalen Seitenwandflächen 174 keinerlei Abscheidung irgendeiner zweiten Barriereschicht 178. Jedoch wird bei vielen anisotropen Abscheideprozessen zumindest etwas Material an vertikalen Flächen abgeschieden. Typischerweise ist die zweite Barriereschicht 178 ein elektrischer Isolator.
  • Die 14 ist eine teilgeschnittene Schnittansicht des IC 160, und sie zeigt eine erste Feinstruktur-Durchführung 180, die durch ausgewählte obere Gebiete der zweiten Barriereschicht 178 und die erste dielektrische Zwischenebene erster Dicke 168 sowie die erste Barriereschicht 164 hergestellt wurde, um vertikale Seitenwandflächen 182 der ersten dielektrischen Zwischenebene erster Dicke 16B sowie ausgewählte Gebiete 184 der ersten Metallisierungsebene 162 freizulegen.
  • Die 15 ist eine teilgeschnittene Schnittansicht des IC 160, und sie zeigt eine dritte Barriereschicht 186, die durch konformes Abscheiden dieser dritten Barriereschicht 186 über vertikalen Seitenwandflächen 174 und 182 der ersten dielektrischen Zwischenebene erster Dicke 168 und der ersten dielektrischen Zwischenebene zweiter Dicke 170 hergestellt wurde. Ausgewählte horizontale Flächen 176 der ersten dielektrischen Zwischenebene erster Dicke 168 sowie eine zweite Barriereschicht 178 über diesen horizontalen Flächen 176 werden bei diesem Prozess durch eine dritte Barriereschicht 186 mit gleicher Form beschichtet. Außerdem wird die dritte Barriereschicht 186 auf ausgewählten Gebieten 184 der ersten Metallisierungsebene 162 abgeschieden. Die dritte Barriereschicht 186 ist typischerweise leitend.
  • Die 16 ist eine teilgeschnittene Schnittansicht des IC 160, und sie zeigt die dritte Barriere 186 nach anisotropem Ätzen in der horizontalen Richtung zum selektiven Entfernen dieser dritten Barriereschicht 186, die über den ausgewählten Gebieten 184 der ersten Metallisierungsebene abgeschie den wurde. Im selben Prozess wird die dritte Barriereschicht 186 auch von der zweiten Barriereschicht 178 über den ausgewählten horizontalen Flächen 176 der ersten dielektrischen Zwischenebene erster Dicke 168 entfernt. Durch den Feinstrukturierprozess verfügen die erste Durchführung 118 und der erste Graben 172 nun über Barriere-Seitenwandflächen 186, und sie werden so hergestellt, dass sie die erste Metallisierungsebene 162 mit einer anschließend abgeschiedenen Metallisierungsebene (nicht dargestellt) direkt verbinden.
  • Die 17 ist eine teilgeschnittene Schnittansicht des IC 160 mit einer zweiten Metallisierungsebene 188 über der ersten dielektrischen Zwischenebene 166, um die erste Durchführung 180 und den ersten Graben 172 aufzufüllen, um eine Verbindung mit dem ausgewählten Gebiet 184 der ersten Metallisierungsebene herzustellen. Zwischen den Metallisierungsebenen 162 und 188 ist eine Verbindung minimalen Widerstands, ohne dazwischen liegende Barriereschicht, erstellt.
  • Die 18 ist eine teilgeschnittene Schnittansicht des IC 160, wobei an der zweiten Metallisierungsebene 188 ein CMP ausgeführt wird. Gemäß einigen Erscheinungsformen der Erfindung wird durch den CMP-Prozess auch die zweite Barriereschicht 178 über der ersten dielektrischen Zwischenebene 166 entfernt. Der IC 160 verfügt ferner über eine vierte Barriereschicht 190 über der zweiten Metallisierungsebene 188 sowie eine zweite dielektrische Zwischenebene 192 über der vierten Barriereschicht 190. Die zweite dielektrische Zwischenebene 192 verfügt über eine erste Dicke 199 und eine zweite Dicke 196 über dieser. Durch eine zweite Feinstruktur-Durchführung 200 durch ausgewählte obere Gebiete der vierten Barriereschicht 190 und der zweiten dielektrischen Zwischenebene erster Dicke 194 werden vertikale Seitenwandflächen 202 der ersten dielektrischen Zwischenebene erster Dicke 194 und ausgewählte Gebiete 204 der zweiten Metallisierungsebene 188 freigelegt.
  • Der IC 160 verfügt ferner über einen zweiten Feinstrukturgraben 206 über der zweiten Durchführung 200, der durch ausgewählte Gebiete der zweiten dielektrischen Zwischenebene zweiter Dicke 196 ausgebildet wurde. Der zweite Feinstrukturgraben 206 legt vertikale Seitenwandflächen 208 der zweiten dielektrischen Zwischenebene zweiter Dicke 196 sowie ausgewählte horizontale Flächen 210 der ersten dielektrischen Zwischenebene erster Dicke 194 frei.
  • Der IC 160 verfügt ferner über eine fünfte Barriereschicht 212, die vor dem Ätzen der zweiten Durchführung 200 durch anisotropes Abscheiden in einer horizontalen Richtung so hergestellt wird, dass sie über ausgewählten horizontalen Flächen 210 der zweiten dielektrischen Zwischenebene erster Dicke 194 liegt und vertikale Seitenwandflächen 208 der zweiten dielektrischen Zwischenebene zweiter Dicke 196 minimal bedeckt.
  • Der IC 160 verfügt auch über eine sechste Barriereschicht 219, die durch konformes Abscheiden derselben über vertikalen Seitenwandflächen 202 der ersten dielektrischen Zwischenebene erster Dicke 194 und vertikalen Seitenwandflächen 208 der zweiten dielektrischen Zwischenebene zweiter Dicke 196 sowie ausgewählten Gebieten 204 der zweiten Metallisierungsebene hergestellt wurde. Typischerweise wird bei diesem Prozess auch die fünfte Barriereschicht 212, die ausgewählte horizontale Flächen 210 der ersten dielektrischen Zwischenebene erster Dicke 194 bedeckt, mit gleicher Form durch die sechste Barriereschicht 214 beschichtet. Dann wird die sechste Barriereschicht 214 in der horizontalen Richtung anisotrop geätzt, um sie dort, wo sie auf den ausgewählten Gebieten 204 der zweiten Metallisierungsebene abgeschieden ist, selektiv zu entfernen. Bei diesem Ätzprozess wird die sechste Barriereschicht 214 von der fünften Barriereschicht 212 entfernt, wo sie über ausgewählten horizontalen Flächen 210 der zweiten dielektrischen Zwischenebene erster Dicke 194 liegt, und von der fünften Barriereschicht 212, wo sie über der zweiten dielektrischen Zwischenebene 192 liegt. Die zweite Durchführung 200 und der zweite Graben 206 verfügen über Barriere-Seitenwandflächen 214, und sie werden so hergestellt, dass sie die zweite Metallisierungsebene 188 direkt mit einer anschließend abgeschiedenen Metallisierungsebene (nicht dargestellt) verbinden.
  • Gemäß einigen Erscheinungsformen der Erfindung sind die Materialien der ersten Barriereschicht 164 und der zweiten Barriereschicht 168 nichtleitend, und das Material der dritten Barriereschicht 186 ist aus der aus leitenden und nichtleitenden Materialien bestehenden Gruppe ausgewählt. Die Materialien der nichtleitenden Barriereschicht werden aus der aus Si3N4 und TiO bestehenden Gruppe ausgewählt. Das Material der leitenden Barriereschicht wird aus der aus hochschmelzenden Materialien und Verbindungen hochschmelzender Metalle bestehenden Gruppe, einschließlich TiN, WN und TaN, ausgewählt. Die erste Metallisierungsebene 162 und die zweite Metallisierungsebene 188 bestehen typischerweise aus Kupfer.
  • Die 19 ist ein Flussdiagramm zum Veranschaulichen von Schritten bei einem Verfahren zum Herstellen einer Zwischenverbindung niedrigen Widerstands zwischen Metallisierungsebenen unter Verwendung eines Feinstrukturierprozesses. In einem Schritt 210 wird ein IC mit einem Feinstruktur-Zwischenverbindungsgraben hergestellt, der über Seitenwände verfügt und durch eine dielektrische Zwischenebene zweiter Dicker verläuft, wobei ausgewählte Gebiete einer dielektrischen Zwischenebene erster Dicke freigelegt werden. Die dielektrische Zwischenebene liegt über einer Metallisierungsebene. In einem Schritt 222 wird ein isolierendes Barriereschichtmaterial über dem Graben anisotrop abgeschieden, um eine isolierende Barriereschicht über den ausgewählten Gebieten der dielektrischen Zwischenebene erster Dicke auszubilden. In einem Schritt 224 werden ausgewählte obere Gebiete der isolierenden Barriereschicht und der dielektrischen Zwischenebene erster Dicke geätzt, um Seitenwandflächen der dielektrischen Zwischenebene erster Dicke sowie ausgewählter Gebiete einer Metallisierungsebene freizulegen, wodurch eine Durchführung vom Graben zu den ausgewählten Gebieten der Metallisierungsebene erzeugt wird. Gemäß einigen Erscheinungsformen der Erfindung gehört es zum Schritt 224, ausgewählte Gebiete eines Metallisierungsebenematerials freizulegen, das aus der aus Aluminium, Aluminium-Kupfer-Legierungen und Wolfram bestehenden Gruppe ausgewählt wurde. In einem Schritt 226 wird ein leitendes Barriereschichtmaterial über dem Graben und der Durchführung mit gleicher Form abgeschieden, um an den Seitenwandflächen der dielektrischen Zwischenebene erster und zweiter Dicke und den ausgewählten Gebieten der Metallisierungsebene eine leitende Barriereschicht auszubilden. Im Schritt 228 erfolgt ein anisotropes Ätzen zum selektiven Entfernen der leitenden Barriereschicht über den ausgewählten Gebieten der Metallisierungsebene, jedoch nicht der leitenden Barriereschicht über den Seitenwandflächen der dielektrischen Zwischenebene erster und zweiter Dicke. Im Schritt 230 geht es um ein Erzeugnis aus einem IC-Feinstrukturprozess, nämlich eine Durchführung und einen Graben mit Barriere-Seitenwandflächen, die so hergestellt wurden, dass sie die Metallisierungsebene direkt mit einer anschließenden Metallisierungsebene aus Kupfer verbinden.
  • Die 20 ist eine detailliertere Version des Flussdiagramms der 19 zum Veranschaulichen von Schritten bei einem Verfahren zum Herstellen einer Doppel-Feinstruktur-Zwischenverbindung niedrigen Widerstands zwischen Metallisierungsebenen. In einem Schritt 240 wird ein integrierter Schaltkreis mit einer ersten horizontalen Metallisierungsebene, einer ersten Barriereschicht über dieser und einer ersten dielektrischen Zwischenebene über der ersten Barriereschicht bereitgestellt, wobei die erste dielektrische Zwischenebene über eine erste Dicke und eine zweite Dicke über der ersten Dicke verfügt. In einem Schritt 242 werden ausgewählte Gebiete der ersten dielektrischen Zwischenebene zweiter Dicke geätzt, um einen Feinstruktur-Zwischenverbindungsgraben auszubilden und vertikale Seitenwandflächen der ersten dielektrischen Zwischenebene zweiter Dicke sowie ausgewählte horizontale Flächen der ersten dielektrischen Zwischenebene erster Dicke freizulegen. In einem Schritt 294 wird eine zweite Barriereschicht auf anisotrope Weise in einer horizontalen Richtung auf der ersten dielektrischen Zwischenebene so abgeschieden, dass sie über den ausgewählten horizontalen Flächen der ersten dielektrischen Zwischenebene erster Dicke liegt, die im Schritt 242 freigelegt wurden, und sie die vertikalen Seitenwandflächen der ersten dielektrischen Zwischenebene zweiter Dicke minimal bedeckt. In einem Schritt 246 werden ausgewählte obere Gebiete der im Schritt 244 abgeschiedenen zweiten Barriereschicht und ausgewählte horizontale Flächen der ersten dielektrischen Zwischenebene erster Dicke sowie die erste Barriereschicht geätzt, um vertikale Seitenwandflächen der ersten dielektrischen Zwischenebene erster Dicke sowie ausgewählte Gebiete der ersten Metallisierungsebene freizulegen, wodurch eine Durchführung vom Graben zu den ausgewählten Gebieten der ersten Metallisierungsebene gebildet wird.
  • In einem Schritt 242 wird eine dritte Barriereschicht auf den im Schritt 242 freigelegten vertikalen Seitenwandflächen der ersten dielektrischen Zwischenebene zweiter Dicke, der im Schritt 249 abgeschiedenen zweiten Barriereschicht und den ausgewählten Gebieten der ersten Metallisierungsebene und den vertikalen Seitenwandflächen der ersten dielektrischen Zwischenebene erster Dicke, wie im Schritt 246 freigelegt, mit gleicher Form abgeschieden. In einem Schritt 250 erfolgt ein anisotropes Ätzen in der horizontalen Richtung zum selektiven Entfernen der dritten Barriereschicht über den ausgewählten Gebieten der ersten Metallisierungsebene, jedoch nicht der dritten Barriereschicht über den vertikalen Seitenwandflächen der ersten dielektrischen Zwischenebene erster und zweiter Dicke. Im Schritt 252 geht es um ein Erzeugnis, nämlich eine Durchführung und einen Graben, die durch einen IC-Feinstrukturierprozess hergestellt wurden und über Barriere-Seitenwandflächen verfügen, wobei sie so hergestellt wurden, dass sie die erste Metallisie rungsebene mit einer anschließend abgeschiedenen Metallisierungsebene verbinden.
  • Zu weiteren Erscheinungsformen der Erfindung gehört ein weiterer Schritt, der auf den Schritt 250 folgt, bei dem eine zweite Metallisierungsebene über der zweiten Barriereschicht abgeschieden wird, der im Schritt 242 ausgebildete Graben und die im Schritt 244 ausgebildete Durchführung aufgefüllt werden, um eine Verbindung mit den ausgewählten Gebieten der ersten Metallisierungsebene herzustellen. Zwischen Metallisierungsebenen wird eine Verbindung minimalen Widerstands, ohne dazwischen liegende Barriereschicht, hergestellt. In weiteren Schritten erfolgt ein chemisch-mechanisches Polieren der zweiten Metallisierungsebene sowie eine Abscheidung einer vierten Barriereschicht über der zweiten Metallisierungsebene und einer zweiten dielektrischen Zwischenebene über der vierten Barriereschicht. In einem folgenden Schritt werden die Schritte des oben beschriebenen und in der 20 veranschaulichten Verfahrens wiederholt, um zwischen der zweiten Metallisierungsebene und einer anschließend abgeschiedenen dritten Metallisierungsebene eine Verbindung niedrigen Widerstands auszubilden, wodurch mehrere Metallisierungsebenen im IC miteinander verbunden werden.
  • Das erste und das zweite Barriereschichtmaterial sowie die entsprechenden Barriereschichtmaterialien von Zwischenverbindungen in anderen IC-Ebenen sind nichtleitend. Das dritte Barriereschichtmaterial und das entsprechende Barriereschichtmaterial anderer Zwischenverbindungsebenen im IC werden aus der aus leitenden und nichtleitenden Materialien bestehenden Gruppe ausgewählt. Das nichtleitende Barriereschichtmaterial wird aus der aus Si3N4 und TiO bestehenden Gruppe ausgewählt. Das leitende Barriereschichtmaterial wird aus der aus hochschmelzenden Metallen und Verbindungen hochschmelzender Metalle bestehenden Gruppe, einschließlich TiN, WN und TaN ausgewählt. Die erste und die zweite Metallisierungsebene bestehen typischerweise aus Kupfer.
  • Die Erfindung offenbart zwei Verfahren zum Herstellen neuartiger Zwischenverbindungen zwischen Metallisierungsebenen aus Kupfer in einem IC. Der durch diese Verfahren geschaffene Hauptvorteil besteht in der Beseitigung der leitenden Barriereschicht zwischen Kupfer-Zwischenebenen, was für die meisten IC-Kupferstrukturen typisch ist. Der direkte Kontakt von Kupferebenen sorgt für eine Zwischenverbindung, die um ein Vielfaches besser leitet als eine Zwischenverbindung unter Verwendung einer Barriereschicht. Zum Verfahren ge hören relativ einfache IC-Prozesse einer konformen Abscheidung und eines anisotropen Ätzens. Für den Fachmann sind andere Ausführungsformen und Variationen der Erfindung ersichtlich.

Claims (8)

  1. Verfahren zum Herstellen, in einem integrierten Schaltkreis (IC) mit einer ersten Metallebene (162), einer ersten Barriereschicht (164) über derselben sowie einer ersten dielektrischen Zwischenebene (166) über der ersten Barriereschicht (164), wobei diese erste dielektrische Zwischenebene (166) über eine erste Dicke (168) und eine zweite Dicke (170) über der ersten Dicke verfügt, einer Doppel-Feinstruktur-Verbindung zwischen Metallebenen mit den folgenden Schritten: a) Ätzen ausgewählter Gebiete der ersten dielektrischen Zwischenebene (170) zweiter Dicke, Herstellen eines Feinstruktur-Verbindungsgrabens (172), und Freilegen vertikaler Seitenwandflächen (174) der ersten dielektrischen Zwischenebene (170) zweiter Dicke sowie ausgewählter horizontaler Flächen der ersten dielektrischen Zwischenebene (168) erster Dicke; b) anisotropes Abscheiden, in horizontaler Richtung, einer zweiten Barriereschicht (178) über der ersten dielektrischen Zwischenebene (166) in solcher Weise, dass sie über der im Schritt a) freigelegten, ausgewählten horizontalen Fläche der ersten dielektrischen Zwischenebene (168) erster Dicke liegt, wobei sie die vertikalen Seitenflächen der ersten dielektrischen Zwischenebene (170) zweiter Dicke minimal bedeckt; c) Ätzen ausgewählter, im Schritt b) abgeschiedener, oben liegender Gebiete der zweiten Barriereschicht (178), ausgewählter, horizontaler Flächen der ersten dielektrischen Zwischenebene (168) erster Dicke, und der ersten Barriereschicht (164), wobei vertikale Seitenwandflächen der ersten dielektrischen Zwischenebene (168) erster Dicke sowie ausgewählte Gebiete der ersten Metallebene frei gelegt werden, wodurch vom Graben zu den ausgewählten Gebieten der ersten Metallebene eine Durchführung erzeugt wird; d) Abschalten einer dritten Barriereschicht (186) mit gleicher Form über den vertikalen Seitenwandflächen der im Schritt a) frei gelegten ersten dielektrischen Zwischenebene (170) zweiter Dicke, der im Schritt b) abgeschiedenen zweiten Barriereschicht (178) und den ausgewählten Gebieten der ersten Metallebene und der vertikalen Seitenfläche der im Schritt c) frei gelegten dielektrischen Zwischenebene (168) erster Dicke; e) anisotropes Ätzen, in horizontaler Richtung, zum selektiven Entfernen der dritten Barriereschicht (186) über den ausgewählten Gebieten der ersten Metallebene, jedoch nicht der dritten Barriereschicht (186) über den vertikalen Seitenwandflächen der ersten dielektrischen Zwischenebene erster Dicke (168) und zweiter Dicke (170), wodurch eine Feinstrukturierprozess-Durchführung und ein Graben (172) mit Barriereflächen-Seitenwänden so erzeugt werden, dass sie die erste Metallebene mit einer anschließend abgeschiedenen Metallebene verbinden.
  2. Verfahren nach Anspruch 1, mit dem weiteren Schritt, folgend auf den Schritt e) des: f) Abscheidens einer zweiten Metallebene (188) über der zweiten Barriereschicht (178), um den im Schritt a) hergestellten Graben (172) und die im Schritt b) hergestellte Durchführung aufzufüllen, um eine Verbindung mit der ersten Metallebene (162) herzustellen, wodurch zwischen den Metallebenen eine Verbindung mit minimalem Widerstand, ohne eine dazwischen liegende Barriereschicht, gebildet ist.
  3. Verfahren nach Anspruch 2, mit den weiteren Schritten, folgend auf den Schritt f), des: g) Ausführens eines chemisch-mechanischen Polierens (CMP) der zweiten Metallebene; h) Abscheidens einer vierten Barriereschicht (190) über der zweiten Metallebene (188) sowie einer zweiten dielektrischen Zwischenebene (192) über der vierten Barriereschicht (190); j) Wiederholens der Schritte des Verfahrens, wie sie als Schritte a) bis f) angegeben sind, um zwischen der zweiten Metallebene (188) und einer anschließend abgeschiedenen Metallebene eine Verbindung niedrigen Widerstands auszubilden, wodurch mehrere Metallebenen im IC miteinander verbunden werden.
  4. Verfahren nach Anspruch 1, bei dem die Materialien der ersten und der zweiten Barriereschicht (164, 178) nichtleitende Materialien sind und das Material der dritten Barriereschicht (186) aus der aus leitenden und nichtleitenden Materialien bestehenden Gruppe ausgewählt wird.
  5. Verfahren nach Anspruch 4, bei der das Material der nichtleitenden Barriereschicht aus der aus Si3N4 und TiO bestehenden Gruppe ausgewählt wird.
  6. Verfahren nach Anspruch 4, bei dem das Material der leitenden Barriereschicht aus der aus hochschmelzenden Metallen und hochschmelzenden Metallverbindungen, einschließlich TiN, WN und TaN, ausgewählt wird.
  7. Verfahren nach Anspruch 1, bei dem die erste Metallebene aus Kupfer besteht.
  8. Verfahren nach Anspruch 2, bei dem die erste und die zweite Metallebene aus Kupfer bestehen.
DE69834868T 1997-07-17 1998-07-15 Verfahren zum Herstellen von Kontakten mit niedrigem Widerstand zwischen Metallisierungsschichten eines integrierten Schaltkreises und dadurch hergestellte Strukturen Expired - Fee Related DE69834868T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/896,114 US5904565A (en) 1997-07-17 1997-07-17 Low resistance contact between integrated circuit metal levels and method for same
US896114 1997-07-17

Publications (2)

Publication Number Publication Date
DE69834868D1 DE69834868D1 (de) 2006-07-27
DE69834868T2 true DE69834868T2 (de) 2007-05-16

Family

ID=25405648

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69834868T Expired - Fee Related DE69834868T2 (de) 1997-07-17 1998-07-15 Verfahren zum Herstellen von Kontakten mit niedrigem Widerstand zwischen Metallisierungsschichten eines integrierten Schaltkreises und dadurch hergestellte Strukturen

Country Status (6)

Country Link
US (2) US5904565A (de)
EP (1) EP0892428B1 (de)
JP (1) JP4023701B2 (de)
KR (1) KR100275099B1 (de)
DE (1) DE69834868T2 (de)
TW (1) TW382798B (de)

Families Citing this family (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09153545A (ja) * 1995-09-29 1997-06-10 Toshiba Corp 半導体装置及びその製造方法
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6140237A (en) * 1997-06-16 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer
SG70654A1 (en) * 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
TW427014B (en) * 1997-12-24 2001-03-21 United Microelectronics Corp The manufacturing method of the capacitors of DRAM
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6303489B1 (en) * 1998-06-03 2001-10-16 Advanced Micro Devices, Inc. Spacer - defined dual damascene process method
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6204166B1 (en) * 1998-08-21 2001-03-20 Micron Technology, Inc. Method for forming dual damascene structures
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
DE19843624C1 (de) 1998-09-23 2000-06-15 Siemens Ag Integrierte Schaltungsanordnung und Verfahren zu deren Herstellung
US6169024B1 (en) * 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
US6306732B1 (en) * 1998-10-09 2001-10-23 Advanced Micro Devices, Inc. Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
US6080663A (en) * 1998-11-13 2000-06-27 United Microelectronics Corp. Dual damascene
US6197690B1 (en) * 1998-12-04 2001-03-06 Advanced Micro Devices, Inc. Chemically preventing Cu dendrite formation and growth by double sided scrubbing
TW404007B (en) * 1998-12-16 2000-09-01 United Microelectronics Corp The manufacture method of interconnects
US6417094B1 (en) * 1998-12-31 2002-07-09 Newport Fab, Llc Dual-damascene interconnect structures and methods of fabricating same
US6030896A (en) * 1999-04-21 2000-02-29 National Semiconductor Corporation Self-aligned copper interconnect architecture with enhanced copper diffusion barrier
US6329280B1 (en) * 1999-05-13 2001-12-11 International Business Machines Corporation Interim oxidation of silsesquioxane dielectric for dual damascene process
US6251770B1 (en) 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6326301B1 (en) * 1999-07-13 2001-12-04 Motorola, Inc. Method for forming a dual inlaid copper interconnect structure
SG93856A1 (en) * 1999-07-19 2003-01-21 Chartered Semiconductor Mfg A selective & damage free cu cleaning process for pre-dep, post etch/cmp
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6221780B1 (en) * 1999-09-29 2001-04-24 International Business Machines Corporation Dual damascene flowable oxide insulation structure and metallic barrier
US6156655A (en) * 1999-09-30 2000-12-05 United Microelectronics Corp. Retardation layer for preventing diffusion of metal layer and fabrication method thereof
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6096649A (en) * 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6211061B1 (en) 1999-10-29 2001-04-03 Taiwan Semiconductor Manufactuirng Company Dual damascene process for carbon-based low-K materials
US6417106B1 (en) 1999-11-01 2002-07-09 Taiwan Semiconductor Manufacturing Company Underlayer liner for copper damascene in low k dielectric
US6350667B1 (en) 1999-11-01 2002-02-26 Taiwan Semiconductor Manufacturing Company Method of improving pad metal adhesion
US6191023B1 (en) 1999-11-18 2001-02-20 Taiwan Semiconductor Manufacturing Company Method of improving copper pad adhesion
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6352938B2 (en) * 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6103569A (en) * 1999-12-13 2000-08-15 Chartered Semiconductor Manufacturing Ltd. Method for planarizing local interconnects
JP3457277B2 (ja) * 1999-12-15 2003-10-14 沖電気工業株式会社 半導体装置および半導体装置の製造方法
KR100326252B1 (ko) * 1999-12-28 2002-03-08 박종섭 듀얼 대머신 공정을 사용한 기상의 유전체를 갖는메탈라인 형성방법
US6242344B1 (en) 2000-02-07 2001-06-05 Institute Of Microelectronics Tri-layer resist method for dual damascene process
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6777331B2 (en) * 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
JP2003528467A (ja) 2000-03-20 2003-09-24 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体装置およびその製造方法
JP2001291720A (ja) 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6566258B1 (en) * 2000-05-10 2003-05-20 Applied Materials, Inc. Bi-layer etch stop for inter-level via
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6576550B1 (en) 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
JP2004503089A (ja) * 2000-06-30 2004-01-29 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 銅のメタライゼーションに関するビアファーストのデュアルダマシン法
US6573148B1 (en) 2000-07-12 2003-06-03 Koninklljke Philips Electronics N.V. Methods for making semiconductor inductor
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6383920B1 (en) 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US6472757B2 (en) * 2001-01-11 2002-10-29 Advanced Micro Devices, Inc. Conductor reservoir volume for integrated circuit interconnects
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6756672B1 (en) * 2001-02-06 2004-06-29 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of low-k dielectric layers
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
EP1233449A3 (de) * 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum Verfahren zur Herstellung einer Halbleitervorrichtung
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US7781327B1 (en) * 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6531887B2 (en) 2001-06-01 2003-03-11 Macronix International Co., Ltd. One cell programmable switch using non-volatile cell
US6577161B2 (en) 2001-06-01 2003-06-10 Macronix International Co., Ltd. One cell programmable switch using non-volatile cell with unidirectional and bidirectional states
US6545504B2 (en) 2001-06-01 2003-04-08 Macronix International Co., Ltd. Four state programmable interconnect device for bus line and I/O pad
US6531780B1 (en) * 2001-06-27 2003-03-11 Advanced Micro Devices, Inc. Via formation in integrated circuit interconnects
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP4585719B2 (ja) * 2001-08-24 2010-11-24 株式会社アルバック エッチング方法
US6734097B2 (en) * 2001-09-28 2004-05-11 Infineon Technologies Ag Liner with poor step coverage to improve contact resistance in W contacts
JP2005512312A (ja) * 2001-10-11 2005-04-28 エピオン コーポレイション 相互接続バイアを改善するためのgcib処理および改善された相互接続バイア
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
KR20030054783A (ko) * 2001-12-26 2003-07-02 동부전자 주식회사 반도체 소자의 제조방법
KR100464267B1 (ko) * 2001-12-31 2005-01-03 동부전자 주식회사 반도체 소자의 구리 배선 형성 방법
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6693356B2 (en) * 2002-03-27 2004-02-17 Texas Instruments Incorporated Copper transition layer for improving copper interconnection reliability
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6887786B2 (en) * 2002-05-14 2005-05-03 Applied Materials, Inc. Method and apparatus for forming a barrier layer on a substrate
US6657304B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6919639B2 (en) * 2002-10-15 2005-07-19 The Board Of Regents, The University Of Texas System Multiple copper vias for integrated circuit metallization and methods of fabricating same
US6833320B2 (en) * 2002-11-04 2004-12-21 Intel Corporation Removing sacrificial material by thermal decomposition
DE10306314B3 (de) * 2003-02-14 2004-10-28 Infineon Technologies Ag Verfahren zur Erzeugung leitfähiger Strukturen mit Submikrometerabmessungen mittels elektrochemischer Abscheidung
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) * 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US6740392B1 (en) * 2003-04-15 2004-05-25 Micron Technology, Inc. Surface barriers for copper and silver interconnects produced by a damascene process
US7352053B2 (en) * 2003-10-29 2008-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Insulating layer having decreased dielectric constant and increased hardness
US7071100B2 (en) * 2004-02-27 2006-07-04 Kei-Wei Chen Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
US7119018B2 (en) * 2004-07-09 2006-10-10 International Buisness Machines Corporation Copper conductor
CN100356545C (zh) * 2004-09-21 2007-12-19 中芯国际集成电路制造(上海)有限公司 在半导体器件的双镶嵌结构中降低接触电阻的方法和结构
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
KR100637965B1 (ko) * 2004-12-22 2006-10-23 동부일렉트로닉스 주식회사 Fsg 절연막을 이용한 반도체 소자의 금속 배선 형성 방법
US7476602B2 (en) * 2005-01-31 2009-01-13 Texas Instruments Incorporated N2 based plasma treatment for enhanced sidewall smoothing and pore sealing porous low-k dielectric films
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US7365009B2 (en) * 2006-01-04 2008-04-29 United Microelectronics Corp. Structure of metal interconnect and fabrication method thereof
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
US7435674B2 (en) * 2006-03-27 2008-10-14 International Business Machines Corporation Dielectric interconnect structures and methods for forming the same
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
JP4878518B2 (ja) * 2006-07-28 2012-02-15 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2008060243A (ja) * 2006-08-30 2008-03-13 Nec Electronics Corp 半導体装置およびその製造方法
KR100792358B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8653664B2 (en) * 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
CN102437089B (zh) * 2011-07-12 2014-05-28 上海华力微电子有限公司 一种铜后道互连工艺
KR20130056014A (ko) * 2011-11-21 2013-05-29 삼성전자주식회사 듀얼 다마신 배선 구조체를 포함하는 반도체 소자
US8895436B2 (en) 2012-12-05 2014-11-25 International Business Machines Corporation Implementing enhanced power supply distribution and decoupling utilizing TSV exclusion zone
US20150162277A1 (en) * 2013-12-05 2015-06-11 International Business Machines Corporation Advanced interconnect with air gap
KR102264160B1 (ko) * 2014-12-03 2021-06-11 삼성전자주식회사 비아 구조체 및 배선 구조체를 갖는 반도체 소자 제조 방법
US10985055B2 (en) * 2015-12-30 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with anti-adhesion layer
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US9966308B2 (en) 2016-10-04 2018-05-08 International Business Machines Corporation Semiconductor device and method of forming the semiconductor device
CN108470716A (zh) * 2018-05-18 2018-08-31 上海华虹宏力半导体制造有限公司 消除金属缺陷引起的器件短路的方法及半导体结构
US11387271B2 (en) * 2019-12-17 2022-07-12 Texas Instruments Incorporated Optical sensor with trench etched through dielectric over silicon

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5117273A (en) * 1990-11-16 1992-05-26 Sgs-Thomson Microelectronics, Inc. Contact for integrated circuits
JP3139781B2 (ja) * 1991-08-07 2001-03-05 沖電気工業株式会社 半導体装置およびその製造方法
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5413962A (en) * 1994-07-15 1995-05-09 United Microelectronics Corporation Multi-level conductor process in VLSI fabrication utilizing an air bridge
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
US5529953A (en) * 1994-10-14 1996-06-25 Toshiba America Electronic Components, Inc. Method of forming studs and interconnects in a multi-layered semiconductor device
US5686354A (en) * 1995-06-07 1997-11-11 Advanced Micro Devices, Inc. Dual damascene with a protective mask for via etching
US5602053A (en) * 1996-04-08 1997-02-11 Chartered Semidconductor Manufacturing Pte, Ltd. Method of making a dual damascene antifuse structure

Also Published As

Publication number Publication date
EP0892428B1 (de) 2006-06-14
DE69834868D1 (de) 2006-07-27
US6023102A (en) 2000-02-08
TW382798B (en) 2000-02-21
EP0892428A3 (de) 1999-11-24
KR100275099B1 (ko) 2001-02-01
KR19990013927A (ko) 1999-02-25
US5904565A (en) 1999-05-18
JPH1187509A (ja) 1999-03-30
EP0892428A2 (de) 1999-01-20
JP4023701B2 (ja) 2007-12-19

Similar Documents

Publication Publication Date Title
DE69834868T2 (de) Verfahren zum Herstellen von Kontakten mit niedrigem Widerstand zwischen Metallisierungsschichten eines integrierten Schaltkreises und dadurch hergestellte Strukturen
DE69836114T2 (de) Kupferverdrahtung mit verbessertem Elektromigrationswiderstand und reduzierter Defektempfindlichkeit
DE112007002215B4 (de) Dielektrische Abstandshalter für Metallverbindungen und Verfahren zu ihrer Herstellung
DE10194958B4 (de) Verfahren zur Herstellung einer Sperr-/ Haftschicht und einer Kristallkeimschicht in einer integrierten Schaltkreisanordnung und zugehörige integrierte Schaltkreisanordnung
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE10256346B4 (de) Halbleiterbauelement mit MIM-Kondensator und Zwischenverbindung und Herstellungsverfahren dafür
DE102005039323B4 (de) Leitbahnanordnung sowie zugehöriges Herstellungsverfahren
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102008007001A1 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102008016431B4 (de) Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen sowie Verfahren zu ihrer Herstellung
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102005057076A1 (de) Technik zum Verbessern der Haftung von Metallisierungsschichten durch Vorsehen von Platzhalterkontaktdurchführungen
DE102010063775B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktbalken und Metallleitungen mit vergrößerten Aufnahmegebieten für Kontaktdurchführungen
DE102007057682A1 (de) Hybridkontaktstruktur mit Kontakt mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE10244570A1 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE19531602C2 (de) Verbindungsstruktur einer Halbleitereinrichtung und ihr Herstellungsverfahren
DE60126837T2 (de) Metall-zu-metall-antihaftstruktur und herstellungsverfahren
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee