DE60036510D1 - EUV-lithographische Projektionsvorrichtung mit einem optischen Element mit Deckschicht - Google Patents

EUV-lithographische Projektionsvorrichtung mit einem optischen Element mit Deckschicht

Info

Publication number
DE60036510D1
DE60036510D1 DE60036510T DE60036510T DE60036510D1 DE 60036510 D1 DE60036510 D1 DE 60036510D1 DE 60036510 T DE60036510 T DE 60036510T DE 60036510 T DE60036510 T DE 60036510T DE 60036510 D1 DE60036510 D1 DE 60036510D1
Authority
DE
Germany
Prior art keywords
optical element
cover layer
projection device
lithographic projection
euv lithographic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60036510T
Other languages
English (en)
Other versions
DE60036510T2 (de
Inventor
Mandeep Singh
Hugo Matthieu Visser
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Application granted granted Critical
Publication of DE60036510D1 publication Critical patent/DE60036510D1/de
Publication of DE60036510T2 publication Critical patent/DE60036510T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70233Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/061Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements characterised by a multilayer structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S359/00Optical: systems and elements
    • Y10S359/90Methods
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Epidemiology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Nanotechnology (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Optical Filters (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Surface Treatment Of Glass (AREA)
DE60036510T 1999-07-02 2000-06-28 EUV-lithographische Projektionsvorrichtung mit einem optischen Element mit Deckschicht Expired - Lifetime DE60036510T2 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP99305283 1999-07-02
EP99305283 1999-07-02
EP99307932 1999-10-07
EP99307932 1999-10-07

Publications (2)

Publication Number Publication Date
DE60036510D1 true DE60036510D1 (de) 2007-11-08
DE60036510T2 DE60036510T2 (de) 2008-06-19

Family

ID=26153524

Family Applications (2)

Application Number Title Priority Date Filing Date
DE60018328T Expired - Lifetime DE60018328T2 (de) 1999-07-02 2000-06-28 Mehrschichtiger Spiegel mit erhöhter Reflektivität für Extrem-Ultraviolett-Strahlung und lithographische Projektionsvorrichtung mit einem solchen Spiegel
DE60036510T Expired - Lifetime DE60036510T2 (de) 1999-07-02 2000-06-28 EUV-lithographische Projektionsvorrichtung mit einem optischen Element mit Deckschicht

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE60018328T Expired - Lifetime DE60018328T2 (de) 1999-07-02 2000-06-28 Mehrschichtiger Spiegel mit erhöhter Reflektivität für Extrem-Ultraviolett-Strahlung und lithographische Projektionsvorrichtung mit einem solchen Spiegel

Country Status (6)

Country Link
US (4) US6449086B1 (de)
EP (3) EP1065568B1 (de)
JP (2) JP4068285B2 (de)
KR (2) KR100599940B1 (de)
DE (2) DE60018328T2 (de)
TW (2) TWI267704B (de)

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI267704B (en) * 1999-07-02 2006-12-01 Asml Netherlands Bv Capping layer for EUV optical elements
US7261957B2 (en) 2000-03-31 2007-08-28 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
US20070281109A1 (en) * 2000-03-31 2007-12-06 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
DE10016008A1 (de) 2000-03-31 2001-10-11 Zeiss Carl Villagensystem und dessen Herstellung
JP5371162B2 (ja) * 2000-10-13 2013-12-18 三星電子株式会社 反射型フォトマスク
US6479830B1 (en) 2000-11-01 2002-11-12 Trw Inc. Low-sputter-yield coating for hardware near laser-produced plasma
US6664554B2 (en) * 2001-01-03 2003-12-16 Euv Llc Self-cleaning optic for extreme ultraviolet lithography
US6576912B2 (en) * 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
JP2004519868A (ja) * 2001-04-17 2004-07-02 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Euvに透明な境界構造
US7405416B2 (en) * 2005-02-25 2008-07-29 Cymer, Inc. Method and apparatus for EUV plasma source target delivery
US7439530B2 (en) 2005-06-29 2008-10-21 Cymer, Inc. LPP EUV light source drive laser system
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US7378673B2 (en) * 2005-02-25 2008-05-27 Cymer, Inc. Source material dispenser for EUV light source
US7372056B2 (en) * 2005-06-29 2008-05-13 Cymer, Inc. LPP EUV plasma source material target delivery system
KR100725859B1 (ko) * 2001-05-23 2007-06-08 학교법인 한양학원 극자외선 노광 공정용 Ru/Mo/Si 반사형 다층 박막미러
US20030064161A1 (en) * 2001-06-06 2003-04-03 Malinowski Michael E. Method for reducing carbon contamination of multilayer mirrors
TWI266959B (en) 2001-06-20 2006-11-21 Asml Netherlands Bv Device manufacturing method, device manufactured thereby and a mask for use in the method
WO2003005377A2 (en) * 2001-07-03 2003-01-16 The Regents Of The University Of California Passivating overcoat bilayer
US20030008148A1 (en) * 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
DE10150874A1 (de) * 2001-10-04 2003-04-30 Zeiss Carl Optisches Element und Verfahren zu dessen Herstellung sowie ein Lithographiegerät und ein Verfahren zur Herstellung eines Halbleiterbauelements
KR100454081B1 (ko) * 2001-12-24 2004-10-20 학교법인 한양학원 반사형 다층 박막 미러 및 그 제조 방법
US6920199B2 (en) * 2002-02-20 2005-07-19 Gkss-Forschungszentrum Geesthacht Gmbh Mirror element for the reflection of x-rays
DE10208705B4 (de) * 2002-02-25 2008-10-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Monochromatorspiegel für den EUV-Spektralbereich
ATE310311T1 (de) * 2002-03-04 2005-12-15 Fraunhofer Ges Forschung Methode zur auswahl der schichtdicken eines reflektierenden elements für elektromagnetische strahlung im extremen ultravioletten bereich
US20050111083A1 (en) * 2002-03-27 2005-05-26 Yakshin Andrey E. Optical broad band element and process for its production
KR100455383B1 (ko) * 2002-04-18 2004-11-06 삼성전자주식회사 반사 포토마스크, 반사 포토마스크의 제조방법 및 이를이용한 집적회로 제조방법
EP1369744A1 (de) * 2002-06-06 2003-12-10 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
TWI227380B (en) 2002-06-06 2005-02-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
CN1492284A (zh) * 2002-06-14 2004-04-28 Asml 有光学元件的光刻投射装置、器件的生产方法及其器件
DE10235255B4 (de) * 2002-08-01 2004-08-12 Infineon Technologies Ag Reflektierender Spiegel zur lithographischen Belichtung und Herstellungsverfahren
JP3837405B2 (ja) * 2002-08-28 2006-10-25 エイエスエムエル ネザランドズ ベスローテン フエンノートシャップ リソグラフィ装置およびデバイス製造方法
EP1394815B1 (de) * 2002-08-28 2011-06-22 ASML Netherlands B.V. Lithographiegerät mit einem Mo/Si Mehrfachschichtenspiegel mit einer Schutzschicht
US6763086B2 (en) * 2002-09-05 2004-07-13 Osmic, Inc. Method and apparatus for detecting boron in x-ray fluorescence spectroscopy
DE10258709A1 (de) * 2002-12-12 2004-07-01 Carl Zeiss Smt Ag Schutzsystem für reflektive optische Elemente, reflektives optisches Element und Verfahren zu deren Herstellung
US6982133B2 (en) * 2002-12-21 2006-01-03 Intel Corporation Damage-resistant coatings for EUV lithography components
TWI286674B (en) 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
DE10309084A1 (de) 2003-03-03 2004-09-16 Carl Zeiss Smt Ag Reflektives optisches Element und EUV-Lithographiegerät
US7126671B2 (en) 2003-04-04 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE10319005A1 (de) * 2003-04-25 2004-11-25 Carl Zeiss Smt Ag Reflektives optisches Element, optisches System und EUV-Lithographievorrichtung
JP4521696B2 (ja) * 2003-05-12 2010-08-11 Hoya株式会社 反射多層膜付き基板及び反射型マスクブランクス並びに反射型マスク
US7499149B2 (en) 2003-06-24 2009-03-03 Asml Netherlands B.V. Holographic mask for lithographic apparatus and device manufacturing method
EP1496521A1 (de) * 2003-07-09 2005-01-12 ASML Netherlands B.V. Spiegel und Lithographiegerät mit Spiegel
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
US6998202B2 (en) * 2003-07-31 2006-02-14 Intel Corporation Multilayer reflective extreme ultraviolet lithography mask blanks
JP2005056943A (ja) * 2003-08-08 2005-03-03 Canon Inc X線多層ミラーおよびx線露光装置
US7420653B2 (en) * 2003-10-02 2008-09-02 Asml Netherlands B.V. Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly
EP1675164B2 (de) * 2003-10-15 2019-07-03 Nikon Corporation Mehrschichtiger filmreflexionsspiegel, herstellungsverfahren für einen mehrschichtigen filmreflexionsspiegel und belichtungssystem
EP1624467A3 (de) * 2003-10-20 2007-05-30 ASML Netherlands BV Lithographiegerät und Verfahren zur Herstellung eines Bauteils
US20050109278A1 (en) * 2003-11-26 2005-05-26 Ted Liang Method to locally protect extreme ultraviolet multilayer blanks used for lithography
US7460206B2 (en) * 2003-12-19 2008-12-02 Carl Zeiss Smt Ag Projection objective for immersion lithography
US7081992B2 (en) 2004-01-16 2006-07-25 Euv Llc Condenser optic with sacrificial reflective surface
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4693395B2 (ja) * 2004-02-19 2011-06-01 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
US7196342B2 (en) * 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
JP4566791B2 (ja) * 2004-03-26 2010-10-20 キヤノン株式会社 軟x線多層膜反射鏡
JP4532991B2 (ja) * 2004-05-26 2010-08-25 キヤノン株式会社 投影光学系、露光装置及びデバイス製造方法
US7491478B2 (en) * 2004-07-23 2009-02-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
DE102004043516A1 (de) 2004-09-08 2006-03-23 Osram Opto Semiconductors Gmbh Seitlich emittierendes strahlungserzeugendes Bauelement und Linse für ein solches Bauelement
US7092290B2 (en) * 2004-11-16 2006-08-15 Sandisk Corporation High speed programming system with reduced over programming
DE102004062289B4 (de) * 2004-12-23 2007-07-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich
US7701554B2 (en) 2004-12-29 2010-04-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and optical component
US7196343B2 (en) * 2004-12-30 2007-03-27 Asml Netherlands B.V. Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby
US7450217B2 (en) * 2005-01-12 2008-11-11 Asml Netherlands B.V. Exposure apparatus, coatings for exposure apparatus, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7449703B2 (en) * 2005-02-25 2008-11-11 Cymer, Inc. Method and apparatus for EUV plasma source target delivery target material handling
US7482609B2 (en) * 2005-02-28 2009-01-27 Cymer, Inc. LPP EUV light source drive laser system
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US7750326B2 (en) 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
US7495743B2 (en) * 2005-09-30 2009-02-24 International Business Machines Corporation Immersion optical lithography system having protective optical coating
JP5045144B2 (ja) * 2007-02-22 2012-10-10 株式会社ニコン 多層膜反射鏡、露光装置、デバイス製造方法、及び多層膜反射鏡の製造方法
US7948675B2 (en) 2005-10-11 2011-05-24 Nikon Corporation Surface-corrected multilayer-film mirrors with protected reflective surfaces, exposure systems comprising same, and associated methods
JP5061903B2 (ja) * 2005-10-11 2012-10-31 株式会社ニコン 多層膜反射鏡、多層膜反射鏡の製造方法、光学系、露光装置及びデバイスの製造方法
US7453077B2 (en) * 2005-11-05 2008-11-18 Cymer, Inc. EUV light source
JP2007134464A (ja) * 2005-11-09 2007-05-31 Canon Inc 多層膜を有する光学素子及びそれを有する露光装置
JP2007140105A (ja) * 2005-11-18 2007-06-07 Nikon Corp 多層膜反射鏡及び露光装置
JP2007234822A (ja) 2006-02-28 2007-09-13 Canon Inc 露光装置及びその制御方法並びにデバイス製造方法
JP4946296B2 (ja) 2006-03-30 2012-06-06 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法
JP5200327B2 (ja) * 2006-03-31 2013-06-05 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法、並びに、極端紫外光の露光方法
US7736820B2 (en) * 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7541603B2 (en) * 2006-09-27 2009-06-02 Asml Netherlands B.V. Radiation system and lithographic apparatus comprising the same
US7723704B2 (en) * 2006-11-10 2010-05-25 Globalfoundries Inc. EUV pellicle with increased EUV light transmittance
JP4365855B2 (ja) * 2006-12-21 2009-11-18 三菱電機株式会社 車両用操舵装置
TWI427334B (zh) 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Euv蝕刻裝置反射光學元件
US7663127B2 (en) * 2007-03-13 2010-02-16 Globalfoundries Inc. EUV debris mitigation filter and method for fabricating semiconductor dies using same
JP2008263173A (ja) * 2007-03-16 2008-10-30 Canon Inc 露光装置
EP2053463B1 (de) * 2007-10-23 2011-06-08 Imec Erkennung von Kontaminationen in EUV-Systemen
NL1036469A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby.
TWI425252B (zh) * 2008-03-26 2014-02-01 Nat Applied Res Laboratories 反射膜及其製成方法
KR100972863B1 (ko) * 2008-04-22 2010-07-28 주식회사 하이닉스반도체 극자외선 리소그라피 마스크 및 그 제조 방법
DE102009001488A1 (de) 2008-05-21 2009-11-26 Asml Netherlands B.V. Entfernen von Kontaminationen von optischen Oberflächen durch aktivierten Wasserstoff
NL2002908A1 (nl) * 2008-06-04 2009-12-07 Asml Netherlands Bv Multilayer mirror and lithographic apparatus.
DE102008002403A1 (de) * 2008-06-12 2009-12-17 Carl Zeiss Smt Ag Verfahren zum Herstellen einer Mehrlagen-Beschichtung, optisches Element und optische Anordnung
WO2010004482A1 (en) 2008-07-07 2010-01-14 Philips Intellectual Property & Standards Gmbh Extreme uv radiation reflecting element comprising a sputter-resistant material
EP2157584A3 (de) * 2008-08-14 2011-07-13 ASML Netherlands B.V. Strahlungsquelle, Lithografiegerät und Herstellungsverfahren für ein Bauteil
NL2003363A (en) * 2008-09-10 2010-03-15 Asml Netherlands Bv Lithographic apparatus, method of manufacturing an article for a lithographic apparatus and device manufacturing method.
DE102009017095A1 (de) * 2009-04-15 2010-10-28 Carl Zeiss Smt Ag Spiegel für den EUV-Wellenlängenbereich, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel und Projektionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv
EP2348347A3 (de) * 2009-08-28 2012-07-04 European Space Agency Verfahren zum Aufbau mehrerer Spiegelplattenstapel in eine formfeste Einheit
NL2005460A (en) * 2009-11-20 2011-05-23 Asml Netherlands Bv Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product.
WO2011068223A1 (ja) 2009-12-04 2011-06-09 旭硝子株式会社 Euvリソグラフィ用光学部材およびeuvリソグラフィ用反射層付基板の製造方法
KR20130007533A (ko) 2009-12-09 2013-01-18 아사히 가라스 가부시키가이샤 Euv 리소그래피용 광학 부재
CN102621815B (zh) * 2011-01-26 2016-12-21 Asml荷兰有限公司 用于光刻设备的反射光学部件及器件制造方法
WO2012136420A1 (en) 2011-04-04 2012-10-11 Asml Netherlands B.V. Mirror, radiation source - collector and lithographic apparatus
DE102011076011A1 (de) * 2011-05-18 2012-11-22 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System für die EUV-Lithographie
WO2012171674A1 (en) * 2011-06-15 2012-12-20 Asml Netherlands B.V. Multilayer mirror, method of producing a multilayer mirror and lithographic apparatus
DE102011083462A1 (de) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh EUV-Spiegel mit einer Oxynitrid-Deckschicht mit stabiler Zusammensetzung
DE102011083461A1 (de) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh Verfahren zum Erzeugen einer Deckschicht aus Siliziumoxid an einem EUV-Spiegel
US8749179B2 (en) * 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US10185234B2 (en) * 2012-10-04 2019-01-22 Asml Netherlands B.V. Harsh environment optical element protection
DE102012219545A1 (de) * 2012-10-25 2014-04-30 Carl Zeiss Smt Gmbh Projektionsbelichtungssystem für EUV-Lithographie und Verfahren zum Betreiben des Projektionsbelichtungssystems
DE102012222466A1 (de) * 2012-12-06 2014-06-12 Carl Zeiss Smt Gmbh Reflektives optisches Element für die EUV-Lithographie
US20140158914A1 (en) * 2012-12-11 2014-06-12 Sandia Corporation Optical component with blocking surface and method thereof
US20140168758A1 (en) * 2012-12-13 2014-06-19 Kla-Tencor Corporation Carbon as grazing incidence euv mirror and spectral purity filter
DE102013200294A1 (de) * 2013-01-11 2014-07-17 Carl Zeiss Smt Gmbh EUV-Spiegel und optisches System mit EUV-Spiegel
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
RU2522448C1 (ru) * 2013-03-13 2014-07-10 Открытое акционерное общество "Научно-исследовательский и технологический институт оптического материаловедения Всероссийского научного центра "Государственный оптический институт им. С.И. Вавилова" (ОАО "НИТИОМ ВНЦ "ГОИ им. С.И. Вавилова") Покрытие для заготовки космического зеркала
KR20160003140A (ko) * 2013-05-09 2016-01-08 가부시키가이샤 니콘 광학 소자, 투영 광학계, 노광 장치 및 디바이스 제조 방법
EP3049836A1 (de) 2013-09-23 2016-08-03 Carl Zeiss SMT GmbH Mehrschichtiger spiegel
TWI565681B (zh) 2013-10-15 2017-01-11 中原大學 多孔二氧化矽氣凝膠複合薄膜及其製造方法以及二氧化碳吸收裝置
DE102014200932A1 (de) * 2014-01-20 2015-07-23 Carl Zeiss Smt Gmbh EUV-Spiegel und optisches System mit EUV-Spiegel
US9612522B2 (en) * 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
DE102015207140A1 (de) * 2015-04-20 2016-10-20 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
CN104749663A (zh) * 2015-04-21 2015-07-01 中国科学院长春光学精密机械与物理研究所 具有极紫外光谱纯度和抗辐照损伤的多层膜
KR20180034453A (ko) * 2015-06-30 2018-04-04 수프리야 자이스왈 극자외선 및 연질 x선 광학소자용의 코팅
JP2017026928A (ja) * 2015-07-27 2017-02-02 エヌ・ティ・ティ・アドバンステクノロジ株式会社 Euv光用多層膜反射鏡
DE102016200814A1 (de) 2016-01-21 2017-07-27 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System für die EUV-Lithographie
DE102016208987A1 (de) 2016-05-24 2017-11-30 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem
TWI730139B (zh) 2016-07-27 2021-06-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
DE102016218028A1 (de) 2016-09-20 2018-03-22 Carl Zeiss Smt Gmbh Reflektives optisches Element
DE102017206118A1 (de) * 2017-04-10 2018-04-19 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System
US11086209B2 (en) 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure
DE102017213181A1 (de) 2017-07-31 2019-01-31 Carl Zeiss Smt Gmbh Optische Anordnung für EUV-Strahlung mit einer Abschirmung zum Schutz vor der Ätzwirkung eines Plasmas
US11268911B2 (en) 2019-01-04 2022-03-08 Kla-Tencor Corporation Boron-based capping layers for EUV optics
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
CN110221369B (zh) * 2019-06-26 2020-10-27 西安交通大学 一种基于BN(Al)薄膜的布拉格反射镜及制备方法
DE102019212910A1 (de) * 2019-08-28 2021-03-04 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem
KR20210089406A (ko) 2020-01-08 2021-07-16 주식회사 에스앤에스텍 극자외선용 반사형 블랭크 마스크 및 포토마스크
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
TWI836207B (zh) 2020-04-17 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩吸收材料
DE102020206117A1 (de) 2020-05-14 2021-11-18 Carl Zeiss Smt Gmbh Optisches Element, EUV-Lithographiesystem und Verfahren zum Bilden von Nanopartikeln
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20220075021A (ko) 2020-11-26 2022-06-07 삼성전자주식회사 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20220382148A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with alloy based absorbers
US11762278B2 (en) 2021-06-16 2023-09-19 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
DE102022203495A1 (de) * 2022-04-07 2023-10-12 Carl Zeiss Smt Gmbh Reflektives optisches Element für eine Wellenlänge im extrem ultravioletten Wellenlängenbereich

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4214818A (en) * 1978-11-08 1980-07-29 Westinghouse Electric Corp. Hot pressed SiC-high power laser mirror
JP2566564B2 (ja) 1986-10-01 1996-12-25 キヤノン株式会社 軟x線又は真空紫外線用多層膜反射鏡
US5433988A (en) * 1986-10-01 1995-07-18 Canon Kabushiki Kaisha Multi-layer reflection mirror for soft X-ray to vacuum ultraviolet ray
JPS63106703A (ja) 1986-10-24 1988-05-11 Nikon Corp 光学素子
US4778251A (en) * 1987-03-09 1988-10-18 Rockwell International Corporation Thickness error compensation for digital gradient-index optical coatings
JPH0795120B2 (ja) * 1987-07-13 1995-10-11 日本航空電子工業株式会社 多層膜反射鏡
JPH0213902A (ja) 1988-07-01 1990-01-18 Mitsubishi Electric Corp 紫外光反射板
JP2883100B2 (ja) * 1989-05-22 1999-04-19 キヤノン株式会社 軟x線・真空紫外線用ハーフミラー又はビームスプリッター
JP2787987B2 (ja) * 1989-11-22 1998-08-20 株式会社リコー 反射鏡
US5356662A (en) * 1993-01-05 1994-10-18 At&T Bell Laboratories Method for repairing an optical element which includes a multilayer coating
US5265143A (en) * 1993-01-05 1993-11-23 At&T Bell Laboratories X-ray optical element including a multilayer coating
US5591958A (en) * 1993-06-14 1997-01-07 Nikon Corporation Scanning exposure method and apparatus
US5360659A (en) * 1993-05-24 1994-11-01 The Dow Chemical Company Two component infrared reflecting film
US5513039A (en) * 1993-05-26 1996-04-30 Litton Systems, Inc. Ultraviolet resistive coated mirror and method of fabrication
DE4407502A1 (de) * 1994-03-07 1995-09-14 Leybold Ag Mehrlagige Beschichtung
JP3345512B2 (ja) 1994-07-12 2002-11-18 株式会社日立製作所 半導体装置の製造方法
US5646976A (en) * 1994-08-01 1997-07-08 Osmic, Inc. Optical element of multilayered thin film for X-rays and neutrons
US5521031A (en) 1994-10-20 1996-05-28 At&T Corp. Pattern delineating apparatus for use in the EUV spectrum
JPH08220304A (ja) * 1995-02-13 1996-08-30 Tadahiro Omi 光学物品及びそれを用いた露光装置又は光学系並びにその製造方法
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
US5850309A (en) 1996-03-27 1998-12-15 Nikon Corporation Mirror for high-intensity ultraviolet light beam
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
DE69717975T2 (de) 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
JPH10199801A (ja) * 1997-01-13 1998-07-31 Mitsubishi Materials Corp 人工ダイヤモンド膜の残留応力が少ないx線リソグラフィー用マスク
US5911858A (en) * 1997-02-18 1999-06-15 Sandia Corporation Method for high-precision multi-layered thin film deposition for deep and extreme ultraviolet mirrors
JP3607454B2 (ja) * 1997-03-31 2005-01-05 Hoya株式会社 X線マスク用x線透過膜、x線マスクブランク及びx線マスク並びにこれらの製造方法並びに炭化珪素膜の研磨方法
KR20010006467A (ko) * 1997-04-18 2001-01-26 오노 시게오 노광 장치, 해당 장치를 이용한 노광 방법 및 회로 장치 제조 방법
US6268904B1 (en) * 1997-04-23 2001-07-31 Nikon Corporation Optical exposure apparatus and photo-cleaning method
JPH1138192A (ja) * 1997-07-17 1999-02-12 Nikon Corp 多層膜反射鏡
CN1182440C (zh) 1997-09-30 2004-12-29 西门子公司 用于深紫外线光刻的层状结构以及形成光刻层状结构的方法
US5958605A (en) 1997-11-10 1999-09-28 Regents Of The University Of California Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography
US6042995A (en) * 1997-12-09 2000-03-28 Lucent Technologies Inc. Lithographic process for device fabrication using a multilayer mask which has been previously inspected
US6391400B1 (en) * 1998-04-08 2002-05-21 Thomas A. Russell Thermal control films suitable for use in glazing
WO2000020928A1 (en) * 1998-10-08 2000-04-13 Rochester Institute Of Technology Photomask for projection lithography at or below about 160 nm and a method
US6229652B1 (en) * 1998-11-25 2001-05-08 The Regents Of The University Of California High reflectance and low stress Mo2C/Be multilayers
US6013399A (en) * 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
US6180291B1 (en) * 1999-01-22 2001-01-30 International Business Machines Corporation Static resistant reticle
TWI267704B (en) * 1999-07-02 2006-12-01 Asml Netherlands Bv Capping layer for EUV optical elements
SG107560A1 (en) * 2000-02-25 2004-12-29 Nikon Corp Exposure apparatus and exposure method capable of controlling illumination distribution

Also Published As

Publication number Publication date
DE60036510T2 (de) 2008-06-19
EP1065532A2 (de) 2001-01-03
DE60018328T2 (de) 2006-04-06
JP2001051106A (ja) 2001-02-23
EP1065568A2 (de) 2001-01-03
EP1065532A3 (de) 2003-03-19
US20030043456A1 (en) 2003-03-06
USRE42338E1 (en) 2011-05-10
US6449086B1 (en) 2002-09-10
JP4068285B2 (ja) 2008-03-26
DE60018328D1 (de) 2005-04-07
KR100589240B1 (ko) 2006-06-14
US6724462B1 (en) 2004-04-20
EP1801658B1 (de) 2016-06-15
KR100599940B1 (ko) 2006-07-12
US6738188B2 (en) 2004-05-18
JP3652221B2 (ja) 2005-05-25
JP2001059901A (ja) 2001-03-06
EP1065532B1 (de) 2005-03-02
KR20010066880A (ko) 2001-07-11
TWI267704B (en) 2006-12-01
EP1801658A2 (de) 2007-06-27
EP1801658A3 (de) 2007-07-18
EP1065568B1 (de) 2007-09-26
TW561279B (en) 2003-11-11
EP1065568A3 (de) 2003-03-19
KR20010066881A (ko) 2001-07-11

Similar Documents

Publication Publication Date Title
DE60036510D1 (de) EUV-lithographische Projektionsvorrichtung mit einem optischen Element mit Deckschicht
DE69817491D1 (de) Lithographisches belichtungsgerät mit einer ausserhalb der belichtungsachse liegenden ausrichtungsvorrichtung
DE59707065D1 (de) Lithographie-belichtungseinrichtung
DE50112921D1 (de) Projektionsbelichtungsanlage mit reflektivem Retikel
DE60020638D1 (de) Lithographischer Projektionsapparat
DE60020620D1 (de) Lithographischer Projektionsapparat
DE60040567D1 (de) Bildprojektionsvorrichtung
DE69921944D1 (de) Lithographische vorrichtung mit hierfür geeignetem spiegelprojektionssystem
DE60026461D1 (de) Lithographischer Projektionsapparat
DE60026623D1 (de) Katadioptrisches optisches System und Belichtungsvorrichtung mit einem solchem System
DE69920823D1 (de) Photographisches Gerät
DE69424138T2 (de) Projektionsbelichtungsvorrichtung
DE69919278D1 (de) Bildprojektionsvorrichtung
DE60127050D1 (de) Lithographischer Projektionsapparat
DE69839961D1 (de) Optische querverbindungsvorrichtung
DE60129377D1 (de) Lithographischer Projektionsapparat mit einer Stützanordnung
DE69915401D1 (de) Lithographischer Projektionsapparat mit Substrathalter
DE69933918D1 (de) Lithographischer Projektionsapparat
DE50103723D1 (de) 6-Spiegel-Mikrolithographie-Projektionsobjektiv
DE60204608D1 (de) Grundfolie für Fresnellinse
DE69821167D1 (de) Elektrophotographisches Bilderzeugungsgerät
DE69822004D1 (de) Belichtungsvorrichtung
DE60025303D1 (de) Lithographischer Projektionsapparat
DE69821421D1 (de) Elektrophotographisches Abbildungsgerät
DE60030204D1 (de) Lithographischer Projektionsapparat

Legal Events

Date Code Title Description
8364 No opposition during term of opposition