KR20010066880A - 강화된 반사율을 갖는 다층 원자외선 미러 - Google Patents

강화된 반사율을 갖는 다층 원자외선 미러 Download PDF

Info

Publication number
KR20010066880A
KR20010066880A KR1020000035869A KR20000035869A KR20010066880A KR 20010066880 A KR20010066880 A KR 20010066880A KR 1020000035869 A KR1020000035869 A KR 1020000035869A KR 20000035869 A KR20000035869 A KR 20000035869A KR 20010066880 A KR20010066880 A KR 20010066880A
Authority
KR
South Korea
Prior art keywords
stack
layer
reflector
materials
layers
Prior art date
Application number
KR1020000035869A
Other languages
English (en)
Other versions
KR100599940B1 (ko
Inventor
싱맨디프
Original Assignee
에이에스엠 리소그라피 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 리소그라피 비.브이. filed Critical 에이에스엠 리소그라피 비.브이.
Publication of KR20010066880A publication Critical patent/KR20010066880A/ko
Application granted granted Critical
Publication of KR100599940B1 publication Critical patent/KR100599940B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70233Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/061Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements characterised by a multilayer structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S359/00Optical: systems and elements
    • Y10S359/90Methods
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Surface Treatment Of Glass (AREA)
  • Optical Filters (AREA)

Abstract

2-성분 Mo/Be 및 Mo/Si 다중층 시스템이 통상적으로 사용되는, 11-16nm로 조율된 다중층 EUV 미러의 반사율은 주기율표의 주로 주기 5로부터의 부가적인 원소 및 이들의 화합물을 결합시킴으로써 강화된다. 또한, 다중층 스택의 반사율 성능은 층 두께가 종래에 통상적으로 설계 및 제조된 일정한 층 두께(즉, 일정한 분할 비율) 다중층 스택에 대비하여 최적 성능에 대해 변화되는 수치 글로벌 최적화 처리에 의해 강화된다. 스택의 다양한 영역의 다른 복잡한 굴절률을 갖는 부가 재료를 결합함으로써, 또는 성분들 중 하나(통상적으로 Mo)를 완전히 대체함으로써, 표준 비최적화 스택에 비하여 단일의 반사기에 대해 5% 까지의 피크 반사율 강화가 관찰된다. 사용된 상기 부가재료는 Rb, RbCl, Sr, Y, Zr, Ru, Rh, Tc, Pd, Nb 및 Be 이다. 환경상의 공격으로부터 미러를 보호하는 것에 부가하여 B, Ru, Rh, C, Si3N4, SiC의 보호 캡핑 층은 반사율 특성을 개선시키는 작용을 할 수 있다.

Description

강화된 반사율을 갖는 다층 원자외선 미러{MULTILAYER EXTREME ULTRAVIOLET MIRRORS WITH ENHANCED REFLECTIVITY}
본 발명은 원자외선 방사용 다층 미러에 관한 것이다. 특히, 본 발명은,
방사 투영 빔을 공급하는 조명 시스템;
마스크를 고정하는 마스크 홀더를 구비한 제 1 대물 테이블;
기판을 고정하는 기판 홀더를 구비한 제 2 대물 테이블;
기판의 목표부에 마스크의 방사부를 결상하는 투영 시스템을 포함하는 전사 투영 장치에 이러한 미러의 사용에 관한 것이다.
간단히, 투영 시스템은 이후로 "렌즈"로 언급될 수 있다; 그러나, 이 용어는 예를 들어 굴절 광학기, 반사 광학기, 카타디오프트릭 시스템, 및 하전 입자 광학기를 포함하는 다양한 형태의 투영 시스템을 포함하는 것으로 넓게 이해될 수 있다. 조명 시스템은 또한 투영 빔을 방향짓고, 정형하거나 제어하는 원리들중 하나에 따라 동작하는 소자를 포함할 수 있고, 이들 소자는 "렌즈"로서 집합적으로 또는 단독으로 아래에서 언급될 수 있다. 게다가 제 1과 제 2 대물 테이블은 각각 "마스크 테이블"과 "기판 테이블"로 언급될 수 있다.
본 명세서에서, 본 발명은 직교하는 X, Y 및 Z의 기준 시스템을 사용하여 기재되고 I 방향에 대해 평행한 축에 대한 회전은 Ri로 표시된다. 또한, 만일 문맥상 달리 요구하지 않는다면, 여기서 사용되는 용어 "수직"(Z)은 장치의 특정의 배향을 암시한다기 보다 광학 시스템의 광학 축에 평행하거나 마스크 표면 또는 기판에 수직인 방향으로 지칭된다. 유사하게, 용어 "수평"은 기판 또는 마스크 표면에 평행하거나 광학축에 수직인, 따라서 "수직" 방향에 수직인 방향을 지칭한다.
전사 투영 장치는, 예를 들면, 집적회로(ICs)의 제조에 사용될 수 있다. 그와 같은 경우, 마스크(레티클)는 집적회로의 각 층에 대응하는 회로패턴을 포함하고, 이 패턴은 이 후에 감광물질(레지스트)층이 도포된 기판(실리콘 웨이퍼)위의 노광영역(다이) 위로 결상될 수 있다. 대개 단일 웨이퍼는 레티클을 통해 한번에 하나씩 연속적으로 방사되는 인접한 다이들의 전체적인 연결망을 갖는다. 일 형태의 전사투영장치에서 전체 레티클 패턴을 다이 위로 한번에 노광함으로써 각 다이가 방사되며, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라 칭한다. 이와 달리, 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)로 불리워지는 대체장치에서는 투영 빔 하에서 소정의 기준방향("주사(走査)"방향)으로 레티클 패턴을 점진적으로 주사하면서 상기 주사방향에 평행 또는 평행하지 않게 웨이퍼 테이블을 동시에 주사함으로써 각 다이가 방사되며, 일반적으로 투영계는 배율인자(magnification factor:M)(대개<1)를 가지므로 웨이퍼테이블이 주사되는 속도(v)는 레티클 테이블이 주사되는 속도의 M배가 된다. 여기에서 설명된 전사장치에 관한 상세한 정보는 국제특허출원 WO97/33205에서 찾을 수 있다.
최근까지 전사투영장치는 단일 마스크 테이블 및 단일 기판 테이블을 포함하고 있었다. 그러나, 적어도 2개의 독립적으로 이동가능한 기판테이블이 있는 기계가 이제 이용가능하게 되었다. 예를 들어, 국제특허출원 WO 98/28665 및 WO 98/40791에 개시된 다중 스테이지 장치를 참조하라. 이러한 다중 스테이지 장치 배후의 기본 작동 원리는, 제 1기판 테이블이 이 테이블상에 위치된 제 1기판의 노광을 위하여 투영 시스템 아래 노광 위치에 있는 동안, 제 2기판 테이블은 로딩 위치로 이동하고, 이전에 노광된 기판을 방출하고, 새로운 기판을 픽업하고, 새로운 기판상의 소정의 초기측정을 행하고, 제 1기판의 노광이 종료되자마자 투영 시스템 아래의 노광 위치로의 새로운 기판의 이송을 대기할 수 있는 것이다. 그 후 이 사이클이 반복된다. 이러한 방식으로 기계 스루풋을 실질적으로 증가시키는 것이 가능하고, 이것은 기계 소유의 비용을 개선한다. 노광 및 측정위치 사이에 이동되는 하나의 기판 테이블에 동일한 원리가 사용될 수 있다.
전사장치에 있어서, 웨이퍼상으로 결상될 수 있는 형상의 크기는 투영방사선의 파장에 의해 제한된다. 높은 소자밀도를 갖고, 이리하여 높은 동작속도를 갖는 집적회로를 제조하기 위하여, 더 작은 형상을 결상할 수 있는 것이 바람직하다. 대부분의 현행의 전사투영장치가 수은 램프 또는 엑시머 레이저에 의해 발생된 자외광선을 사용하지만, 약 13 nm 의 더 짧은 파장의 방사선을 사용하는 것이 제안되었다. 이러한 방사선은 원자외선(EUV) 또는 소프트 X-선(soft X-ray)으로 불리우고 가능한 소스는 레이저 플라즈마 소스 또는 전자 저장링으로부터의 싱크로트론 방사를 포함한다. 싱크로트론 방사를 사용하는 전사투영장치의 개략 설계가 "Synchrotron radiation sources and condensers for projection x-ray lithography", JB Murphy et al, Applied Optics Vol. 32 No. 24 pp 6920-6929 (1993)에 기재되어 있다.
EUV 스펙트럼 영역에서, 그레이징 입사 미러로부터 떨어진 고반사율 미러는 반드시 다층 박막 설계이어야 한다. 대부분의 설계는 전체적으로 일정한 막두께를 갖는 1/4 파장 스택과 유사한 분포 브래그 반사기(Bragg reflectors)로 구성된다. 11-16 nm 파장 영역에 대하여, 2가지 설계가 널리 행해지고 있다: 통상적으로 80 주기로 구성된 11.3 nm 윈도우에 대한 Mo/Be 및 40-50 주기의 13.4 nm 윈도우에 대한 Mo/Si 시스템, 양자는 분할 비율(partition ratio)를 가지고, 여기서이다. 통상적으로 분할 비율은 2개의 층의 총 두께에 대한 더 높은 흡광계수(extinction coefficient)(k)를 갖는 물질의 두께의 비로서 정의된다. 이들 설계는 표면 Si 층상의 높게 흡수하는2 nm 천연 산화물을 고려하여 Mo/Si 스택에 대하여 R0.74 및 Mo/Be 스택에 대하여 R0.78 의 최대 이론 반사율을 산출한다. 수개의 반사기를 구비한 광학 시스템에 적당한 반면, (EUV 영역내의 다층 반사기에 최선의 것들 중에 있는) 이들 반사율 값은, 예를 들어 나인 미러 시스템(nine-mirror system)내의 제 1미러 바로 앞의 것의 6-10% 까지 출력광학 강도를 심하게 감소시킨다. 9개의 미러의 중요성은 이것이 EUV 전사 시스템에 대하여 고안된 수라는 것이다: 조명 광학기에 2개, 결상 광학계에 6개 그리고 반사 레티클. 따라서, 단일 미러의 피크 반사율의 1-2% 의 "작은" 증가조차도 광학 시스템의 상당한 광 스루풋 증가를 나타낼 것이 분명하다.
본 발명의 목적은 소정의 파장에서 더 높은 반사율을 갖는 원자외선(EUV)용 다층 미러를 제공하는 것이다.
도 1은 본 발명에 따른 전사투영장치를 나타낸 도면,
도 2는 본 발명에 따른 51 주기 최적화 Mo/Si 스택의 층두께의 그래프,
도 3은 본 발명에 따른 50 주기 Mo-Ru/Si 스택의 층두께의 그래프,
도 4는 본 발명을 구현하는 여러가지 미러와 비교를 위한 종래의 미러에 대한 13.4 nm 영역에서의 파장 대 R9의 그래프,
도 5는 본 발명에 따른 50 주기 Mo-Ru-Sr/Si 스택의 층두께의 그래프,
도 6은 본 발명에 따른 니들 최적화 50 주기 Mo-Ru-Sr/Si 스택의 층두께의 그래프,
도 7은 본 발명에 따른 80 주기 Ru-Sr/Be 스택의 층두께의 그래프,
도 8은 본 발명을 구현하는 여러가지 미러와 비교를 위한 종래의 미러에 대한 11.3 nm 영역에서의 파장 대 R9의 그래프,
도 9는 Xe-제트 레이저-유도 플라즈마원의 방출 강도 뿐아니라 본 발명에 따른 스택 및 종래의 스택의 여러가지 스택에 대한 파장 대 R9을 나타내는 그래프,
도 10은 본 발명에 따른 Rh-Ru/Sr-Ce 스택에 대한 파장 대 R 및 R9을 나타내는 그래프,
도 11은 본 발명에 따른 최적화 Rh-Ru/Sr-Ce 스택의 층두께의 그래프,
도 12는 본 발명에 따른 Rh-Ru/SiO2-aero 스택에 대한 파장 대 R을 나타내는 그래프이다.
본 발명에 따르면, 상기 및 다른 목적은 소정의 파장영역의 방사선을 반사하며, 제 1 및 제 2재료의 교대층의 스택을 포함하고, 상기 제 1재료는 상기 제 2재료 보다 상기 소정 파장영역에서 더 낮은 실제 굴절률을 갖는 반사기로서, 제 3재료의 적어도 하나의 층이 상기 스택에 개재되며, 상기 제 3재료는 Rb, RbCl, RbBr, Sr, Y, Zr, Ru, Rh, Tc, Pd, Nb 및 Be 뿐아니라 이들 물질의 합금 및 화합물을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기에서 달성된다.
본 발명의 바람직한 실시예에서, 상기 제 3재료의 층은 상기 제 1 및 제 2재료로된 층들의 각 쌍 사이에 개지되고, 선택적으로 적어도 하나의 제 4재료의 층이 상기 스택에 개재될 수 있으며, 상기 제 4재료는 Rb, RbCl, RbBr, Sr, Y, Zr, Ru, Rh, Tc, Pd, Nb 및 Be 뿐아니라 이들 물질의 합금 및 화합물을 포함하는 그룹으로부터 선택된다.
본 발명은 또한 소정의 파장영역의 방사선을 반사하며, 제 1 및 제 2재료의교대층의 스택을 포함하고, 상기 제 1재료는 상기 제 2재료 보다 상기 소정 파장영역에서 더 낮은 실제 굴절률을 갖는 반사기로서, 상기 제 1 및 제 2재료의 층두께는 스택을 통하여 변화하는 것을 특징으로 하는 반사기를 제공한다.
층두께는 바람직하게는 글로벌 또는 니들 최적화 기술에 의해 결정된다.
본 발명은 또한 소정의 파장영역의 방사선을 반사하며, 제 1 및 제 2재료의 교대층의 스택을 포함하고, 상기 제 1재료는 상기 제 2재료 보다 상기 소정 파장영역에서 더 낮은 실제 굴절률을 갖는 반사기로서, 상기 제 2재료는 P, Sr, Rb 및 란타니드, 특히 La, Ce, Pr 및 Eu 뿐아니라 이들의 화합물 및 합금을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기를 제공한다.
또한, 본 발명은 소정의 파장영역의 방사선을 반사하며, 제 1 및 제 2재료의 교대층의 스택을 포함하고, 상기 제 1재료는 상기 제 2재료 보다 상기 소정 파장영역에서 더 낮은 실제 굴절률을 갖는 반사기로서, 상기 제 1재료는 Ru 및 Rh 뿐아니라 이들의 합금 및 화합물을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기를 제공한다.
본 발명에 따른 반사기는 다이아몬드형 탄소(C), 실리콘 카바이드(SiC), 보론 니트라이드(BN), 실리콘 니트라이드(Si3N4), B, Ru 및 Rh을 포함하는 그룹으로부터 선택되고 0.5 내지 3 nm 의 범위, 바람직하게는 1 내지 2 nm 범위의 두께를 갖는 것이 바람직한 비교적 불활성인 재료의 캡핑 층(capping layer)을 가질 수 있다.
본 발명의 제 2형태는
방사 투영 빔을 공급하는 조명 시스템;
마스크를 고정하는 마스크 홀더를 구비한 제 1 대물 테이블;
기판을 고정하는 기판 홀더를 구비한 제 2 대물 테이블;
기판의 목표부에 마스크의 방사부를 결상하는 투영 시스템을 포함하는 전사 투영장치로서, 상기 조명시스템 및 상기 투영시스템 중 적어도 하나가 상술된 반사기를 포함하는 것을 특징으로 하는 전사투영장치를 제공한다.
본 발명의 제 3형태는, 소정의 파장에서 방사선을 반사하며, 제 1 및 제 2재료의 교대층의 스택을 포함하고, 상기 제 1재료는 상기 제 2재료 보다 더 낮은 실제 굴절률을 갖는 반사기를 제조하는 방법으로서,
수치반복 최적화처리를 사용하여 적당한 층 두께를 결정하는 단계; 및
상기 결정단계에서 실질적으로 결정된 층두께를 갖는 반사기를 제조하는 단계를 포함하여 이루어지는 방법을 제공한다.
본 발명의 제 3형태의 바람직한 실시예에서, 상기 반복처리는,
층들에 대한 특정의 초기 두께와 제 1 및 제 2재료에 대한 특정의 재료를 갖는 반사기의 모델을 설정하는 단계;
하나 이상의 층의 두께를 변화시키고 결과적인 스택의 반사율을 계산하는 단계; 및
특정의 기준에 도달할 때까지 상기 변화 및 계산단계를 반복하는 단계를 포함하여 이루어진다.
상기 설정단계에서 선택적으로, 적어도 하나의 제 3재료의 적어도 하나의 층이 스택내에 포함되고, 제 3재료의 상기 층의 두께는 상기 변화단계의 적어도 1회의 반복에서 변화된다.
본 발명에서, 표준 Mo/Be 및 Mo/Si 스택에서의 반사율 강화는 다음 항들중 하나 이상에 의해 달성될 수 있다:
(1) 기본 스택내에 부가 재료를 결합하는 것,
(2) 표준 스택의 구성요소의 하나를 더욱 바람직한 광학 상수를 갖는 것으로 대체하는 것,
(3) 최적 피크 반사율을 위하여 스택내의 개개의 막 두께 또는 분할 비율을 변화시키도록 글로벌 최적 루틴을 활용하는 것, 및
(4) 고흡수 표면산화막의 형성을 피하기 위하여 소정의 비교적 불활성인 물질을 캡핑 층으로서 선택하는 것.
몰리브덴(Mo), 실리콘(Si) 및 베릴륨(Be)에 부가하여 본 발명에서 사용가능한 다양한 재료는 원소의 주기율표의 주기 5로부터 주로 도출되고 루비듐(Rb), 루비듐 클로라이드(RbCl), 루비듐 브로마이드(RbBr), 스트론튬(Sr), 이트륨(Y), 질코늄(Zr), 루테늄(Ru), 로듐(Rh), 팔라듐(Pd), 테크네튬(Tc), 인(P), 붕소(B) 및 니오븀(Nb)을 포함한다. 이들 물질의 합금 및 화합물도 사용될 수 있다.
본 발명에서 사용가능한 다른 물질은 란타니드, 즉 란타늄에서 루테슘까지이지만, 특히 란타늄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm) 및 유로퓸(Eu)이다. 이들 및 상술된 다른 란타니드는 인(P), 노이븀(Nb) 및 안티몬(Sb)과 함께 사용될 수 있다.
순수한 원소뿐아니라, 이들 물질의 화합물, 특히 붕화물, 탄화물, 질화물, 인화물, 할로겐 화합물(예를 들어, CsI)이 사용될 수 있다. 언급되고 IIA 족 원소를 포함하는 금속의 합금이 본 발명에 사용될 수 있다.
본 발명에 사용가능한 다른 물질은 나노-포러스 실리콘(nano-porous silicon), 메조-포러스 실리콘(meso-porous silicon), 실리콘 및 다른 반도체의 나노클러스터; 실리카, 티타니아 및 알루미나 에어로겔 등의 저밀도 다공성 재료이다. 본 발명의 실시예에서, 임의의 또는 모든 층들은, 예를 들어 이들의 광학적, 화학적 또는 기계적 특성에 임의의 소정의 변경을 행하도록 이들에 이식 또는 확산된 다른 물질 또는 원소를 가질 수 있다.
본 발명에서는 특히 8 내지 16 nm 파장영역에서 특정 방사선원에 최적화된 반사기를 제공할 수 있다.
본 발명의 제 4형태는
적어도 부분적으로 에너지 감지물질층으로 덮힌 기판을 제공하는 단계;
패턴을 포함하는 마스크를 제공하는 단계;
방사 투영빔을 사용하여 에너지 감지 물질층의 목표영역상으로 마스크 패턴의 적어도 일부의 이미지를 투영하는 단계를 포함하는 디바이스 제조방법으로서, 상기 방사투영빔은 본 발명의 제 1형태에 따른 반사기를 포함하는 조명 또는 투영시스템을 사용하여 공급 또는 투영되는 것을 특징으로 하는 디바이스 제조방법을 제공한다.
본 발명에 따른 전사 투영 장치를 사용하는 제조 공정에서, 마스크의 패턴은 에너지 감지 물질(레지스트)층에 의하여 적어도 부분적으로 도포된 기판상에 결상된다. 이 결상단계에 앞서, 기판은 준비작업(priming), 레지스트 코팅 및 소프트 베이크와 같은 다양한 공정을 거친다. 노광후에, 기판은 후노광 베이크(PEB), 현상, 하드 베이크 및 결상 형태의 측정/검사와 같은 다른 공정을 거칠 수 있다. 공정의 이러한 배열은 예를 들어 IC와 같은 소자의 개별 층을 패턴화하는 기초로서 사용된다. 그와 같은 패턴화된 층은 식각, 이온 주입(도핑), 금속화, 산화, 화학-기계적 연마등 개별 층을 마무리하는 데 의도된 모든 다양한 공정을 거친다. 여러 층이 요구된다면, 전체 공정 또는 그 변형은 각 새로운 층에 반복되어야만 할 것이다. 결국, 소자의 배열은 기판(웨이퍼)에 존재할 것이다. 이들 소자는 그후 다이싱(dicing) 또는 소잉(sawing) 등의 기술에 의해 서로로부터 분리되고, 이리하여 개개의 소자는 캐리어상에 장착되고 핀에 접속될 수 있다. 그와 같은 공정에 관한 추가 정보는 예를 들어, "Microchip Fabrication: A Practical Guide to Semiconductor Processing (3판, 저자 Peter van Zant, 맥그로힐출판사, 1997, ISBN 0-07-067250-4)" 으로부터 얻을 수 있다.
본 발명에 따른 장치의 사용에 대해 본 명세서에서 집적회로의 제조에 대해서만 언급하였으나, 이러한 장치가 다른 곳에 적용될 수도 있음은 명백히 이해될 것이다. 예를 들어, 상기 장치는 집적 광학 시스템, 자기영역 메모리용의 유도 및 검출패턴, 액정표시패널, 박막 자기헤드 등의 제조에도 이용될 수 있다. 당업자라면, 전술한 기타 응용분야들을 고려할 때, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 용어가 "마스크", "기판" 및 "목표 영역" 등과 같은 좀 더 일반적인 용어로 각각 대체될 수 있음이 이해될 것이다.
(실시예 1)
도 1은 본 발명에 따른 전사 투영 장치를 개략적으로 도시한다. 이 장치는
원자외선(EUV) 방사 투영 빔(PB)을 공급하는 방사 시스템(LA, IL);
마스크(MA)(예를 들어, 레티클)를 고정하는 마스크 홀더를 구비하고 부품(PL)에 대하여 마스크를 정확하게 위치시키는 제 1 위치결정 수단(PM)에 접속되는 제 1 대물 테이블(마스크 테이블)(MT);
(예를 들어, 레지스트 도포된 실리콘 웨이퍼와 같은) 기판(W)을 고정하는 기판홀더를 구비하고, 부품(PL)에 대하여 기판을 정확하게 위치시키는 제 2 위치 결정 수단(PW)에 접속되는 제 2 대물 테이블(기판 테이블)(WT);
기판(W)의 목표 영역(C)(다이)상에 마스크(MA)의 방사부를 결상하는 투영 시스템("렌즈")(PL)(예를 들어, 굴절 또는 카타디오프트릭 시스템, 또는 반사 시스템)을 포함한다.
방사 시스템은 방사 빔을 생성하는 소스(LA)(예를 들어, 저장 링 또는 싱크로트론의 전자 빔의 경로주위에 제공되는 파동기 또는 위글러, 또는 레이저 유도 플라즈마 소스)를 포함한다. 이 빔은 조명 시스템("렌즈")(IL) 내에 포함된 다양한 광학 구성요소를 따라 통과하여, 입구 동공과 마스크에서 균일한 조명을 제공하도록 하는 방식으로 최종적인 빔(PB)이 집광되도록 한다.
그 후, 빔(PB)은 마스크 테이블(MT)위의 마스크 홀더에 고정되어 있는 마스크(MA)로 충돌한다. 마스크(MA)에 의하여 선택적으로 반사되어, 빔(PB)은 기판(W)의 목표영역(C) 위로 빔(PB)을 집속하는 "렌즈"(PL)를 통과한다. 제 1위치결정수단(PW)과 간섭 변위 측정 수단(IF)의 도움으로, 기판 테이블(WT)은 예를 들면, 빔(PB)의 경로에 상이한 목표영역(C)을 위치시키도록 정확하게 움직일 수 있다. 유사하게, 위치 결정 수단(PM)은 예를 들어, 마스크 라이브러리로부터 마스크(MA)의 기계적 회수후 빔(PB)의 경로에 대하여 마스크(MA)를 정확하게 위치시키는데 사용될 수 있다. 일반적으로, 대물테이블(MT, WT)의 이동은, 도 1에 명확히 도시되지 않았지만 긴 스트로크 모듈(대략적인 위치결정)과 짧은 스트로크 모듈(미세한 위치 결정)의 도움으로 실현된다.
예시된 장치는 두 개의 다른 모드로 이용될 수 있다:
스텝 모드에서는, 마스크 테이블(MT)이 기본적으로 고정되어 있으며, 전체 마스크 이미지가 목표영역(C) 위로 한번(즉, 단일 "플래쉬")에 투영된다. 그 다음, 기판 테이블(WT)이 X 및/또는 Y 방향으로 이동하여 다른 목표 영역(C)이 빔(PB)에 의해 조사될 수 있다;
스캔 모드에서는, 소정의 목표영역(C)이 단일 "플래쉬"에 노광되지 않는 것을 제외하고는 기본적으로 스텝 모드와 동일한 방법이 적용된다. 대신에, 마스크 테이블(MT)이 소정방향(소위 "스캔 방향", 예를 들면 X 방향)으로 속도(υ)로 이동이 가능하기 때문에 투영 빔(PB)이 마스크 이미지를 스캔하게 된다; 이와 병행하여, 기판 테이블(WT)은 V = Mυ 의 속도로 동일한 방향 혹은 반대 방향으로 동시에 이동하고, 이때의 M은 렌즈(PL)의 배율 (일반적으로, M = 1/4 또는 1/5)이다. 이러한 방식으로, 비교적 큰 목표영역(C)이 해상도에 구애받지 않고 노광될 수 있다.
조명 시스템(IL)은 여기서 참고로 포함된 함께 계류중인 유럽특허출원 00300784.6(출원인 참조번호 P-0129)에 기재된 바와 같이 구성될 수 있다.
(예시)
이하에 기재되는 본 발명의 예시는 박막설계 프로그램 TFCalc(Software Spectra Inc.)을 사용하여 실행되고 LPro(4D Technology Ltd.)를 사용하여 증명된 계산으로부터 얻어진다. TFCalc 의 내장된 글로벌 및 니들 최적화 루틴이 A.V. Tikhonravov, Appl. Opt. 32, 5417(1993), A.V. Tikhonravov, M.K. Trubetskov 및 GM. DeBell, Appl. Opt. 35, 5493(1996) 및 J.A. Dobrowski 및 R.A. Kemp, Appl. Opt. 29, 2876(1990)에 기재된 바와 같은 최적화 처리에 사용되고, 이들 참조문헌들은 참고로 여기에 포함된다. 다양한 재료의 광학 상수, 즉 복합 굴절률 N=n-ik 는 Henke 등에 의한 원자 산란 인자로부터 유도되고 버클리의 CXRO 웹 서버(B.L. Henke, E.M. Gullikson, 및 J.C. Davis, Atomic Data and Nuclear Data Tables, 54(2), 181-342 (1993); http://www.cxro.lbl.gov/optical_constants/)로부터 얻어진다. 사용된 재료에 대한 n 및 k의 값은 6 nm에서 42nm 까지의 파장의 함수로서 다운로드되었고 그것으로서 n 및 k의 파장 종속은 모든 계산에 있어서 절대적이다. 특정의 관심을 두고 있는 몇몇의 파장에서의 다양한 물질에 대한 n 및 k의 값은 아래의 표 1에 도표화된다. 본 발명에 따른 반사기의 성능 강화를 증명하기 위하여 이하의 예시에서 이상적인 "백색" 광 조명을 가정한다.
(비교예 1)
비교예 1은 dMo= 2.8 nm 및 dSi= 4.1 nm 를 산출하는 분할 비율를 구비하여 Zerodur(RTM) 유리 기판상에 성장된 최적화되지 않은 50 주기 Mo/Si 시스템을 포함하는 표준 Si-기초 다층 스택이다. 게다가, 최종 Si층이 산화를 겪고2 nm 층의 천연 산화물을 효과적으로 형성한다. 이러한 스택의 분석은13.4 nm 에서 R = 0.731 의 피크 반사율을 산출한다. 이것은 본 발명에 따른 스택의 성능 비교를 위한 기준을 제공한다.
(예시 2 내지 23)
본 발명에 따른 예시 2 내지 23은 이하의 표 2에 상세하게 나타난 기준예 1의 스택에 대한 변형예로 구성된다. 표 2에 있어서, 열(2)은 스택의 층에 사용되는 재료를 나타내고; 열(3)은 적용된 최적화를 나타내고, 즉 N은 무(none)를 가리키고, Y는 글로벌 최적화를 나타내고, Y(n)은 니들 최적화를 나타내고(이하에 더욱 상세히 설명함); 열(4)은 적용된 캡핑 층을 나타내고; 열(5)은 피크 반사율(R)을 나타내고; 열(6)은 관련 유닛에서 R9peak 반사율을 나타내고; 및 열(7)은 관련 유닛에서의 R9int(집적된) 반사율을 나타낸다.
9-반사기 시스템에 대하여, 광학적 스루풋의 더욱 유용한 측정은 R9값이고, 이것은 일련의 9개의 반사기의 순 반사율이다. R9int는 R9대 λ(파장)스펙트럼에서의 커브(curve) 아래의 영역이다. 소정의 스택을 위한 R9peak와 R9int 사이의 변화는 최적화 처리, 또는 결합된 재료, 또는 캡핑 층 재료 또는 이들 셋의 임의의 조합의 함수인 스펙트럼 절반 폭에 있어서의 변화의 표시이다.
예시 2 내지 20의 모두의 최종 표면 층은 SiO의 경우 열(4)에 특정된 캡핑 층이 증착되거나 성장되는 4.1-4.5 nm Si 층이다. SiO2의 성장은 예시 2의 경우에 상부 2개 층이, 산화 이전에 대략 4nm Si층인 잔류물이고 다중층 중 최종층으로서 간주될 수 있는 2 nm의 Si 및 2nm SiO2이도록 표면 Si 층을 소비한다. 예시 21 내지 23은 열(4)에서 특정된 캡핑 층이 증착되는 4.0 내지 4.4 nm Rb 층으로 종결된다.
예시 2는 2nm 의 천연 산화물이 (비교예 1이 4nm 상부 층에 비하여) 6nm Si 상부 층상에서 성장가능한 비최적화 Mo/Si 스택이고, R의 1% 증가, R9peak의 13% 증가 및 R9int 의 7% 증가를 초래한다.
예시 3에 있어서, R9int 의 25% 이득은 2nm B 캡핑 층의 증착에 의해 달성된다. 예시 4 내지 7에서 추가적인 증가가 Rh 또는 Ru를 캡핑 층으로서 선택하고 스택을 최적화함으로써 수반된다. 2-성분(Mo/Si) 다중층 스택에 대한 36 %까지의 이득은 예시 7에의해 도시된 바와 같이 최적화에 의해 달성될 수 있다.
도 2는 1.5 nm 캡핑 층을 갖는 51 주기(102 층) 최적화 Mo/Si 스택의 층 구조를 보여준다. 도면에 있어서, 층(0)은 기판표면이다. 도시된 바와 같이, Mo/Si 스택의 최적화는 주기 폭이 약 6.8 내지 7.0 nm 에서 일정하게 유지되는 동안 스택을 통한 층 두께의 점진적이고 부드러운 변화를 초래한다. 기판 근처에서, dMo dSi 3.5 nm 이고 표면 근처에서 dMo 2.7 nm 및dSi 4.2 nm 까지 변화한다. 도 2에 도시된 스택에서, 분할 비율(Γ)은 표면으로부터 제 1의 20 주기(한 주기 = 한쌍의 층, 즉 하나의 Mo 및 하나의 Si 층)에 대하여 약 0.4로 유지되고 그후 기판에서 약 0.5로 점진적으로 변화한다. 따라서, 재료이 흡수가 더 높으면 높을 수록최적 반사율 응답에 대하여 표면 근처의 두께가 더 낮다. 이 현상은 이하에 상세히 설명된다.
예시 8 내지 12의 3 성분 시스템은 초기 두께가 영으로 설정된 Mo 및 Si 층 사이에 인터리브된 제 3재료를 갖는 2-성분 Mo/Si 스택으로서 초기에 설정된다. 그 후 글로벌 최적화 처리는 미리 설정된 반사율 목표에 접근될 때까지 모든 층의 두께를 변화시킨다. Mo-Rh/Si 및 Mo-Ru/Si 의 경우, Mo는 기판 근처에서 선호되고 Rh 또는 Ru는 표면 근처에서 선호되는 반면, Mo-RbCl/Si 시스템에서는, (단일 존재인) RbCl 는 스택의 중앙에서 Si를 부분적으로 대체하며, 즉 인접한 RbCl 및 Si 층의 두께의 총합은 표준 스택에서의 Si의 두께에 접근한다. Mo-Ru/Si 스택에 대한층 구조는 도 3에 도시된다. 이 스택은 최상층을 포함하여 50 Si 층을 갖고, 따라서, 1.5 nm Ru 캡핑 층을 포함하여 총 148 층을 갖는다. 도면에서, 층(0)은 기판 표면이다. 계산된 스루풋에서의 50% 이득은 표준 Mo/Si 스택에 걸쳐 Mo-Ru/Si 시스템에 대하여 관찰된다.
예시 12는 니들 최적화를 사용하여 Mo-Ru/Si 시스템에 대하여 R9int 의 다른 개선을 나타낸다. 니들 최적화 루틴에서, 매우 작은 두께를 갖는, 지정된 재료, 이경우에는, Mo, Ru 및 Rh의 부가 층이 주기적으로 스택에 부가된다. 그 후 이들 층은 국부 최적화 처리에 의해 성장되거나 제거될 수 있다. 따라서, 니들 최적화 스택은 Rh 및 Mo의 부가층을 포함하고, 이것의 순 결과는 표준 스택에 비하여 R9int 에 있어서의 59% 증가이다. 이 경우, 표준 최적화 Mo-Ru/Si 스택에 대한 것보다 최저한으로 낮은 0.764 의 피크 반사율로 R9int > R9peak 이라는 것은 주목할 만 하다. 이것은 실질적으로 더 큰 스펙트럼 절반-폭이 도 4에 도시된 바와 같은 니들 최적화 처리로부터 초래되고, 이것은 13.4 nm 영역에서의 파장 대 R9을 나타내는 그래프이다. 선 A는 표준 Mo/Si 스택에 대한 것(기준예 1)이고; 선 B는 최적화 Mo/Si(예시 4)이고; 선 C는 니들 최적화된 Mo-Ru/Si(예시 12)이고; 선 D는 니들 최적화된 Mo-Ru-Sr/Si(예시 19)이고; 선 E는 최적화된 Mo/Rb(예시 22)이다.
3-성분 스택에서의 층의 순서는 변경될 수 있다. 예를 들어, Rh-Mo/Si 는 Mo-Rh/Si 대신에 사용될 수 있고 Ru-Mo/Si는 Mo-Ru/Si 대신에 사용될 수 있다.
4-성분 스택(예를 들어 13 내지 20)은 상술된 바와 같은 3 성분 스택과 유사한 방식으로 형성되었다. 가장 바람직한 조합은 출력 강도에서 88% 까지의 상대 증가를 갖는 Mo-Ru-Sr/Si이다. 도 5는 Ru 캡핑 층을갖는 50 주기 Mo-Ru-Sr/Si 스택의 층 두께(nm)를 나타낸다. 전과 같이, 층(0)은 기판표면을 가리킨다. 또, 기판으로부터의 제 1의 50 층내에서는, Ru가 Mo에 비하여 우세하다. Mo 층 두께 프로파일의 스파이크는 Ru층이 수치 최적화 기술에 의해 제안된 바와 같이 Mo에 의해 전체적으로 대체된 층을 나타낸다. 이것은 R9int에서의 이득에 필수가 아니고 관련 Mo 층은 Mo 및 Ru 의 쌍의 층에 의해 대체될 수 있다. Sr은 이것이 n에 대한 높은 값과 낮은 흡광계수(k)(표 1 참조)를 가질 때 스택에서 Si와 유사한 기능을 수행한다. Sr 층내에서의 낮은 흡광은 스택의 상부 절반에서 이것을 바람직하게 한다. 상술된 Mo-Ru/Si 예시로써, Si와 Sr 및 Ru와 Mo의 두께의 총합은 도 2에 도시된 바와 같이 최적화된 Si 및 Mo 두께에 각각 접근한다. 원소들의 바람직한 순서는 Ru-Mo-Sr-Si이다. 층의 그룹화는 변경될 수 있고, 예를 들어 Ru-Mo-Sr/Si 는 계산목적상 Ru-Mo/Sr-Si로서 간주될 수 있다.
도 6은 니들 최적화 50 주기(50 Si 층) Mo-Ru-Sr/Si 스택의 층 두께를 나타낸다. Rh는 스택의 하부 절반에만 포함되고 제 1의 40 층들에 우세하게 포함된다. 가장 낮은 층들에서는, 높은 흡광 계수에도 불구하고 Si와의 더 높은 광학적 콘트라스트 때문에 Rh가 Ru에 비해 바람직하다.
Sr 및 Y는 Y의 복잡한 화학적 성질과 Sr의 높은 반응성 때문에 덜 용이하게증착가능하고, 덜 바람직하지만, 종래의 스택에 비하여 장점을 나타낸다. Mo-Ru-Zr/Si 및 Mo-Ru-RbCl/Si는 특별한 유망함을 보여주며, Ru-Mo-Zr/Si 및 Ru-Mo-RbCl/Si 순서이 동일한 층도 마찬가지다.
Rb 및 Si의 광학 상수의 비교(표 1)는 Rb가 원칙적으로 스페이스 층으로서 더 최적인 재료라는 것을 가리킨다. Si(유니티에 근접함)와 유사한 13.4 nm에서의 n의 값으로, Rb는 예를들어 Mo 및 Ru와의 광학적 콘트라스트를 유지할 것이다. 또한, Si에 비하여 더 낮은 흡광 계수(k) 값은 Rb를 근사 최적 스페이서 재료로 만든다. 이것은 표 2로부터 알 수 있는 바와 같이 예시 21 내지 23에 의해 증명된다. 5%의 피크 반사율의 증가가 표준 Mo/Si 스택보다 더 높은 인자(2) 이상인 R9int의 값을 산출하는 등가 Mo/Si 스택에 비하여 Mo/Rb 스택에 대하여 발견된다. 그러나, Rb-기초한 시스템은 Rb의 높은 반사율과 극히 낮은 녹는점(39℃)에 기인하여 구조상의 동작상의 어려움을 제공한다.
(기준예 24)
기준예 24는 dMo=2.3 nm 및 dBe= 3.4 nm를 산출하는 분할 비율(Γ=0.4)를 가지며, Zerodur(RTM) 유리 기판상에 성장한 비최적화 80-주기 Mo/Be 시스템을 포함하는 11.3 nm 에서의 사용을 위한 다중층 스택이다. 이것은 11.3 nm에서의 사용을 위해 조율된 예시 25 내지 40을 위한 기준을 제공한다.
(예시 25 내지 40)
표 3은 표 2에 대응하지만, 11.3 nm에서 사용을 위해 조율된 반사기 스택인 본 발명에 따른 예시 25 내지 40을 위한 데이타를 제공한다.
최적화 및 캡핑 층 증착의 효과는 13.4 nm에서 보다 11.3 nm에서 덜 중요하고, 단지 R9int에서의 8% 개선만이 제공된다.
그러나, Ru 및 Rh는 11.3 nm 윈도우에 대하여 Mo보다 더 선호된다. Ru/Be 스택은 Mo/Be 기준예에 비해 70% 까지 더 큰 상대 비교 스루풋을 갖는 반면, Rh/Be 스택이 스루풋은 33% 더 크다. 비록 이것이 Ru/Be에 대해서 보다 상당히 낮을 지라도, 이 조합은 Rh-Be 인터페이스 화학성질등의 인자에 기인하여 본 발명의 소정의 응용에서 바람직할 수 있다.
본 발명의 특히 바람직한 실시예는 반사율에 있어서의 막대한 증가를 나타내는 "니들" 최적화 Rh/Be 스택이다. 이것은 그것을 Rh-Ru-Pd-Mo/Be 또는 Pd-Rh-Ru-Mo/Be 다중 성분 스택으로 효과적으로 변화시키는 최적화 처리동안 Pd, Ru 및 Mo 층의 결합에 기인한다.
1.5 nm Ru 층으로 캡핑된 80 주기(80 Be 층) Ru-Sr/Be 스택의 층 두께가 도 7에 도시된다. 유사한 결과가 Ru/Sr-Be로써 달성될 수 있다. 상술된 바와 같이, 기판 표면은 층(0)으로 나타낸다. 이들 유사한 광학 상수에 기인하여, Be 및 Sr은 기판 근처에서 우세한 Ru와 함께 스택내에서 유사한 기능을 수행한다. 표면 근처의 Be와 Sr 두께의 총합은 약 4.1 nm인 반면, Ru 두께는 약 1.7 nm이다. 이들은Γ= 0.4 을 갖는 Mo/Be 스택의 두께와는 현저히 다르다. 이것은 Mo에 비하여 Ru의 높은 흡광계수 때문이며, 더 낮은 Ru 두께가 선호된다. Mo 대신 Ru를 채용하는데 있어서의 이득은 Be와의 광학적 콘트라스트의 결과적인 증가로부터 유래한다. 바람직한 스택 주기는 Ru-Sr-Be이다.
Be-기초한 다중층의 선택된 스펙트럼은 도 8에 도시된다. 이 도면은 5 스택에 대한 11.3 nm 영역에서의 파장 대 R9의 구성을 나타낸다. A는 기준 Mo/Be 스택이고, B는 Ru 캡핑 층을 갖는 최적화된 Mo/Be스택이고, C는 최적화된 Ru/Be 스택이고, D는 니들 최적화된 Rh/Be 스택이고, E는 최적화된, Ru 캡핑된 Ru-Sr/Be 스택이다.
예시 35 내지 40은 인자 2까지의 스루풋 강화를 산출하는 스트론튬 함유 3성분 시스템이다.
캡핑 층으로서, Rh 및 Ru는 이 파장영역에 대하여 최적이고 R에 있어서의 0.7 - 10%의 증가를 제공한다.
(예시 41 내지 44)
11 nm 및 14 nm 사이의 EUV 영역에 대한 다양한 다중층 시스템의 상기 계산적 분석으로부터, 9-미러 광학 시스템에 대한 피크 반사율과 집적 반사율에 있어서의 상당한 강화가 가능하다는 것을 알 수 있다. 캡핑 층 선택, 글로벌 및 니들 최적화 루틴 및, 가장 중요하게는, 스택내의 부가적 또는 대체 재료의 결합의 조합은반사율 강화를 위한 방안인 것으로 나타난다. 다양한 진공 증착 기술을 사용하여 용이하게 증착되는 Rh 및 Ru등의 금속은 이들이 이론적 성능에 있어서 Mo를 능가하는 11.3 nm 영역에 대해 특히 Be와 결합하여 장점을 제공한다. 또한, 상술된 다양한 조합을 사용하여 Mo/Si(Be) 과 관련된 인터페이스 거칠음의 문제가 다소 경감될 수 있다.
예를 들어 Mo-Rh/Si 및 Mo-Ru/Si 스택에 있어서, 기판 근처에서는 Rh(Ru)가 Mo에 비해 우세하고 표면 근처에서는 그 역이 성립하는 개선된 결과가 제공된다. 이것은 13.4 nm에서 Rh 및 Ru는 Mo 보다 Si와의 더 높은 광학적 콘트라스트를 나타내는 반면, 흡광계수(k), 따라서 층내의 흡수도는 Rh 및 Ru 보다 Mo대해서 더 낮기 때문일 수 있다. 스택의 표면 근처에서, 흡수도가 낮아서 입사 방사선이 가능한한 스택내로 깊게 투과하여 페이저 부가가 최대화된다. 그러나, 강도가 낮은 스택내에 깊게 투과할 지라도, 증가된 광학 콘트라스트는 최대화될 반사 강도에 대하여 선호된다.
Sr이 구조체내에 결합될 때, 이것은 바람직하게는 스택의 근처-표면 영역에 위치되고 부분적으로 Si를 대체한다. 이것은 유사한 주장에 의해 설명될 수 있고, Sr에 대한 n의 값이 Si 보다 더 낮고 따라서 n값이 낮은 재료와의 광학적 콘트라스트가 약간 낮아지는 반면, Si와 비교하여 Sr의 더 낮은 k 값은(표 1 참조) 층내의 흡수도가 더 낮아서 스택의 표면근처에서 Sr를 선호한다는 것을 의미한다. 11.3 nm 동작에 대한 Be-기초한 스택에 대해 얻어진 데이터는 유사한 효과가 발생하는 것을 나타낸다.
예시 41 내지 44는 상술된 반사기가 설계된 영역 보다 다소 낮은, 약 10.9 nm에서의 피크 출력 강도를 갖는 Xenon-제트 레이저-유도 프라즈마 소스(Xe-Jet LPS)와의 사용을 위해 설계된다.
도 9는 여러가지 반사기의 R9반사율(좌측 축)과 nm 단위의 파장(X 축) 대 상대 Xe-Jet LPS 방출 강도(우측 축)를 나타낸다. 도 9에서:
(a)는 종래의 비최적화 Mo/Si 스택의 스펙트럼 응답이고 상대 반사율 도면에 대한 기준으로서 사용된다.
(b)는 상기 예시 7과 유사한 최적화 Mo/Si 스택이다.
(c)는 최적화 Rh-Ru-Mo/Sr-Si 스택이다.
(d)는 상기 비교예 24와 유사한 종래의 비최적화 Mo/Be 스택이다.
(e) 상기 예시 40과 유사한 최적화 Rh-Mo/Be 스택이다.
(f)는 최적화 Pd-Rh-Ru-Mo/Be 스택이다.
(g)는 본 발명의 예시 41을 형성하는 최적화 Pd-Rh-Ru/RbCl 스택이다.
(h)는 본 발명의 예시 42를 형성하는 최적화 Rh-Ru/P 스택이다.
(i)는 본 발명의 예시 43을 형성하는 최적화 Rh-Ru/Sr 스택이다.
비록 예시 41 내지 43은 상술된 다른 예시보다 더 낮은 R9peak 및 R9int를 가질지라도, 이들은 Xe-Jet LPS의 방출 최대치에 매우 가까운 이들의 피크 반사율을 제공하는 장점을 갖는다. 이들은 이 소스와 함께 사용하는데 이상적이다. 비최적화 Mo/Si 스택이 스루풋을 1.0으로서 취하면, 예시 41(g), 42(h) 및 43(i)는 각각3.0, 5.7 및 6.5의 상대 스루풋을 제공한다. 이것은 독성이 높은 Be의 사용을 피하고 5.7인 Mo/Be 스택(d)의 스루풋과 필적한다.
9.0 내지 12 nm에서의 0.75 보다 더 큰 값을 주는 피크 반사율에 있어서의 추가적인 개선이 P 및 Sr를 결합하는 4 성분 스택, 예를 들면 Rh-Ru/P-Sr에서 달성될 수 있다.
또다른 진보가 예시 44에 의해 도시된다. 예시 44는 10.9 nm에서 R=0.776의 피크 반사율을 갖는 니들 최적화 Rh-Ru/Sr-Ce 스택이다. 도 10은 10 내지 12 nm 영역에서의 예시 44의 R(좌측 축) 및 R9(우측 축)의 완전 파장 의존성을 나타낸다. 도 11은 이 스택에서의 층 두께를 나타낸다.
(예시 45 내지 48)
소정의 다른 대안적인 스택 구성이 표 4에 도시된다. 이 표에서, 예시 45는 Ru-Nb/Si의 3 층 스택이고, 이것은 니오븀이 Si-기초한 스택에서의 개선을 줄 수 있지만, 달리 표 2의 예시 8 내지 12과 동일하다는 것을 보여준다.
12.8 nm에서의 사용을 위하여, 서로 다른 다중층이 최적일 수 있다. 2개의 이러한 다중층은 표 5의 예시 47 및 48이다. 46에서, 12.8 nm에서의 (비교예 1과 등가인) 종래의 Mo/Si의 R 값이 주어진다. Mo 를 부분적으로 대체하는 Ru의 부가는 이 주파수에서의 반사율을 개선하는 반면 실리콘을 부분적으로 대체하는 스페이서 재료로서 베릴륨의 사용은 더 많은 개선을 제공한다.
통상적으로, 란타니드(희토금속)는 Mo, Ru 및 Rh 등의 금속과 양호한 광학적 콘트라스트를 제공할 수 있고 기판에 더 가까운 반사기에서 선호될 수 있다. 이러한 견지에서, 란타니드는 이들이 흡광계수(k)의 값이 9 - 16 nm 영역에서의 소정의 다른 재료만큼 낮지 않은 단점을 극복하는 유니티(unity)에 가까운 굴절률(n)를 갖기 때문에 광학 콘트라스트가 제공된다. 란타늄은 13 nm에서 또는 13nm 근처에서 특히 바람직하다.
본 발명에서 사용가능한 다른 대안적인 스페이서는 벌크 실리카의 밀도의 약 1/10의 밀도를 갖는 낮은 밀도(다공성) 실리카 (에어로겔) 등의 다공성 재료이다. 도 12는 이러한 다공성 실리카를 사용하여 Rh-Ru/SiO2-aero 스택의 파장 민감성을 나타낸다. 11 nm 아래의 그것의 비교적 넓은 반사 피크가 주목될 것이다. 사용될 수 있는 다른 저밀도 재료는 티탄 및 알루미나 에어로겔; 나노-포러스 실리콘, 메조-포러스 실리콘, 실리콘 및 다른 반도체의 나노클러스터를 포함한다. 이들 재료는 8 내지 20 nm 파장 영역에 걸쳐 특정 파장으로 조율된 반사기를 제조하는데 사용될 수 있다. 이 재료는 n 및 k 에 관한 값이 밀도 의존성이기 때문에 유용하다. 밀도를 증가시킴에 따라 굴절률(n)는 1로 되고 흡광계수(k)는 영이 되는 경향이 있다. 통상적인 Si 에어로겔의 밀도는 0.2 gcm-3인 반면 다공성 Si의 밀도는 1.63 gcm-3이다.
본 발명에 따른 반사기에 적용될 수 있는 캡핑 층의 더욱 상세한 설명은 참고로 여기에 포함된 계류중인 출원 "EUV 광학 요소용 캡핑 층"(출원인 참조번호 P-0150.030)에 기재되어 있다.
본 발명의 특정 실시예에 대하여 상술하였지만, 본 발명은 상술된 것과 달리 실시될 수 있다는 것을 알 수 있을 것이다. 상세한 설명은 본 발명을 제한도록 의도되지 않는다.
본 발명에 따른 원자외선(EUV)용 다층 미러는 소정의 파장에서 더 높은 반사율을 가질 수 있다.
10.9nm 11.3nm 13.4nm
n k n k n k
B 0.9786 0.0023 0.9689 0.0040
B4C 0.9753 0.0029 0.9643 0.0050
Be 1.0092 0.0196 1.0081 0.0010 0.9892 0.0018
BeO 0.9785 0.0102 0.9587 0.0171
BN 0.9740 0.0050 0.9633 0.0086
C 0.9732 0.0040 0.9622 0.0067
Ce 1.0522 0.0197 1.0380 0.0159 1.0074 0.0062
Eu 0.9902 0.0062 0.9883 0.0074 0.9812 0.0123
La 1.0777 0.0601 1.0460 0.0200 1.0050 0.0065
Mo 0.9514 0.0046 0.9227 0.0062
P 0.9949 0.0014
Pd 0.9277 0.0099 0.9198 0.0135 0.8780 0.0443
Pr 1.0167 0.0119 1.0115 0.0125 0.9840 0.0072
Rb 0.9974 0.0014 0.9941 0.0007
RbCl 0.9943 0.0023 0.9941 0.0022 0.9895 0.0019
Rh 0.9313 0.0068 0.9236 0.0089 0.8775 0.0296
Ru 0.9373 0.0056 0.9308 0.0063 0.8898 0.0165
Si 1.0055 0.0146 0.9999 0.0018
Si aerogel 0.9988 0.0011
Porous Si 1.0015 0.0049
Si3N4 0.9864 0.0173 0.9741 0.0092
SiC 0.9936 0.0159 0.9831 0.0047
SiO2 0.9865 0.0123 0.9787 0.0106
Sr 0.9936 0.0011 0.9928 0.0011 0.9880 0.0013
Y 0.9835 0.0020 0.9742 0.0023
Zr 0.9733 0.0029 0.9585 0.0037
R R9peak R9int
1 Mo/Si N 2nm SiO2 0.731 1.00 1.00
2 Mo/Si N (2nm Si + ) 2nm SiO2 0.741 1.13 1.07
3 Mo/Si N 2nm B 0.751 1.27 1.25
4 Mo/Si Y 2nm B 0.752 1.29 1.26
5 Mo/Si Y 1.5nm Rh 0.754 1.32 1.27
6 Mo/Si N 1.5nm Ru 0.757 1.37 1.35
7 Mo/Si Y 1.7nm Ru 0.758 1.39 1.36
8 Mo-Rh/Si Y 1.7nm Ru 0.762 1.45 1.38
9 Mo-RbCl/Si Y 1.5nm Ru 0.761 1.44 1.39
10 Mo-Ru/Si Y 1.5nm Rh 0.760 1.42 1.41
11 Mo-Ru/Si Y 1.7nm Ru 0.765 1.51 1.50
12 Mo-Ru/Si Y(n) 1.5nm Ru 0.764 1.48 1.59
13 Mo-Rh-RbCl/Si Y 1.7nm Ru 0.764 1.49 1.38
14 Mo-Ru-Zr/Si Y 1.7nm Ru 0.764 1.49 1.44
15 Mo-Ru-Y/Si Y 1.5nm Ru 0.770 1.60 1.55
16 Mo-Ru-RbCl/Si Y 1.5nm Ru 0.767 1.54 1.56
17 Mo-Rh-Sr/Si Y 1.6nm Ru 0.779 1.77 1.56
18 Mo-Ru-Sr/Si Y 1.5nm Ru 0.776 1.71 1.57
19 Mo-Ru-Sr/Si Y 1.5nm Ru 0.791 1.81 1.68
20 Mo-Ru-Sr/Si Y(n) 1.5nm Ru 0.781 1.81 1.85
21 Ru/Rb Y 1.5nm Ru 0.779 1.77 1.41
22 Mo/Rb Y 1.5nm Ru 0.809 2.49 2.13
23 Mo-Ru-Sr/Rb Y 1.5nm Ru 0.814 2.63 2.20
R R9peak R9int
24 Mo/Be N None 0.775 1.00 1.00
25 Mo/Be N 1.5nm Rh 0.782 1.08 1.08
26 Mo/Be Y None 0.780 1.06 1.00
27 Mo/Be Y 1.5nm Rh 0.787 1.15 1.06
28 Mo/Be Y 1.5nm Ru 0.788 1.16 1.08
29 Ru/Be Y 1.5nm Rh 0.810 1.49 1.68
30 Ru/Be Y 1.5nm Ru 0.811 1.50 1.70
31 Rh/Be N 1.5nm Rh 0.793 1.10 1.33
32 Rh/Be Y 1.5nm Rh 0.793 1.23 1.29
33 Rh/Be Y 1.5nm Ru 0.794 1.24 1.31
34 Rh/Be Y(n) 1.5nm Rh 0.811 1.50 1.77
35 Mo-Sr/Be Y 1.5nm Rh 0.799 1.32 1.21
36 Ru-Sr/Be Y 1.5nm Rh 0.822 1.70 1.97
37 Ru-Sr/Be Y 1.5nm Ru 0.823 1.72 2.00
38 Rh-Sr/Be Y 1.5nm Rh 0.810 1.49 1.64
39 Rh-Sr/Be Y 1.5nm Ru 0.811 1.50 1.67
40 Ru-Mo/Be Y(n) 1.5nm Ru 0.812 1.52 1.72
R R9peak R9int
45 Ru-Nb/Si Y 2nm Rh 0.754 1.20 1.27
46 Mo/Si N 2nm Si + 2nm SiO2 0.738 1.00 1.00
47 Ru-Mo/Si Y 2nm Rh 0.768 1.43 1.48
48 Ru-Mo/Be-Si Y 2nm Rh 0.778 1.61 1.63

Claims (25)

  1. 소정의 파장영역의 방사선을 반사하며, 제 1 및 제 2재료의 교대층의 스택을 포함하고, 상기 제 1재료는 상기 제 2재료 보다 상기 소정 파장영역에서 더 낮은 실제 굴절률을 갖는 반사기에 있어서,
    제 3재료의 적어도 하나의 층이 상기 스택에 개재되며, 상기 제 3재료는 Rb, RbCl, RbBr, Sr, Y, Zr, Ru, Rh, Tc, Pd, Nb 및 Be 뿐아니라 이들 물질의 합금 및 화합물을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기.
  2. 제 1항에 있어서,
    상기 제 3재료의 층은 상기 제 1 및 제 2재료의 층의 각 쌍 사이에 개재되는 것을 특징으로 하는 반사기.
  3. 제 1항 또는 제 2항에 있어서,
    상기 스택 내에 개재된 제 4재료의 적어도 하나의 층을 더 포함하고, 상기 제 4재료는 Rb, RbCl, RbBr, Sr, Y, Zr, Ru, Rh, Tc, Pd, Nb 및 Be 뿐아니라 이들 물질의 합금 및 화합물을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기.
  4. 제 1항, 제 2항 또는 제 3항에 있어서,
    상기 제 1재료는 Mo, Ru 및 Rh를 포함하는 그룹으로부터 선택되고, 상기 제 2재료는 Si, Be, P, Sr, Rb 또는 RbCl을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기.
  5. 소정의 파장영역의 방사선을 반사하며, 제 1 및 제 2재료의 교대층의 스택을 포함하고, 상기 제 1재료는 상기 제 2재료 보다 상기 소정 파장영역에서 더 낮은 실제 굴절률을 갖는 반사기에 있어서,
    상기 제 1 및 제 2재료의 층 두께가 스택을 통하여 변화하는 것을 특징으로 하는 반사기.
  6. 제 5항에 있어서,
    상기 반사기의 입사표면 근처의 상기 제 1재료의 층은 입사표면으로부터 더 먼 층보다 더 얇은 것을 특징으로 하는 반사기.
  7. 제 5항 또는 제 6항에 있어서,
    상기 반사기의 입사표면에 가까운 상기 제 2재료의 층은 입사표면으로부터 더 먼 층보다 더 두꺼운 것을 특징으로 하는 반사기.
  8. 소정의 파장영역의 방사선을 반사하며, 제 1 및 제 2재료의 교대층의 스택을 포함하고, 상기 제 1재료는 상기 제 2재료 보다 상기 소정 파장영역에서 더 낮은실제 굴절률을 갖는 반사기로서,
    상기 제 2재료는 P, Sr, Rb 및 란타니드, 특히 La, Ce, Pr 및 Eu 뿐아니라 이들의 화합물 및 합금을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기.
  9. 제 8항에 있어서,
    상기 제 1재료는 Ru, Mo 및 Rh 뿐아니라 이들의 화합물 및 합금을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기.
  10. 소정의 파장영역의 방사선을 반사하며, 제 1 및 제 2재료의 교대층의 스택을 포함하고, 상기 제 1재료는 상기 제 2재료 보다 상기 소정 파장영역에서 더 낮은 실제 굴절률을 갖는 반사기에 있어서,
    상기 제 1재료는 Ru 및 Rh 뿐아니라 이들의 합금 및 화합물을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기.
  11. 제 10항에 있어서,
    상기 제 2재료는 Be 또는 이들의 합금 또는 화합물인 것을 특징으로 하는 반사기.
  12. 제 10항 또는 제 11항에 있어서,
    상기 스택은 적어도 하나의 제 3재료층을 더 포함하고, 상기 제 3재료는 바람직하게는 Sr 및 Mo 뿐아니라 이들의 화합물 및 합금을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기.
  13. 제 1항 내지 제 12항 중 어느 한 항에 있어서,
    상기 제 2 및 제 3층에 비하여 비교적 불활성인 물질로 된 캡핑 층을 더 포함하는 것을 특징으로 하는 반사기.
  14. 제 13항에 있어서,
    상기 비교적 불활성인 재료는 다이아몬드형 탄소(C), 보론 니트라이드(BN), 실리콘 니트라이드(Si3N4), 실리콘 카바이드(SiC), B, Ru 및 Rh 및 이들의 화합물 및 합금을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 반사기.
  15. 제 13항 또는 제 14항에 있어서,
    상기 캡핑 층은 0.5 내지 3 nm 의 범위, 바람직하게는 1 내지 2 nm 범위의 두께를 갖는 것을 특징으로 하는 반사기.
  16. 제 1항 내지 제 15항 중 어느 한 항에 있어서,
    상기 반사기는 8 에서 20 nm의 범위, 특히 9 내지 16 nm의 범위의 피크 반사율을 제공하는 것을 특징으로 하는 반사기.
  17. 제 1항 내지 제 16항 중 어느 한 항에 있어서,
    상기 제 2재료는 실리카, 티타니아 및 알루미나 에어로겔 등의 저밀도 다공성 재료; 나노-포러스 실리콘, 메조-포러스 실리콘, 실리콘 및 다른 반도체의 나노클러스터를 포함하는 것을 특징으로 하는 반사기.
  18. 방사 투영 빔을 공급하는 조명 시스템;
    마스크를 고정하는 마스크 홀더를 구비한 제 1 대물 테이블;
    기판을 고정하는 기판 홀더를 구비한 제 2 대물 테이블; 및
    기판의 목표부에 마스크의 방사부를 결상하는 투영 시스템을 포함하는 전사 투영 장치에 있어서,
    상기 조명 시스템 및 상기투영 시스템 중 적어도 하나는 제 1항 내지 제 17항 중 어느 한 항에 따른 반사기를 포함하는 것을 특징으로 하는 반사기.
  19. 소정의 파장에서 방사선을 반사하며, 제 1 및 제 2재료의 교대층의 스택을 포함하고, 상기 제 1재료는 상기 제 2재료 보다 더 낮은 실제 굴절률을 갖는 반사기를 제조하는 방법에 있어서,
    수치반복 최적화처리를 사용하여 적당한 층 두께를 결정하는 단계; 및
    상기 결정단계에서 실질적으로 결정된 층두께를 갖는 반사기를 제조하는 단계를 포함하여 이루어지는 것을 특징으로 하는 방법.
  20. 제 19항에 있어서,
    상기 반복처리는,
    층들에 대한 특정의 초기 두께와 제 1 및 제 2재료에 대한 특정의 재료를 갖는 반사기의 모델을 설정하는 단계;
    스택의 하나 이상의 층의 두께를 변화시키고 결과적인 스택의 반사율을 계산하는 단계; 및
    특정의 기준에 도달할 때까지 상기 변화 및 계산단계를 반복하는 단계를 포함하여 이루어지는 것을 특징으로 하는 방법.
  21. 제 20항에 있어서,
    상기 설정단계에서 적어도 하나의 제 3재료로 된 적어도 하나의 층이 스택내에 포함되고, 제 3재료의 상기 층의 두께는 상기 변화단계의 적어도 1회의 반복에서 변화되는 것을 특징으로 하는 방법.
  22. 제 21항에 있어서,
    상기 제 3재료는 Rb, RbCl, RbBr, Sr, Y, Zr, Ru, Rh, Tc, Pd, Nb 및 Be 뿐아니라 이들 물질의 합금 및 화합물을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 방법.
  23. 제 21항 또는 제 22항에 있어서,
    상기 최적화 처리는 글로벌 또는 니들 최적화 처리를 포함하는 것을 특징으로 하는 방법.
  24. 적어도 부분적으로 에너지 감지물질층으로 덮힌 기판을 제공하는 단계;
    패턴을 포함하는 마스크를 제공하는 단계;
    방사 투영빔을 사용하여 에너지 감지 물질층의 목표영역상으로 마스크 패턴의 적어도 일부의 이미지를 투영하는 단계를 포함하는 디바이스 제조방법으로서,
    상기 방사투영빔은 제 1항 내지 제 15항 중 어느 한항에 따른 반사기를 포함하는 조명 또는 투영시스템을 사용하여 공급 또는 투영되는 것을 특징으로 하는 디바이스 제조방법.
  25. 제 24항의 방법에 따라 제조된 디바이스.
KR1020000035869A 1999-07-02 2000-06-28 소정의 파장영역의 방사선을 반사하기 위한 반사기, 반사기를 포함하는 리소그래피 투영장치, 반사기의 제조방법, 투영빔이 반사기를 포함하는 조명 또는 투영시스템을 사용하여 공급 또는 투영되는 디바이스 및 그의 제조방법 KR100599940B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP99305283 1999-07-02
EP99307932.6 1999-10-07
EP99307932 1999-10-07
EP99305283.6 1999-10-07

Publications (2)

Publication Number Publication Date
KR20010066880A true KR20010066880A (ko) 2001-07-11
KR100599940B1 KR100599940B1 (ko) 2006-07-12

Family

ID=26153524

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020000035870A KR100589240B1 (ko) 1999-07-02 2000-06-28 Euv 광학 요소의 캡핑 층
KR1020000035869A KR100599940B1 (ko) 1999-07-02 2000-06-28 소정의 파장영역의 방사선을 반사하기 위한 반사기, 반사기를 포함하는 리소그래피 투영장치, 반사기의 제조방법, 투영빔이 반사기를 포함하는 조명 또는 투영시스템을 사용하여 공급 또는 투영되는 디바이스 및 그의 제조방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020000035870A KR100589240B1 (ko) 1999-07-02 2000-06-28 Euv 광학 요소의 캡핑 층

Country Status (6)

Country Link
US (4) US6449086B1 (ko)
EP (3) EP1065568B1 (ko)
JP (2) JP4068285B2 (ko)
KR (2) KR100589240B1 (ko)
DE (2) DE60018328T2 (ko)
TW (2) TW561279B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100589240B1 (ko) * 1999-07-02 2006-06-14 에이에스엠엘 네델란즈 비.브이. Euv 광학 요소의 캡핑 층
KR101310525B1 (ko) * 2005-10-11 2013-09-23 가부시키가이샤 니콘 다층막 반사경, 다층막 반사경의 제조 방법, 광학계, 노광장치 및 디바이스의 제조 방법

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7261957B2 (en) * 2000-03-31 2007-08-28 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
DE10016008A1 (de) 2000-03-31 2001-10-11 Zeiss Carl Villagensystem und dessen Herstellung
US20070281109A1 (en) * 2000-03-31 2007-12-06 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
JP5371162B2 (ja) * 2000-10-13 2013-12-18 三星電子株式会社 反射型フォトマスク
US6479830B1 (en) 2000-11-01 2002-11-12 Trw Inc. Low-sputter-yield coating for hardware near laser-produced plasma
US6576912B2 (en) * 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
US6664554B2 (en) * 2001-01-03 2003-12-16 Euv Llc Self-cleaning optic for extreme ultraviolet lithography
EP1381919A1 (en) * 2001-04-17 2004-01-21 Koninklijke Philips Electronics N.V. Euv-transparent interface structure
US7439530B2 (en) * 2005-06-29 2008-10-21 Cymer, Inc. LPP EUV light source drive laser system
US7372056B2 (en) * 2005-06-29 2008-05-13 Cymer, Inc. LPP EUV plasma source material target delivery system
US7405416B2 (en) * 2005-02-25 2008-07-29 Cymer, Inc. Method and apparatus for EUV plasma source target delivery
US7378673B2 (en) * 2005-02-25 2008-05-27 Cymer, Inc. Source material dispenser for EUV light source
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
KR100725859B1 (ko) * 2001-05-23 2007-06-08 학교법인 한양학원 극자외선 노광 공정용 Ru/Mo/Si 반사형 다층 박막미러
US20030064161A1 (en) * 2001-06-06 2003-04-03 Malinowski Michael E. Method for reducing carbon contamination of multilayer mirrors
KR100548713B1 (ko) 2001-06-20 2006-02-02 에이에스엠엘 네델란즈 비.브이. 디바이스제조방법, 이것에 의하여 제조된 디바이스 및상기 방법에 사용하기 위한 마스크
ATE374425T1 (de) * 2001-07-03 2007-10-15 Euv Llc Zweilagige schutzschicht
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
DE10150874A1 (de) 2001-10-04 2003-04-30 Zeiss Carl Optisches Element und Verfahren zu dessen Herstellung sowie ein Lithographiegerät und ein Verfahren zur Herstellung eines Halbleiterbauelements
KR100454081B1 (ko) * 2001-12-24 2004-10-20 학교법인 한양학원 반사형 다층 박막 미러 및 그 제조 방법
US6920199B2 (en) * 2002-02-20 2005-07-19 Gkss-Forschungszentrum Geesthacht Gmbh Mirror element for the reflection of x-rays
DE10208705B4 (de) * 2002-02-25 2008-10-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Monochromatorspiegel für den EUV-Spektralbereich
DE50301645D1 (de) * 2002-03-04 2005-12-22 Fraunhofer Ges Forschung Methode zur Auswahl der Schichtdicken eines reflektierenden Elements für elektromagnetische Strahlung im extremen ultravioletten Bereich
US20050111083A1 (en) * 2002-03-27 2005-05-26 Yakshin Andrey E. Optical broad band element and process for its production
KR100455383B1 (ko) * 2002-04-18 2004-11-06 삼성전자주식회사 반사 포토마스크, 반사 포토마스크의 제조방법 및 이를이용한 집적회로 제조방법
TWI227380B (en) 2002-06-06 2005-02-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1369744A1 (en) * 2002-06-06 2003-12-10 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
SG108316A1 (en) * 2002-06-14 2005-01-28 Asml Netherlands Bv Euv lithographic projection apparatus comprising an optical element with a self-assembled monolayer, optical element with a self-assembled monolayer, method of applying a self-assembled monolayer, device manufacturing method and device manufactured there
DE10235255B4 (de) * 2002-08-01 2004-08-12 Infineon Technologies Ag Reflektierender Spiegel zur lithographischen Belichtung und Herstellungsverfahren
EP1394815B1 (en) * 2002-08-28 2011-06-22 ASML Netherlands B.V. Lithographic apparatus comprising a Mo/Si-multilayer with capping layer
US6954257B2 (en) * 2002-08-28 2005-10-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US6763086B2 (en) * 2002-09-05 2004-07-13 Osmic, Inc. Method and apparatus for detecting boron in x-ray fluorescence spectroscopy
DE10258709A1 (de) * 2002-12-12 2004-07-01 Carl Zeiss Smt Ag Schutzsystem für reflektive optische Elemente, reflektives optisches Element und Verfahren zu deren Herstellung
US6982133B2 (en) * 2002-12-21 2006-01-03 Intel Corporation Damage-resistant coatings for EUV lithography components
TWI286674B (en) 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
DE10309084A1 (de) * 2003-03-03 2004-09-16 Carl Zeiss Smt Ag Reflektives optisches Element und EUV-Lithographiegerät
US7126671B2 (en) 2003-04-04 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE10319005A1 (de) * 2003-04-25 2004-11-25 Carl Zeiss Smt Ag Reflektives optisches Element, optisches System und EUV-Lithographievorrichtung
JP4521696B2 (ja) * 2003-05-12 2010-08-11 Hoya株式会社 反射多層膜付き基板及び反射型マスクブランクス並びに反射型マスク
US7499149B2 (en) 2003-06-24 2009-03-03 Asml Netherlands B.V. Holographic mask for lithographic apparatus and device manufacturing method
EP1496521A1 (en) * 2003-07-09 2005-01-12 ASML Netherlands B.V. Mirror and lithographic apparatus with mirror
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
US6998202B2 (en) * 2003-07-31 2006-02-14 Intel Corporation Multilayer reflective extreme ultraviolet lithography mask blanks
JP2005056943A (ja) 2003-08-08 2005-03-03 Canon Inc X線多層ミラーおよびx線露光装置
US7420653B2 (en) * 2003-10-02 2008-09-02 Asml Netherlands B.V. Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly
ATE538491T1 (de) * 2003-10-15 2012-01-15 Nikon Corp Mehrschichtiger filmreflexionsspiegel, herstellungsverfahren für einen mehrschichtigen filmreflexionsspiegel und belichtungssystem
EP1624467A3 (en) * 2003-10-20 2007-05-30 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US20050109278A1 (en) * 2003-11-26 2005-05-26 Ted Liang Method to locally protect extreme ultraviolet multilayer blanks used for lithography
US7460206B2 (en) * 2003-12-19 2008-12-02 Carl Zeiss Smt Ag Projection objective for immersion lithography
US7081992B2 (en) 2004-01-16 2006-07-25 Euv Llc Condenser optic with sacrificial reflective surface
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4693395B2 (ja) * 2004-02-19 2011-06-01 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
US7196342B2 (en) * 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
JP4566791B2 (ja) * 2004-03-26 2010-10-20 キヤノン株式会社 軟x線多層膜反射鏡
JP4532991B2 (ja) * 2004-05-26 2010-08-25 キヤノン株式会社 投影光学系、露光装置及びデバイス製造方法
US7491478B2 (en) * 2004-07-23 2009-02-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
DE102004043516A1 (de) 2004-09-08 2006-03-23 Osram Opto Semiconductors Gmbh Seitlich emittierendes strahlungserzeugendes Bauelement und Linse für ein solches Bauelement
US7092290B2 (en) * 2004-11-16 2006-08-15 Sandisk Corporation High speed programming system with reduced over programming
DE102004062289B4 (de) 2004-12-23 2007-07-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich
US7701554B2 (en) * 2004-12-29 2010-04-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and optical component
US7196343B2 (en) * 2004-12-30 2007-03-27 Asml Netherlands B.V. Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby
US7450217B2 (en) 2005-01-12 2008-11-11 Asml Netherlands B.V. Exposure apparatus, coatings for exposure apparatus, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7449703B2 (en) * 2005-02-25 2008-11-11 Cymer, Inc. Method and apparatus for EUV plasma source target delivery target material handling
US7482609B2 (en) * 2005-02-28 2009-01-27 Cymer, Inc. LPP EUV light source drive laser system
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US7750326B2 (en) 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
US7495743B2 (en) * 2005-09-30 2009-02-24 International Business Machines Corporation Immersion optical lithography system having protective optical coating
US7948675B2 (en) 2005-10-11 2011-05-24 Nikon Corporation Surface-corrected multilayer-film mirrors with protected reflective surfaces, exposure systems comprising same, and associated methods
JP5045144B2 (ja) * 2007-02-22 2012-10-10 株式会社ニコン 多層膜反射鏡、露光装置、デバイス製造方法、及び多層膜反射鏡の製造方法
US7453077B2 (en) * 2005-11-05 2008-11-18 Cymer, Inc. EUV light source
JP2007134464A (ja) * 2005-11-09 2007-05-31 Canon Inc 多層膜を有する光学素子及びそれを有する露光装置
JP2007140105A (ja) * 2005-11-18 2007-06-07 Nikon Corp 多層膜反射鏡及び露光装置
JP2007234822A (ja) * 2006-02-28 2007-09-13 Canon Inc 露光装置及びその制御方法並びにデバイス製造方法
JP4946296B2 (ja) 2006-03-30 2012-06-06 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法
JP5200327B2 (ja) * 2006-03-31 2013-06-05 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法、並びに、極端紫外光の露光方法
US7736820B2 (en) * 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7541603B2 (en) * 2006-09-27 2009-06-02 Asml Netherlands B.V. Radiation system and lithographic apparatus comprising the same
US7723704B2 (en) * 2006-11-10 2010-05-25 Globalfoundries Inc. EUV pellicle with increased EUV light transmittance
JP4365855B2 (ja) * 2006-12-21 2009-11-18 三菱電機株式会社 車両用操舵装置
TWI427334B (zh) 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Euv蝕刻裝置反射光學元件
US7663127B2 (en) * 2007-03-13 2010-02-16 Globalfoundries Inc. EUV debris mitigation filter and method for fabricating semiconductor dies using same
JP2008263173A (ja) * 2007-03-16 2008-10-30 Canon Inc 露光装置
ATE512389T1 (de) 2007-10-23 2011-06-15 Imec Erkennung von kontaminationen in euv-systemen
NL1036469A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby.
TWI425252B (zh) * 2008-03-26 2014-02-01 Nat Applied Res Laboratories 反射膜及其製成方法
KR100972863B1 (ko) * 2008-04-22 2010-07-28 주식회사 하이닉스반도체 극자외선 리소그라피 마스크 및 그 제조 방법
DE102009001488A1 (de) 2008-05-21 2009-11-26 Asml Netherlands B.V. Entfernen von Kontaminationen von optischen Oberflächen durch aktivierten Wasserstoff
JP5497016B2 (ja) * 2008-06-04 2014-05-21 エーエスエムエル ネザーランズ ビー.ブイ. 多層ミラーおよびリソグラフィ装置
DE102008002403A1 (de) * 2008-06-12 2009-12-17 Carl Zeiss Smt Ag Verfahren zum Herstellen einer Mehrlagen-Beschichtung, optisches Element und optische Anordnung
WO2010004482A1 (en) 2008-07-07 2010-01-14 Philips Intellectual Property & Standards Gmbh Extreme uv radiation reflecting element comprising a sputter-resistant material
EP2157584A3 (en) * 2008-08-14 2011-07-13 ASML Netherlands B.V. Radiation source, lithographic apparatus and device manufacturing method
NL2003363A (en) * 2008-09-10 2010-03-15 Asml Netherlands Bv Lithographic apparatus, method of manufacturing an article for a lithographic apparatus and device manufacturing method.
DE102009017095A1 (de) * 2009-04-15 2010-10-28 Carl Zeiss Smt Ag Spiegel für den EUV-Wellenlängenbereich, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel und Projektionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv
EP2290420B1 (en) * 2009-08-28 2016-07-27 European Space Agency Method for assembling a mirror plate stack
NL2005460A (en) * 2009-11-20 2011-05-23 Asml Netherlands Bv Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product.
TWI467318B (zh) 2009-12-04 2015-01-01 Asahi Glass Co Ltd An optical member for EUV microfilm, and a method for manufacturing a substrate with a reflective layer for EUV microfilm
EP2511943A4 (en) 2009-12-09 2015-09-09 Asahi Glass Co Ltd OPTICAL ELEMENT FOR USE IN EUV LITHOGRAPHY
CN102621815B (zh) * 2011-01-26 2016-12-21 Asml荷兰有限公司 用于光刻设备的反射光学部件及器件制造方法
NL2008391A (en) 2011-04-04 2012-10-08 Asml Netherlands Bv Radiation source-collector and lithographic apparatus.
DE102011076011A1 (de) * 2011-05-18 2012-11-22 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System für die EUV-Lithographie
JP5951010B2 (ja) * 2011-06-15 2016-07-13 エーエスエムエル ネザーランズ ビー.ブイ. 多層ミラー、多層ミラーを生成する方法およびリソグラフィ装置
DE102011083462A1 (de) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh EUV-Spiegel mit einer Oxynitrid-Deckschicht mit stabiler Zusammensetzung
DE102011083461A1 (de) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh Verfahren zum Erzeugen einer Deckschicht aus Siliziumoxid an einem EUV-Spiegel
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US10185234B2 (en) * 2012-10-04 2019-01-22 Asml Netherlands B.V. Harsh environment optical element protection
DE102012219545A1 (de) * 2012-10-25 2014-04-30 Carl Zeiss Smt Gmbh Projektionsbelichtungssystem für EUV-Lithographie und Verfahren zum Betreiben des Projektionsbelichtungssystems
DE102012222466A1 (de) * 2012-12-06 2014-06-12 Carl Zeiss Smt Gmbh Reflektives optisches Element für die EUV-Lithographie
US20140158914A1 (en) * 2012-12-11 2014-06-12 Sandia Corporation Optical component with blocking surface and method thereof
US20140168758A1 (en) * 2012-12-13 2014-06-19 Kla-Tencor Corporation Carbon as grazing incidence euv mirror and spectral purity filter
DE102013200294A1 (de) * 2013-01-11 2014-07-17 Carl Zeiss Smt Gmbh EUV-Spiegel und optisches System mit EUV-Spiegel
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
RU2522448C1 (ru) * 2013-03-13 2014-07-10 Открытое акционерное общество "Научно-исследовательский и технологический институт оптического материаловедения Всероссийского научного центра "Государственный оптический институт им. С.И. Вавилова" (ОАО "НИТИОМ ВНЦ "ГОИ им. С.И. Вавилова") Покрытие для заготовки космического зеркала
KR20170027862A (ko) * 2013-05-09 2017-03-10 가부시키가이샤 니콘 광학 소자, 투영 광학계, 노광 장치 및 디바이스 제조 방법
EP3049836A1 (en) 2013-09-23 2016-08-03 Carl Zeiss SMT GmbH Multilayer mirror
TWI565681B (zh) * 2013-10-15 2017-01-11 中原大學 多孔二氧化矽氣凝膠複合薄膜及其製造方法以及二氧化碳吸收裝置
DE102014200932A1 (de) 2014-01-20 2015-07-23 Carl Zeiss Smt Gmbh EUV-Spiegel und optisches System mit EUV-Spiegel
US9612522B2 (en) * 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
DE102015207140A1 (de) * 2015-04-20 2016-10-20 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
CN104749663A (zh) * 2015-04-21 2015-07-01 中国科学院长春光学精密机械与物理研究所 具有极紫外光谱纯度和抗辐照损伤的多层膜
WO2017004351A1 (en) * 2015-06-30 2017-01-05 Jaiswal Supriya Coatings for extreme ultraviolet and soft x-ray optics
JP2017026928A (ja) * 2015-07-27 2017-02-02 エヌ・ティ・ティ・アドバンステクノロジ株式会社 Euv光用多層膜反射鏡
DE102016200814A1 (de) 2016-01-21 2017-07-27 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System für die EUV-Lithographie
DE102016208987A1 (de) 2016-05-24 2017-11-30 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem
TWI774375B (zh) 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
DE102016218028A1 (de) 2016-09-20 2018-03-22 Carl Zeiss Smt Gmbh Reflektives optisches Element
DE102017206118A1 (de) * 2017-04-10 2018-04-19 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System
US11086209B2 (en) 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure
DE102017213181A1 (de) 2017-07-31 2019-01-31 Carl Zeiss Smt Gmbh Optische Anordnung für EUV-Strahlung mit einer Abschirmung zum Schutz vor der Ätzwirkung eines Plasmas
US11268911B2 (en) 2019-01-04 2022-03-08 Kla-Tencor Corporation Boron-based capping layers for EUV optics
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
CN110221369B (zh) * 2019-06-26 2020-10-27 西安交通大学 一种基于BN(Al)薄膜的布拉格反射镜及制备方法
DE102019212910A1 (de) * 2019-08-28 2021-03-04 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem
KR20210089406A (ko) 2020-01-08 2021-07-16 주식회사 에스앤에스텍 극자외선용 반사형 블랭크 마스크 및 포토마스크
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
TWI836207B (zh) 2020-04-17 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩吸收材料
DE102020206117A1 (de) 2020-05-14 2021-11-18 Carl Zeiss Smt Gmbh Optisches Element, EUV-Lithographiesystem und Verfahren zum Bilden von Nanopartikeln
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20220075021A (ko) 2020-11-26 2022-06-07 삼성전자주식회사 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20220382148A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with alloy based absorbers
US11762278B2 (en) 2021-06-16 2023-09-19 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
DE102022203495A1 (de) * 2022-04-07 2023-10-12 Carl Zeiss Smt Gmbh Reflektives optisches Element für eine Wellenlänge im extrem ultravioletten Wellenlängenbereich

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4214818A (en) * 1978-11-08 1980-07-29 Westinghouse Electric Corp. Hot pressed SiC-high power laser mirror
US5433988A (en) * 1986-10-01 1995-07-18 Canon Kabushiki Kaisha Multi-layer reflection mirror for soft X-ray to vacuum ultraviolet ray
JP2566564B2 (ja) 1986-10-01 1996-12-25 キヤノン株式会社 軟x線又は真空紫外線用多層膜反射鏡
JPS63106703A (ja) 1986-10-24 1988-05-11 Nikon Corp 光学素子
US4778251A (en) * 1987-03-09 1988-10-18 Rockwell International Corporation Thickness error compensation for digital gradient-index optical coatings
JPH0795120B2 (ja) * 1987-07-13 1995-10-11 日本航空電子工業株式会社 多層膜反射鏡
JPH0213902A (ja) 1988-07-01 1990-01-18 Mitsubishi Electric Corp 紫外光反射板
JP2883100B2 (ja) * 1989-05-22 1999-04-19 キヤノン株式会社 軟x線・真空紫外線用ハーフミラー又はビームスプリッター
JP2787987B2 (ja) * 1989-11-22 1998-08-20 株式会社リコー 反射鏡
US5356662A (en) * 1993-01-05 1994-10-18 At&T Bell Laboratories Method for repairing an optical element which includes a multilayer coating
US5265143A (en) * 1993-01-05 1993-11-23 At&T Bell Laboratories X-ray optical element including a multilayer coating
US5591958A (en) * 1993-06-14 1997-01-07 Nikon Corporation Scanning exposure method and apparatus
US5360659A (en) * 1993-05-24 1994-11-01 The Dow Chemical Company Two component infrared reflecting film
US5513039A (en) * 1993-05-26 1996-04-30 Litton Systems, Inc. Ultraviolet resistive coated mirror and method of fabrication
DE4407502A1 (de) * 1994-03-07 1995-09-14 Leybold Ag Mehrlagige Beschichtung
JP3345512B2 (ja) 1994-07-12 2002-11-18 株式会社日立製作所 半導体装置の製造方法
US5646976A (en) * 1994-08-01 1997-07-08 Osmic, Inc. Optical element of multilayered thin film for X-rays and neutrons
US5521031A (en) 1994-10-20 1996-05-28 At&T Corp. Pattern delineating apparatus for use in the EUV spectrum
JPH08220304A (ja) * 1995-02-13 1996-08-30 Tadahiro Omi 光学物品及びそれを用いた露光装置又は光学系並びにその製造方法
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
US5850309A (en) * 1996-03-27 1998-12-15 Nikon Corporation Mirror for high-intensity ultraviolet light beam
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JP2000505958A (ja) 1996-12-24 2000-05-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 2個の物品ホルダを有する二次元バランス位置決め装置及びこの位置決め装置を有するリソグラフ装置
JPH10199801A (ja) * 1997-01-13 1998-07-31 Mitsubishi Materials Corp 人工ダイヤモンド膜の残留応力が少ないx線リソグラフィー用マスク
US5911858A (en) 1997-02-18 1999-06-15 Sandia Corporation Method for high-precision multi-layered thin film deposition for deep and extreme ultraviolet mirrors
JP3607454B2 (ja) * 1997-03-31 2005-01-05 Hoya株式会社 X線マスク用x線透過膜、x線マスクブランク及びx線マスク並びにこれらの製造方法並びに炭化珪素膜の研磨方法
KR20010006467A (ko) * 1997-04-18 2001-01-26 오노 시게오 노광 장치, 해당 장치를 이용한 노광 방법 및 회로 장치 제조 방법
US6268904B1 (en) * 1997-04-23 2001-07-31 Nikon Corporation Optical exposure apparatus and photo-cleaning method
JPH1138192A (ja) * 1997-07-17 1999-02-12 Nikon Corp 多層膜反射鏡
CN1182440C (zh) 1997-09-30 2004-12-29 西门子公司 用于深紫外线光刻的层状结构以及形成光刻层状结构的方法
US5958605A (en) * 1997-11-10 1999-09-28 Regents Of The University Of California Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography
US6042995A (en) * 1997-12-09 2000-03-28 Lucent Technologies Inc. Lithographic process for device fabrication using a multilayer mask which has been previously inspected
US6391400B1 (en) * 1998-04-08 2002-05-21 Thomas A. Russell Thermal control films suitable for use in glazing
WO2000020928A1 (en) * 1998-10-08 2000-04-13 Rochester Institute Of Technology Photomask for projection lithography at or below about 160 nm and a method
US6229652B1 (en) * 1998-11-25 2001-05-08 The Regents Of The University Of California High reflectance and low stress Mo2C/Be multilayers
US6013399A (en) * 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
US6180291B1 (en) * 1999-01-22 2001-01-30 International Business Machines Corporation Static resistant reticle
TW561279B (en) * 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
SG124257A1 (en) * 2000-02-25 2006-08-30 Nikon Corp Exposure apparatus and exposure method capable of controlling illumination distribution

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100589240B1 (ko) * 1999-07-02 2006-06-14 에이에스엠엘 네델란즈 비.브이. Euv 광학 요소의 캡핑 층
KR101310525B1 (ko) * 2005-10-11 2013-09-23 가부시키가이샤 니콘 다층막 반사경, 다층막 반사경의 제조 방법, 광학계, 노광장치 및 디바이스의 제조 방법

Also Published As

Publication number Publication date
US20030043456A1 (en) 2003-03-06
EP1801658B1 (en) 2016-06-15
JP4068285B2 (ja) 2008-03-26
US6738188B2 (en) 2004-05-18
DE60018328D1 (de) 2005-04-07
EP1065568A3 (en) 2003-03-19
DE60036510T2 (de) 2008-06-19
EP1801658A3 (en) 2007-07-18
US6449086B1 (en) 2002-09-10
US6724462B1 (en) 2004-04-20
TW561279B (en) 2003-11-11
EP1801658A2 (en) 2007-06-27
KR100589240B1 (ko) 2006-06-14
JP3652221B2 (ja) 2005-05-25
EP1065532A3 (en) 2003-03-19
KR20010066881A (ko) 2001-07-11
JP2001059901A (ja) 2001-03-06
EP1065532B1 (en) 2005-03-02
KR100599940B1 (ko) 2006-07-12
DE60018328T2 (de) 2006-04-06
TWI267704B (en) 2006-12-01
JP2001051106A (ja) 2001-02-23
USRE42338E1 (en) 2011-05-10
DE60036510D1 (de) 2007-11-08
EP1065568A2 (en) 2001-01-03
EP1065532A2 (en) 2001-01-03
EP1065568B1 (en) 2007-09-26

Similar Documents

Publication Publication Date Title
KR100599940B1 (ko) 소정의 파장영역의 방사선을 반사하기 위한 반사기, 반사기를 포함하는 리소그래피 투영장치, 반사기의 제조방법, 투영빔이 반사기를 포함하는 조명 또는 투영시스템을 사용하여 공급 또는 투영되는 디바이스 및 그의 제조방법
KR100779699B1 (ko) 다층 거울용 스펙트럼 퓨리티 필터, 이러한 다층 거울을포함하는 리소그래피 장치, 원하는 방사선과 원하지 않는방사선의 비율을 확대시키는 방법, 및 디바이스 제조방법
US7382436B2 (en) Mirror, lithographic apparatus, device manufacturing method, and device manufactured thereby
US8318288B2 (en) Optical element, lithographic apparatus including such optical element and device manufacturing method, and device manufactured thereby
EP1367605A1 (en) Multilayer-film reflective mirrors and optical systems comprising same
KR100526159B1 (ko) 리소그래피장치 및 디바이스 제조방법
JP2007140147A (ja) 多層膜反射鏡及び露光装置
EP1055155A1 (en) Euv illumination system
EP1530222B1 (en) Optical element lithographic apparatus such optical element and device manufacturing method
JP2001066399A (ja) 多層膜反射鏡および露光装置、又は分析装置。
EP1521272B1 (en) Mirror and lithographic apparatus with mirror

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140701

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150626

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160628

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170623

Year of fee payment: 12