KR20220075021A - 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템 - Google Patents

극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템 Download PDF

Info

Publication number
KR20220075021A
KR20220075021A KR1020200161243A KR20200161243A KR20220075021A KR 20220075021 A KR20220075021 A KR 20220075021A KR 1020200161243 A KR1020200161243 A KR 1020200161243A KR 20200161243 A KR20200161243 A KR 20200161243A KR 20220075021 A KR20220075021 A KR 20220075021A
Authority
KR
South Korea
Prior art keywords
extreme ultraviolet
filter
front surface
passivation layer
ultraviolet light
Prior art date
Application number
KR1020200161243A
Other languages
English (en)
Inventor
나지훈
김문자
성재환
유병철
유지범
이학석
정명진
조현준
Original Assignee
삼성전자주식회사
성균관대학교산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 성균관대학교산학협력단 filed Critical 삼성전자주식회사
Priority to KR1020200161243A priority Critical patent/KR20220075021A/ko
Priority to US17/468,644 priority patent/US11729896B2/en
Publication of KR20220075021A publication Critical patent/KR20220075021A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/208Filters for use with infrared or ultraviolet radiation, e.g. for separating visible light from infrared and/or ultraviolet radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70308Optical correction elements, filters or phase plates for manipulating imaging light, e.g. intensity, wavelength, polarisation, phase or image shift
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/10Scattering devices; Absorbing devices; Ionising radiation filters
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 발명의 일 실시예는, 플라즈마 소스를 공급하는 원료 공급부, 레이저를 발진하여 플라즈마를 생성하는 극자외선 광원부, 상기 플라즈마로부터 발생된 광으로부터 극자외선 광을 추출하는 필터, 상기 필터의 전면에 배치된 제1 보호막, 및 상기 필터 또는 상기 제1 보호막의 적어도 일부를 노출하는 제1 영역을 가지며, 상기 제1 보호막 상에 배치되는 프레임을 포함하며, 상기 필터의 상기 전면에 수평한 방향에서 상기 제1 영역의 폭은 상기 제1 보호막의 폭 보다 작고 상기 필터의 폭보다 작거나 같은 극자외선 발생 장치를 제공한다.

Description

극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템 {APPARATUS GENERATING EXTREME ULTRAVIOLET(EUV), MANUFACTURING METHOD OF THE SAME, AND EUV SYSTEM}
본 발명은 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템에 관한 것이다.
반도체 장치의 고집적화 및 소형화에 따라, 반도체 장치의 회로 패턴들을 보다 작은 크기로 형성하는 기술이 요구되고 있다. 이러한 기술적 요구를 충족시키기 위해, 포토리소그래피 공정에서 사용되는 광원의 파장이 점점 짧아지고 있다. 최근에, 13.5nm의 파장을 갖는 극자외선(extreme ultra violet, EUV)을 이용하는 극자외선 노광 공정 및 검사 공정이 제안되고 있다.
본 발명이 해결하고자 하는 과제 중 하나는, 극자외선 공정 효율이 상승되고 다운 타임(downtime)과 유지 보수 비용이 감소된 극자외선 발생 장치와 이의 제조 방법 및 극자외선 시스템을 제공하는 것이다.
전술한 과제의 해결 수단으로서, 본 발명의 일 실시예는, 극자외선(EUV) 생성을 위한 플라즈마 소스를 공급하는 원료 공급부, 레이저를 발진하여 상기 플라즈마 소스로부터 플라즈마를 생성하는 극자외선 광원부, 상기 플라즈마로부터 발생된 광이 입사하는 전면 및 상기 전면의 반대에 위치한 후면을 가지며, 상기 광으로부터 극자외선 광을 추출하는 필터, 상기 필터의 상기 전면에 배치된 제1 보호막, 및 상기 필터 또는 상기 제1 보호막의 적어도 일부를 노출하는 제1 영역을 가지며, 상기 제1 보호막 상에 배치되는 프레임을 포함하며, 상기 필터의 상기 전면에 수평한 방향에서 상기 제1 영역의 폭은 상기 제1 보호막의 폭 보다 작고 상기 필터의 폭보다 작거나 같은 극자외선 발생 장치를 제공한다.
또한, 광이 입사하는 전면 및 상기 전면의 반대에 위치한 후면을 가지며 상기 광으로부터 극자외선 광을 추출하는 필터, 상기 필터의 상기 전면에 배치된 보호막, 및 상기 보호막의 적어도 일부를 노출하는 영역을 가지며 상기 보호막 상에 순차로 적층된 폴리머층 및 프레임을 포함하는 필터부, 및 상기 극자외선 광을 포토마스크를 향해 반사시키는 적어도 하나의 미러를 포함하는 미러부를 포함하는 극자외선 시스템을 제공한다.
또한, 극자외선 광을 발생시키는 극자외선 광원 시스템, 상기 극자외선 광을 포토마스크의 표면으로 조사하는 조명 시스템, 및 상기 포토마스크에 의해 반사된 극자외선 광을 반도체 웨이퍼의 표면으로 조사하는 투영 시스템을 포함하되, 상기 극자외선 광원 시스템은, 광원으로부터 상기 극자외선 광을 추출하는 필터, 상기 필터의 전면에 배치된 보호막, 및 상기 보호막 상에 배치되며 상기 필터 또는 상기 보호막의 적어도 일부를 노출시키는 프레임을 포함하는 필터부를 포함하는 극자외선 시스템을 제공한다.
또한, 제1 보호막을 준비하는 단계, 상기 제1 보호막 상에 폴리머층을 부착하는 단계, 상기 제1 보호막 또는 상기 폴리머층 상에 영역을 갖는 프레임을 부착하는 단계, 및 상기 제1 보호막의 표면에 필터를 증착하는 단계; 를 포함하는 극자외선 발생 장치의 제조 방법을 제공한다.
본 발명의 실시예들에 따르면, 보호막을 포함한 필터부를 도입함으로써, 극자외선 공정 효율이 상승되고 다운 타임(downtime)과 유지 보수 비용이 감소된 극자외선 발생 장치와 이의 제조 방법 및 극자외선 시스템을 제공할 수 있다.
도 1은 본 발명의 일 실시예에 따른 극자외선 발생 장치를 개략적으로 나타낸 도면이다.
도 2a는 도 1의 극자외선 발생 장치에서 일 실시예에 따른 필터부의 수직 단면을 나타낸 도면이다.
도 2b 및 2c는 각각 일 실시예에 따른 필터부를 나타낸 사시도이다.
도 3a 및 3d는 도 2a의 필터부의 제조 방법을 개략적으로 나타낸 도면들이다.
도 4는 도 1의 극자외선 발생 장치에서 일 실시예에 따른 필터부의 수직 단면을 나타낸 도면이다.
도 5a 및 5b는 도 4의 필터부의 제조 방법을 개략적으로 나타낸 도면들이다.
도 6은 본 발명의 일 실시예에 따른 극자외선 발생 장치를 개략적으로 나타낸 도면이다.
도 7은 도 6의 극자외선 발생 장치에서 일 실시예에 따른 필터부의 수직 단면을 나타낸 도면이다.
도 8은 본 발명의 일 실시예에 따른 극자외선 발생 장치를 개략적으로 나타낸 도면이다.
도 9는 본 발명의 일 실시예에 따른 극자외선 시스템을 개략적으로 나타낸 도면이다.
도 10은 본 발명의 일 실시예에 따른 극자외선 시스템을 개략적으로 나타낸 도면이다.
도 11a 및 11b는 도 10의 극자외선 시스템에서 일 실시예에 따른 존 플레이트 렌즈를 나타낸 도면들이다.
도 12는 도 10의 극자외선 시스템에서 일 실시예에 따른 미러부를 나타낸 도면이다.
도 13은 본 발명의 일 실시예에 따른 극자외선 시스템을 개략적으로 나타낸 도면이다.
도 14는 본 발명의 일 실시예에 따른 극자외선 시스템을 개략적으로 나타낸 도면이다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예들을 다음과 같이 설명한다.
도 1은 본 발명의 일 실시예에 따른 극자외선(EUV) 발생 장치(10A)를 개략적으로 나타낸 도면이다.
도 1을 참조하면, 극자외선 발생 장치(10A)는 챔버(11), 원료 공급부(12A), 극자외선 광원부(13), 집광부(14A), 및 필터부(15A)를 포함할 수 있다. 챔버(11)는 극자외선이 생성되는 공간을 제공할 수 있다. 생성된 극자외선 광이 대기 중에서 흡수되는 것을 방지하기 위해서 챔버(11)의 내부는 진공 상태일 수 있다. 챔버(11)는 진공 펌프 또는 진공 게이지 등을 포함하는 진공 챔버일 수 있다. 고온의 플라즈마(P)로부터 극자외선(L2)이 생성되므로, 챔버(11)의 내부는 고온의 플라즈마(P)에 의해 손상되지 않는 재질을 포함할 수 있다.
원료 공급부(12A)는 극자외선 생성을 위한 플라즈마 소스를 공급할 수 있다. 원료 공급부(12A)는 챔버(11) 내 일측에 제공될 수 있다. 원료 공급부(12A)는 플라즈마 소스를 고체 타겟으로 제공하거나 액적(droplet) 타겟으로 제공할 수 있다. 플라즈마 소스는 레이저(LB)와 상호 작용하여 플라즈마(P)를 생성할 수 있다. 플라즈마 소스는 크세논(Xe), 리튬(Le), 주석(Sn), 네온(Ne), 아르곤(Ar) 또는 그 화합물 등을 포함할 수 있다.
극자외선 광원부(13)는 레이저(LB)를 발진하여 플라즈마 소스로부터 플라즈마를 생성할 수 있다. 극자외선 광원부(13)는 챔버(11) 외부에 배치될 수 있다. 극자외선 광원부(13)는 레이저(LB)로 플라즈마(P)를 생성하는 레이저 생성 플라즈마(Laser produced plasma, LPP) 유닛일 수 있다. 생성된 플라즈마(P)는 광(L1)을 생성할 수 있다. 광(L1)은 다양한 파장의 빛을 포함할 수 있다. 광(L1)은 극자외선 광(L2)을 포함할 수 있다. 레이저(LB)는 고강도 펄스를 가질 수 있다. 극자외선 광원부(13)는 CO2 laser, NdYAG laser, FEL(free electron Laser), ArF 엑시머 레이저(eximer laser), F2(불소 다이머: Fluoride Dimer) 레이저, KrF 엑시머 레이저(eximer laser) 등을 포함할 수 있다. 다른 실시예에서, 극자외선 광원부(13)는 플라즈마 소스에 고전압을 인가하여 플라즈마를 생성하는 방전 생성된 플라즈마(Discharge produced plasma, DPP) 유닛을 포함할 수 있다.
집광부(14A)는 플라즈마(P)로부터 전방위로 방사되는 광(L1)을 집광시킬 수 있다. 광(L1)은 집광부(14A)의 일측면에서 반사되어, 필터부(15A)을 향하도록 집광될 수 있다. 집광부(14A)은 개구(14H)를 갖는 안테나 형상으로 제공될 수 있으나, 이에 한정되는 것은 아니다.
필터부(15A)는 광(L1)이 입사하는 전면(S1) 및 전면(S1)의 반대에 위치한 후면(S2)을 갖는 필터(15a) 및 전면(S1)에 배치된 보호막(15b)을 포함할 수 있다. 필터부(15A)는 집광된 광(L1)으로부터 극자외선 광(L2)을 필터링할 수 있다. 극자외선 광(L2)의 파장은 약 4nm 내지 약 124nm의 범위일 수 있다. 일 예에서, 극자외선 광(L2)의 파장 약 4nm 내지 약 20nm 사이의 범위일 수 있다. 일예에서, 극자외선 광(L2)의 파장 약 13.5nm 일 수 있다.
필터(15a)는 금속 물질, 예를 들어, 지르코늄(Zr)을 포함할 수 있다. 보호막(15b)은 극자외선(L2) 생성시 발생하는 고온 및 잔해물로부터 필터(15a)를 보호하고, 극자외선(L2) 외의 파장을 갖는 광들의 투과율을 최소화할 수 있다. 보호막(15b)과 필터(15a)의 계면에는 산화층이 존재하지 않을 수 있다. 따라서, 보호막(15b)은 필터(15a)의 전면(S1)에 직접 접촉하여 극자외선(L2)의 손실을 방지하고 극자외선 공정 효율을 개선할 수 있다. 보호막(15b)은 흑연(graphite)을 포함하는 필름 및 그래핀 중 적어도 하나를 포함할 수 있다. 필터(15a)를 보호하고 극자외선(L2)의 손실을 최소화하기 위해서, 보호막(15b)의 두께는 약 0.1nm 내지 약 100nm의 범위일 수 있다. 보호막(15b)의 두께가 약 0.1nm 이하인 경우, 필터(15a)의 보호 효과가 미미할 수 있다. 보호막(15b)의 두께가 약 100nm 이상인 경우, 극자외선(L2)의 손실이 커져 공정 효율이 저하될 수 있다. 일 예에서, 보호막(15b)의 두께는 약 0.1nm 내지 약 50nm의 범위일 수 있다. 일 예에서, 보호막(15b)의 두께는 약 0.1nm 내지 약 30nm의 범위일 수 있다. 일 예에서, 보호막(15b)은 0.1 이상 또는 0.4 이상의 방사율(emissivity)을 가질 수 있다.
극자외선 공정 설비에서는 높은 에너지를 갖는 극자외선에 의해 설비 내 광학 요소들의 급격한 산화 및 잔해물(예를 들어, 탄화수소) 등에 의한 오염이 발생할 수 있다. 극자외선 공정 설비 내 광학 요소들은 단기간의 교체가 요구되고, 극자외선 공정 설비의 다운 타임이 증가하게 된다. 일 실시예에서, 극자외선을 필터링하는 필터의 전면에 보호막을 도입하여, 필터의 교체 기간을 연장하고 극자외선 설비의 다운 타임을 감소시킬 수 있다. 또한, 일 실시예에서, 필터와 보호막 사이에 극자외선의 굴절을 유발하는 산화층이 존재하지 않으므로, 극자외선의 손실을 최소화하고 공정 효율을 향상시킬 수 있다. 따라서, 일 실시예에서, 필터부(15A)는 플라즈마(P)로부터 발생된 광(L1)이 입사하는 전면(S1) 및 전면(S1)의 반대에 위치한 후면(S2)을 가지며, 광(L1)으로부터 극자외선 광(L2)을 추출하는 필터(15a), 필터(15a)의 전면(S1)에 배치된 보호막(15b), 및 필터(15a) 또는 보호막(15b)의 적어도 일부를 노출하는 노출 영역(도 2a의 '15dh')를 가지며, 보호막(15b) 상에 배치되는 프레임(15d)을 포함할 수 있다. 이때, 필터(15a)의 전면(S1)에 수평한 방향에서 노출 영역(도 2a, 4의 '15dh')의 폭(15dW)은 보호막(15b)의 폭(도 2a, 4의 '15bW') 보다 작고 필터(15a)의 폭(도 2a, 4의 '15aW')보다 작거나 같을 수 있다.
이하, 도 2a 내지 도 5b를 참조하여, 본 발명의 일 실시예에 따른 필터부(15A-1, 15A-2)의 구조를 구체적으로 설명한다. 도 2a는 도 1의 극자외선 발생 장치(10A)에서 일 실시예에 따른 필터부(15A-1)의 수직 단면을 나타낸 도면이고, 도 2b 및 2c는 각각 일 실시예에 따른 필터부(15A-1)를 나타낸 사시도이고, 도 3a 및 3d는 도 2a의 필터부(15A-1)의 제조 방법을 개략적으로 나타낸 도면들이다. 도 4는 도 1의 극자외선 발생 장치(10A)에서 일 실시예에 따른 필터부(15A-2)의 수직 단면을 나타낸 도면이고, 도 5a 및 5b는 도 4의 필터부(15A-2)의 제조 방법을 개략적으로 나타낸 도면들이다.
먼저, 도 2a를 참조하면, 필터부(15A-1)는 필터(15a), 보호막(15b), 보호막(15b) 상에 순차로 적층된 폴리머층(15c) 및 프레임(15d)을 포함할 수 있다. 일 실시예에서, 프레임(15d)은 필터(15a)의 전면(S1)을 향하도록 보호막(15b)의 일면에 배치되고, 프레임(15d)과 보호막(15b) 사이에는 프레임(15d)의 제1 노출 영역(15dh)에 대응하여 보호막(15b)의 적어도 일부를 노출시키는 제2 노출 영역(15ch)를 갖는 폴리머층(15c)을 더 포함할 수 있다. 필터부(15A-1)는 제1 및 제2 노출 영역(15dh, 15ch)에 의해 노출된 보호막(15b)의 일면으로 도 1의 광(L1)이 입사하도록 집광부(14A)를 향해서 배치될 수 있다. 제1 및 제2 노출 영역(15dh, 15ch)은, 예를 들어, 개구부 형태 또는 관통홀 형태를 가질 수 있다. 폴리머층(15c)은 PDMS(Polydimethyl siloxane) 및 PMMA(Polymethyl methacrylate) 중 적어도 하나를 포함하는 필름 형태일 수 있다. 프레임(15d)의 재료는 특별히 한정되지 않으며, 금속 또는 비금속 물질을 포함할 수 있다.
일 실시예에서, 필터(15a)의 전면(S1)에 수평한 방향에서 제1 노출 영역(15dh)의 폭(15dW)은 보호막(15b)의 폭(15bW) 및 필터(15a)의 폭(15aW) 보다 작을 수 있다. 보호막(15b)의 폭(15bW) 및 필터(15a)의 폭(15aW)은 실질적으로 동일할 수 있으나, 이에 한정되는 것은 아니며 보호막(15b)과 필터(15a)는 서로 다른 폭을 가질 수 있다. 폴리머층(15c)의 제2 노출 영역(15ch)의 폭(15cW)은 제1 노출 영역(15dh)의 폭(15dW)과 실질적으로 동일할 수 있으나, 이에 한정되는 것은 아니다.
필터(15a)는 극자외선(L2)의 필터링 효율을 고려해서 소정의 두께를 가질 수 있다. 예를 들어, 필터(15a)의 전면(S1)에 수직한 방향에서 필터(15a)의 두께(d1)는 약 10nm 내지 약 500nm 범위이거나, 약 50nm 내지 약 200nm 범위일 수 있다. 필터(15a)의 두께(d1)가 약 10nm 이하인 경우, 극자외선 (L2)의 필터링 효과가 미미할 수 있다. 필터(15a)의 두께(d1)가 약 500nm 이상인 경우, 극자외선(L2)의 손실이 커져 공정 효율이 저하될 수 있다. 보호막(15b)은 필터(15a)의 오염을 효과적으로 방지하기 위해 소정의 두께를 가질 수 있다. 예를 들어, 보호막(15b)의 두께(d2)는 약 0.1nm 내지 약 100nm, 약 0.1nm 내지 약 50nm, 또는 약 0.1nm 내지 약 30nm의 범위일 수 있다. 폴리머층(15c)은 보호막(15b)을 핸들링하기 위해 소정의 두께를 가질 수 있다. 예를 들어, 폴리머층(15c)의 두께(d3)는 약 100nm 내지 약 500nm, 또는 약 200nm 이상의 범위일 수 있다. 프레임(15d)의 두께는 특별히 제한되지 않으며 필터(15a)의 증착 공정에서 보호막(15b)을 핸들링할 수 있는 정도의 크기를 가질 수 있다. 프레임(15d)의 두께는 폴리머층(15c)의 두께(d3)를 고려하여 조절될 수 있다.
도 2b 및 2c를 참조하면, 프레임(15d)은 디자인에 따라 다양한 형태를 가질 수 있다. 예를 들어, 프레임(15d)은 제1 노출 영역(15dh)을 통해 노출되는 제1 보호막(15b)의 내측 영역(IR)을 연속 또는 불연속적으로 둘러싸는 형태를 가질 수 있다. 일 실시예에서, 필터부(15A-1(1))는 원판 형상의 필터(15a)와 보호막(15b), 및 제1 보호막(15b)의 테두리를 따라 연장된 링(ring) 형상의 폴리머층(15c)과 프레임(15d)을 포함할 수 있다(도 2b 참조). 이 경우, 프레임(15d)의 제1 영역(15dh)은 프레임(15d)을 관통하여 제1 보호막(15b)을 노출시키는 관통홀 형태를 가질 수 있다. 또한, 일 실시예에서, 필터부(15A-1(2))는 원판 형상의 필터(15a)와 보호막(15b), 및 제1 보호막(15b)의 테두리 영역에 서로 이격되어 배치된 적어도 하나 이상(예, 2개)의 블록(block) 형상을 갖는 폴리머층(15c)과 프레임(15d)을 포함할 수 있다(도 2c 참조). 이 경우, 프레임(15d)의 제1 영역(15dh)은 제1 보호막(15b)의 테두리 영역(OR)에 둘러싸인 제1 보호막(15b)의 내측 영역(IR)을 노출시키는 개구 형태를 가질 수 있다. 폴리머층(15c)은 프레임(15d)과 유사한 형상을 가질 수 있으며, 따라서 제2 영역(15ch)은 제1 영역(15dh)과 유사하게 정의될 수 있다.
이하, 도 3a 내지 3d를 도 2a와 함께 참조하여, 본 발명의 일 실시예에 따른 극자외선 발생 장치의 제조 방법을 설명한다.
도 3a를 참조하면, 극자외선 발생 장치의 제조 방법은 촉매층(15b') 상에 제1 보호막(15b)을 형성하여, 제1 보호막(15b)을 준비하는 단계를 포함할 수 있다. 촉매층(15b')은 금속 또는 비금속 물질을 포함할 수 있다. 예를 들어, 촉매층(15b')은 실리콘 카비아드(SiC)층 이거나, 구리(Cu) 또는 니켈(Ni)을 포함하는 금속 포일(foil)일 수 있다. 제1 보호막(15b)은 에피택셜 성장(epitaxial growth), CVD(Chemical Vapor Deposition), ECVD(Plasma enhanced chemical vapor deposition) 또는 스퍼터링(Sputtering) 공정에 의해 형성될 수 있다.
도 3b를 참조하면, 극자외선 발생 장치의 제조 방법은 제1 보호막(15b) 상에 폴리머층(15c)을 부착하는 단계, 및 폴리머층(15c) 상에 노출 영역(15dh)를 갖는 프레임(15d)을 부착하는 단계를 포함할 수 있다. 도 3a의 촉매층(15b')은 폴리머층(15c)의 부착 후 에칭 공정, 열 방출 테이프 등을 이용하여 제거될 수 있다. 폴리머층(15c)은 제1 보호막(15b) 상에 코팅될 수 있다. 프레임(15d)은 별도의 접착제 없이 폴리머층(15c)에 부착될 수 있다.
도 3c를 참조하면, 극자외선 발생 장치의 제조 방법은 제1 보호막(15b)의 폴리머층(15c)이 형성된 하면이 반대측에 위치한 상면에 필터(15a)를 증착하는 단계를 포함할 수 있다. 필터(15a)는 예를 들어, 지르코늄을 포함하고, 스퍼터링(sputtering) 공정에 의해 제1 보호막(15b)의 표면에 직접 증착될 수 있다. 따라서, 제1 보호막(15b)과 필터(15a) 사이에 금속 산화층이 형성되지 않을 수 있다.
도 3d를 참조하면, 극자외선 발생 장치의 제조 방법은 제1 보호막(15b)의 일부가 노출되도록 프레임(15d)의 제1 노출 영역(15dh)에 대응하여 폴리머층(15c)의 일부를 제거하는 단계를 포함할 수 있다. 따라서, 폴리머층(15c)은 필터(15a)의 전면으로 광이 입사하도록 제1 노출 영역(15dh)에 대응하는 제2 노출 영역(15ch)를 가질 수 있다.
다음, 도 4를 참조하면, 필터부(15A-2)는 필터(15a), 보호막(15b), 및 프레임(15d)을 포함할 수 있다. 일 실시예에서, 프레임(15d)은 필터(15a)의 측면을 둘러싸도록 보호막(15b)의 일면에 배치되고, 필터(15a)는 프레임(15d)의 노출 영역(15dh) 내에 배치될 수 있다. 프레임(15d)이 도 2c에 도시된 형태를 갖는 경우, 필터(15a)는 제1 보호막(15b)의 내측 영역(IR) 및 프레임(15d)이 배치되지 않은 일부의 테두리 영역(OR)에 배치될 수 있다. 프레임(15d)은 보호막(15b)의 일면에 직접 접하며, 필터(15a)의 전면(S1)과 보호막(15b) 사이에는 금속 산화층이 존재하지 않을 수 있다.
이하, 도 5a 및 5b를 도 4와 함께 참조하여, 본 발명의 일 실시예에 따른 극자외선 발생 장치의 제조 방법을 설명한다.
도 5a를 참조하면, 극자외선 발생 장치의 제조 방법은, 도 3b와 달리, 폴리머층(15c)이 배치되지 않은 제1 보호막(15b)의 상면에 프레임(15d)를 부착할 수 있다. 일 실시예에서, 프레임(15d)은 도 3a의 촉매층(15b')이 제거된 면에 부착될 수 있다. 프레임(15d)은 별도의 접착제 없이 폴리머층(15c)에 부착될 수 있다. 제1 노출 영역(15dh)는 제1 보호막(15b)의 일부를 직접 노출시킬 수 있다.
도 5b를 참조하면, 극자외선 발생 장치의 제조 방법은 제1 보호막(15b)의 하면에 배치된 폴리머층(15c)을 제거하는 단계를 포함할 수 있다. 일 실시예에서, 필터(15a)는 제1 노출 영역(15dh) 내에 증착되어 제1 보호막(15b)의 상면에 접촉할 수 있다. 필터(15a)는 스퍼터링 공정에 의해 제1 보호막(15b)의 상면에 증착될 수 있다.
도 6은 본 발명의 일 실시예에 따른 극자외선 발생 장치(10B)를 개략적으로 나타낸 도면이고, 도 7은 도 6의 극자외선 발생 장치(10B)에서 일 실시예에 따른 필터부(15B)의 수직 단면을 나타낸 도면이다.
도 6 및 7을 참조하면, 극자외선 발생 장치(10B)의 필터부(15B)는 필터(15a)의 양면(S1, S2)에 각각 배치된 제1 및 제2 보호막(15b1, 15b2)을 포함할 수 있다. 일 실시예에서, 필터(15a)는 제1 보호막(15b1)과 접하는 전면(S1) 및 전면(S1)의 반대에 위치한 후면(S2)을 가지며, 극자외선 발생 장치(10B)의 제조 방법은 필터(15a)의 후면(S2) 상에 제2 보호막(15b2)을 전사하는 단계를 더 포함할 수 있다. 따라서, 필터(15a)의 전면(S1)에는 제1 보호막(15b1)이 배치되고, 후면(S2)에는 제2 보호막(15b2)이 배치될 수 있다. 극자외선 광은 필터(15b)의 전면(S1)으로 입사하여 후면(S2)으로 투과하므로, 필터(15b)의 후면(S2)에 제2 보호막(15b2)을 더 배치하여 필터의 교체 기간을 효과적으로 연장할 수 있다. 제2 보호막(15b2)은 별도의 폴리머층을 이용한 전사 공정에 의해 금속 산화층의 형성없이 필터(15a)의 후면(S2) 상에 전사될 수 있다. 제2 보호막(15b2)은 제1 보호막(15b1)과 실질적으로 동일 또는 유사한 기술적 특징을 가지므로, 상술한 설명과 중복되는 설명은 생략한다.
도 8은 본 발명의 일 실시예에 따른 극자외선 발생 장치(10C)를 개략적으로 나타낸 도면이다.
도 8을 참조하면, 극자외선 발생 장치(10C)는 챔버(11), 원료 공급부(12B), 극자외선 광원부(13), 집광부(14B), 및 필터부(15B)를 포함할 수 있다. 챔버(11), 극자외선 광원부(13), 및 필터부(15B) 각각은 전술한 실시예들의 설명과 동일 또는 유사한 특징을 가지므로, 상술한 내용과 중복되는 설명은 생략한다. 도 8의 필터부(15B)는 도 6의 실시예에 따른 형태로 도시되었으나, 도 1의 필터부(15A)로 대체될 수 있음은 자명하다.
일 실시예에서, 원료 공급부(12B)는 내부에 비활성 기체가 채워진 가스 셀을 포함할 수 있다. 비활성 기체는 헬륨(H2), 네온(Ne), 아르곤(Ar), 또는 제온(Xe) 등의 비활성 가스를 포함할 수 있다. 극자외선 광원부(13)는 펨토세컨드(femtosecond) 레이저를 포함할 수 있다. 극자외선 광원부(13)가 원료 공급부(12B)에 공급한 레이저(LB)와, 원료 공급부(12B) 내의 비활성 가스 혹은 그 혼합 가스가 서로 상호 작용하여, 고차 조화파가 생성될 수 있다. 극자외선 광원부(13)의 레이저는 레이저 빔 집속기(14B)를 통해 원료 공급부(12B)에 공급될 수 있다. 또한, 극자외선 발생 장치(10C)는 원료 공급부(12B) 내의 압력을 조절하는 기체 압력 조절기(16)를 더 포함할 수 있다. 도 8의 극자외선 발생 장치(10C)는 간략하게 도시되었으나, 고차 조화파를 발생시킬 수 있는 다양한 형태 및 구성을 가질 수 있다.
도 9는 본 발명의 일 실시예에 따른 극자외선 시스템(100A)을 개략적으로 나타낸 도면이다. 도 9는 본 발명의 일 실시예에 따른 극자외선 마스크 검사 장치를 개략적으로 나타낸 도면이다.
도 9를 참조하면, 극자외선 시스템(100A)은 극자외선 광원 시스템(SO)(이하 '광원 시스템'으로 지칭함) 및 마스크 검사 시스템(MDRS)을 포함할 수 있다. 광원 시스템(SO)은 제1 광(L1)을 생성하는 광 생성부(10)를 포함할 수 있다. 도 9에서, 마스크 검사 시스템(MDRS) 내에 배치된 필터부(15)는 광원 시스템(SO) 내에 배치되거나, 광 생성부(10) 내에 배치될 수도 있다. 필터부(15)는 도 2a-2c, 4, 및 6에 도시된 구조들 중 적어도 하나의 구조를 가질 수 있다. 필터부(15)는 제1 광(L1)을 필터링하여 극자외선 광(L2)을 추출할 수 있다. 극자외선 광(L2)은 약 4nm 내지 약 124nm의 범위, 약 4nm 내지 약 20nm 사이의 범위, 또는 약 13.5nm 의 파장을 가질 수 있다. 광 생성부(10)는 전술한 도 1 내지 도 8의 극자외선 생성 장치와 동일 또는 유사한 구조 및 기능을 가질 수 있다.
마스크 검사 시스템(MDRS)은 미러부(20), 존 플레이트 렌즈(30), 포토 마스크(40), 및 검출부(60)를 포함할 수 있다. 미러부(20)는 극자외선 광(L2)을 포토마스크(40)를 향해 반사시키는 적어도 하나의 미러를 포함할 수 있다. 미러는 약 12nm 내지 14nm 파장의 광을 선택하여 반사시킬 수 있다. 미러는 예를 들어, 몰리브덴 층 및 실리콘 층 중 적어도 하나를 포함하는 단층막 또는 다층막 구조일 수 있다. 미러부(20)는 복수의 미러들을 포함할 수 있으나, 도면의 단순화 및 설명의 편의를 위해, 도 9에는 하나의 미러만을 도시하였다(이하 '20'은 미러를 지칭할 수 있음). 존 플레이트 렌즈(30)는 미러부(20)와 포토마스크(40) 사이에 배치되고, 극자외선 광(L2)이 입사하는 전면 및 전면의 반대에 위치한 후면을 가지며, 극자외선 광(L2)을 포토마스크(40)의 일 영역(41)에 집속시킬 수 있다. 존 플레이트 렌즈(30)는 예를 들어, 실리콘 웨이퍼 등의 플레이트 상에 직경이 서로 다른 링 형상의 개구를 복수개 형성한 형태일 수 있다. 포토마스크(40)는, 반사 물질을 포함하며 표면에 약 45nm 이하의 미세 회로 패턴이 형성된 반사형 마스크일 수 있다. 포토마스크(40)는 이동부(4) 상에 배치될 수 있으며, 이동부(4)는 검출부(60)가 포토마스크(40)의 전체를 스캐닝할 수 있도록 포토마스크(40)를 이동시킬 수 있다. 검출부(60)는 포토마스크(40)의 일 영역(41)에서 반사된 극자외선 광을 검출할 수 있다. 검출부(60)는 반사된 극자외선 광의 에너지를 감지하여 연산부에 전송하고 연산부는 포토마스크(40)의 공간 영상을 출력할 수 있다.
또한, 마스크 검사 시스템(MDRS)은 포토마스크(40)와 검출부(60) 사이에 배치되며, 반사된 극자외선 광이 통과하는 적어도 하나의 관통홀을 갖는 어퍼쳐(aperture)(50)를 더 포함할 수 있다. 어퍼쳐(50)는 존 플레이트 렌즈(30)와 동일한 플레이트 상에 형성될 수 있다. 마스크 검사 시스템(MDRS)은 존 플레이트 렌즈(30)와 포토마스크(40) 사이 및/또는 검출부(60)와 포토마스크(40) 사이에 배치되고, 적어도 하나의 핀홀을 갖는 OSA(order sorting aperture) 렌즈(70)를 더 포함할 수 있다. OSA 렌즈(70)는 1차 오더(first order) 광 외에 0차, 고차(high order) 광을 차단할 수 있다.
도 10은 본 발명의 일 실시예에 따른 극자외선 시스템(100B)을 개략적으로 나타낸 도면이다. 도 10은 본 발명의 일 실시예에 따른 극자외선 마스크 검사 장치를 개략적으로 나타낸 도면이다. 도 11a 및 11b는 도 10의 극자외선 시스템(100B)에서 일 실시예에 따른 존 플레이트 렌즈(30) 나타낸 도면들이고, 도 12는 도 10의 극자외선 시스템(100B)에서 일 실시예에 따른 미러부(20)를 나타낸 도면이다.
도 10을 참조하면, 극자외선 시스템(100B)은 존 플레이트 렌즈(30)의 전면(S3) 및 후면(S4) 중 적어도 한면에 배치된 렌즈 보호막(35)을 더 포함할 수 있다. 또한, 미러부(20)는 극자외선 광(L2)이 반사되는 미러의 전면에 배치된 미러 보호막(25)을 더 포함할 수 있다. 존 플레이트 렌즈(30)와 미러부(20)를 제외한 광원 시스템(SO) 및 마스크 검사 시스템(MDRS) 내의 나머지 구성 요소들의 특징은 상술한 도 9의 내용과 동일 또는 유사하므로 자세한 설명은 생략한다. 이하, 도 11a, 11b, 및 12를 참조하여, 존 플레이트 렌즈(30)와 미러부(20)의 구조를 구체적으로 설명한다.
도 11a 및 11b를 참조하면, 일 예에서, 렌즈 보호막(35)은 존 플레이트 렌즈(30)의 전면(S3)에 부착될 수 있다(도 11a 참조). 렌즈 보호막(35)은 필터부(15)의 보호막과 동일 또는 유사한 특징을 가지며, 예를 들어, 흑연(graphite)을 포함하는 필름 및 그래핀 중 적어도 하나를 포함할 수 있다. 렌즈 보호막(35)은 극자외선 광(L2)에 의한 존 플레이트 렌즈(30)의 열화, 산화 등을 방지하여 부품의 교체 기간을 연장하고 공정 효율을 개선할 수 있다. 일 예에서, 한 쌍의 렌즈 보호막(35a, 35b)은 존 플레이트 렌즈(30)의 전면(S3) 및 후면(S4)에 각각 부착될 수 있다(도 11b 참조). 존 플레이트 렌즈(30)는, 필터부(15)와 같이, 전면(S3)으로 입사된 극자외선 광(L2)이 후면(S4)으로 투과되므로, 후면(S4)에도 렌즈 보호막(35b)을 배치하여 존 플레이트 렌즈(30)를 효과적으로 보호할 수 있다.
도 12를 참조하면, 일 예에서, 미러 보호막(25)은 미러의 전면에 부착될 수 있다. 미러 보호막(25)은 필터부(15)의 보호막 및 렌즈 보호막(35)과 동일 또는 유사한 특징을 가질 수 있다. 미러 보호막(25)은 극자외선 광(L2)으로부터 미러를 보호하여, 미러의 열화, 산화 등을 방지하고 미러 또는 미러부(20)의 교체 기간을 연장할 수 있다. 또한, 일 예에서, 미러 보호막(25) 상에는 미러 보호막(25)의 적어도 일부를 노출시키는 노출 영역(26h)를 갖는 폴리머층(26)이 더 포함될 수 있다. 폴리머층(26)은 미러(20) 상에 미러 보호막(25)을 전사시키는 과정에서 부착된 PDMS 또는 PMMA 층일 수 있다. 폴리머층(26)의 일부를 제거하여 극자외선 광(L2)의 입사면을 확보하는 노출 영역(26h)가 형성될 수 있다.
도 13은 본 발명의 일 실시예에 따른 극자외선 시스템(200A)을 개략적으로 나타낸 도면이다. 도 13은 본 발명의 일 실시예에 따른 극자외선 노광 장치를 개략적으로 나타낸 도면이다.
도 13을 참조하면, 극자외선 시스템(200A)은 광원 시스템(SO), 조명 시스템(LA), 및 투영 시스템(PS)을 포함할 수 있다. 또한, 극자외선 시스템(200A)은 광원 시스템(SO), 조명 시스템(LA), 및 투영 시스템(PS)이 배치된 노광 챔버(1), 포토마스크(40)가 탑재되는 마스크 스테이지(MS), 및 반도체 웨이퍼(WF)가 탑재되는 웨이퍼 스테이지(ES)를 포함할 수 있다.
노광 챔버(1)는 내부 공간(2)을 가지며, 내부 공간(2)에 극자외선 광원 시스템(SO), 조명 시스템(LA), 투영 시스템(PS), 마스크 스테이지(MS) 및 웨이퍼 스테이지(ES)가 배치될 수 있다. 일 예에서, 일부 구성은 노광 챔버(1)의 외부에 배치될 수도 있다. 예를 들어, 광원 시스템(SO)의 일부는 노광 챔버(1)의 외부에 배치될 수도 있다. 노광 챔버(1)의 내부 공간(2)은 광원 시스템(SO)에서 생성된 제1 극자외선 광(L2a)이 기체에 흡수되는 것을 방지하기 위해, 약 5Pa 이하의 저압 상태 또는 진공 상태일 수 있다.
마스크 스테이지(MS)는 전원 공급부(PWS)에서 인가된 전원에 의해 형성된 정전기력에 의해 포토마스크(40)를 고정시킬 수 있다. 웨이퍼 스테이지(ES)는 반도체 웨이퍼(WF)의 노광 영역을 변경하기 위하여, 반도체 웨이퍼(WF)를 이동시킬 수 있다. 반도체 웨이퍼(WF)는 웨이퍼 스테이지(ES) 상으로 로딩/언로딩될 수 있다.
광원 시스템(SO)은 제1 극자외선 광(L2a)을 발생시킬 수 있다. 필터부(15)는 광원 시스템(SO) 내에 배치되거나, 광 생성부(10) 내에 배치될 수도 있다. 필터부(15)는 도 2a-2c, 4, 및 6에 도시된 구조들 중 적어도 하나의 구조를 가질 수 있다. 필터부(15)는 광 생성부(10)에서 발생된 광을 필터링하여 제1 극자외선 광(L2a)을 추출할 수 있다. 제1 극자외선 광(L2a)은 약 4nm 내지 약 124nm의 범위, 약 4nm 내지 약 20nm 사이의 범위, 또는 약 13.5nm 의 파장을 가질 수 있다. 광 생성부(10)는 전술한 도 1 내지 도 8의 극자외선 생성 장치와 동일 또는 유사한 구조 및 기능을 가질 수 있다. 예를 들어, 광원 시스템(SO)의 챔버 내부에서는 극자외선 광원부(도 1의 '13')에 의해 공급되는 레이저와, 원료 공급부(도 1의 '12A')에 의해 공급되는 액적이 초당 50000회 이상 충돌하며 플라즈마가 형성될 수 있다. 집광부(도 1의 '14A')는 플라즈마에서 전방위로 방사되는 극자외선 광을 모아 조명 시스템(LA)으로 제공할 수 있다.
조명 시스템(LA)은 다수의 미러들(21, 22)을 포함하여 극자외선 광을 포토마스크(40)의 표면으로 조사할 수 있다. 예를 들어, 다수의 미러들(21, 22)은 광원 시스템(SO)에서 방출된 제1 극자외선 광(L2a)을 반사하여 조명 시스템(LA)를 통과시키고, 조명 시스템(LA)을 통과한 제2 극자외선 광(L2b)은 마스크 스테이지(MS)의 포토마스크(40)의 표면으로 조사될 수 있다. 조명 시스템(LA)에 포함된 다수의 미러들은 도면의 단순화 및 설명의 편의를 위해, 두개의 미러(21, 22)만을 도시한다.
투영 시스템(PS)은 다수의 미러들(81, 82)을 포함하여 포토마스크(40)에 의해 반사된 극자외선 광(L2c)을 반도체 웨이퍼(WF)의 표면으로 조사할 수 있다. 예를 들어, 다수의 미러들(81, 82)은 포토마스트(40)에서 반사된 제3 극자외선 광(L2c)을 반사하여 투영 시스템(PS)을 통과시키고, 투영 시스템(PS)을 통과한 제4 극자외선 광(L2d)은 웨이퍼 스테이즈(ES) 상의 반도체 웨이퍼(WF)의 표면으로 조사될 수 있다. 제4 극자외선 광(L2d)은 반도체 웨이퍼(WF) 표면의 포토레지스트 층을 노광할 수 있다. 투영 시스템(PS)에 포함된 다수의 미러들은 도면의 단순화 및 설명의 편의를 위해, 두개의 미러(81, 82)만을 도시한다.
도 14는 본 발명의 일 실시예에 따른 극자외선 시스템(200B)을 개략적으로 나타낸 도면이다. 도 14는 본 발명의 일 실시예에 따른 극자외선 노광 장치를 개략적으로 나타낸 도면이다.
도 14를 참조하면, 극자외선 시스템(200B)은 조명 시스템(LA) 및 투영 시스템(PS) 각각의 미러들(21, 22, 81, 82)을 보호하는 미러 보호막들(25, 85)을 더 포함할 수 있다. 예를 들어, 조명 시스템(LA) 및 투영 시스템(PS) 각각은, 제1 극자외선 광(L2a) 또는 제3 극자외선 광(L2c)을 반사시키는 복수의 미러들(21, 22, 81, 82), 및 복수의 미러들(21, 22, 81, 82)의 전면에 각각 배치된 복수의 미러 보호막들(25, 85)을 포함할 수 있다. 복수의 미러들(21, 22, 81, 82)은 그 전면으로 입사된 극자외선 광을 반사시키므로, 필터부(15)와 달리, 극자외선 광의 입사면에만 배치된 미러 보호막들(25, 85)에 의해 미러의 오염 등을 효과적으로 방지할 수 있다.
상기 실시예들에서, 극자외선 시스템으로, 극자외선 마스크 검사 장치 및 극자외선 노광 장치를 예로 설명하였으나, 본 발명의 극자외선 시스템은 이에 한정되지 않으며 다양한 반도체 제조 장치들에 적용될 수 있을 것이다.
본 발명은 상술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니며 첨부된 청구범위에 의해 한정하고자 한다. 따라서, 청구범위에 기재된 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 당 기술분야의 통상의 지식을 가진 자에 의해 다양한 형태의 치환, 변형 및 변경이 가능할 것이며, 이 또한 본 발명의 범위에 속한다고 할 것이다.

Claims (20)

  1. 극자외선(EUV) 생성을 위한 플라즈마 소스를 공급하는 원료 공급부;
    레이저를 발진하여 상기 플라즈마 소스로부터 플라즈마를 생성하는 극자외선 광원부;
    상기 플라즈마로부터 발생된 광이 입사하는 전면 및 상기 전면의 반대에 위치한 후면을 가지며, 상기 광으로부터 극자외선 광을 추출하는 필터;
    상기 필터의 상기 전면에 배치된 제1 보호막; 및
    상기 필터 또는 상기 제1 보호막의 적어도 일부를 노출하는 제1 영역을 가지며, 상기 제1 보호막 상에 배치되는 프레임; 을 포함하며,
    상기 필터의 상기 전면에 수평한 방향에서 상기 제1 영역의 폭은, 상기 제1 보호막의 폭 보다 작고 상기 필터의 폭보다 작거나 같은 극자외선 발생 장치.
  2. 제1 항에 있어서,
    상기 제1 보호막은 상기 필터의 상기 전면에 직접 접촉하는 극자외선 발생 장치.
  3. 제1 항에 있어서,
    상기 필터는 금속 물질을 포함하고,
    상기 필터의 상기 전면과 상기 제1 보호막의 사이에는 금속 산화층이 존재하지 않는 극자외선 발생 장치.
  4. 제1 항에 있어서,
    상기 제1 보호막의 두께는 0.1nm 내지 100nm의 범위인 극자외선 발생 장치.
  5. 제1 항에 있어서,
    상기 제1 보호막과 상기 프레임 사이에 배치되며, 상기 제1 영역에 대응하여 상기 제1 보호막의 적어도 일부를 노출시키는 제2 영역을 갖는 폴리머층을 더 포함하고,
    상기 프레임은 상기 필터의 상기 전면을 향하는 극자외선 발생 장치.
  6. 제5 항에 있어서,
    상기 폴리머층은 PDMS(Polydimethyl siloxane) 및 PMMA(Polymethyl methacrylate) 중 적어도 하나를 포함하는 극자외선 발생 장치.
  7. 제5 항에 있어서,
    상기 폴리머층의 두께는 100nm 내지 500nm의 범위인 극자외선 발생 장치.
  8. 제5 항에 있어서,
    상기 필터의 상기 후면에 배치되는 제2 보호막을 더 포함하는 극자외선 발생 장치.
  9. 제1 항에 있어서,
    상기 필터는 상기 제1 영역 내에 배치되며,
    상기 프레임은 상기 필터의 측면을 둘러싸는 극자외선 발생 장치.
  10. 광이 입사하는 전면 및 상기 전면의 반대에 위치한 후면을 가지며 상기 광으로부터 극자외선 광을 추출하는 필터, 상기 필터의 상기 전면에 배치된 보호막, 및 상기 보호막의 적어도 일부를 노출하며 상기 보호막 상에 순차로 적층된 폴리머층 및 프레임을 포함하고, 상기 광의 진행 경로 상에 배치되는 필터부; 및
    상기 극자외선 광을 포토마스크를 향해 반사시키는 적어도 하나의 미러를 포함하는 미러부를 포함하는 극자외선 시스템.
  11. 제10 항에 있어서,
    상기 포토마스크로부터 반사된 극자외선 광을 검출하는 검출부를 더 포함하는 극자외선 시스템.
  12. 제11 항에 있어서,
    상기 포토마스크와 상기 검출부 사이에 배치되며, 상기 반사된 극자외선 광이 통과하는 적어도 하나의 관통홀을 갖는 어퍼쳐(aperture)를 더 포함하는 극자외선 시스템.
  13. 제10 항에 있어서,
    상기 미러부와 상기 포토마스크 사이에 배치되고, 상기 극자외선 광이 입사하는 전면 및 상기 전면의 반대에 위치한 후면을 가지며, 상기 극자외선 광을 상기 포토마스크에 집속시키는 존 플레이트(zone plate) 렌즈; 및 상기 존 플레이트 렌즈의 상기 전면 및 상기 후면 중 적어도 하나에 배치된 렌즈 보호막; 을 더 포함하는 극자외선 시스템.
  14. 제13 항에 있어서,
    상기 존 플레이트 렌즈와 상기 포토마스크 사이에 배치되고, 적어도 하나의 핀홀을 갖는 OSA(order sorting aperture) 렌즈를 더 포함하는 극자외선 시스템.
  15. 제10 항에 있어서,
    상기 미러는 상기 극자외선 광이 입사하는 전면을 가지며, 상기 미러의 상기 전면에 배치된 미러 보호막을 더 포함하는 극자외선 시스템.
  16. 제15 항에 있어서,
    상기 미러 보호막 상에 배치되며, 상기 미러 보호막의 적어도 일부를 노출시키는 폴리머층을 더 포함하는 극자외선 시스템.
  17. 제10 항에 있어서,
    레이저를 생성하는 극자외선 광원부 및 내부에 비활성 기체가 채워지고, 상기 레이저로부터 극자외선을 포함하는 상기 광을 생성하는 가스 셀을 포함하는 광 생성부를 더 포함하는 극자외선 시스템.
  18. 제10 항에 있어서,
    상기 극자외선 광의 파장은 4nm 내지 124nm의 범위인 극자외선 시스템.
  19. 극자외선 광을 발생시키는 극자외선 광원 시스템;
    상기 극자외선 광을 포토마스크의 표면으로 조사하는 조명 시스템; 및
    상기 포토마스크에 의해 반사된 극자외선 광을 반도체 웨이퍼의 표면으로 조사하는 투영 시스템; 을 포함하되,
    상기 극자외선 광원 시스템은,
    광원으로부터 상기 극자외선 광을 추출하는 필터, 상기 필터의 전면에 배치된 보호막, 및 상기 보호막 상에 배치되며 상기 필터 또는 상기 보호막의 적어도 일부를 노출시키는 프레임을 포함하는 필터부를 포함하고,
    상기 필터는 금속 물질을 포함하고, 상기 보호막은 흑연 및 그래핀 중 적어도 하나를 포함하되,
    상기 필터와 상기 보호막 사이에는 금속 산화층이 존재하지 않는 극자외선 시스템.
  20. 제1 보호막을 준비하는 단계;
    상기 제1 보호막 상에 폴리머층을 부착하는 단계;
    상기 제1 보호막 또는 상기 폴리머층 상에 상기 제1 보호막 또는 상기 폴리머층의 적어도 일부를 노출하는 노출 영역을 갖는 프레임을 부착하는 단계; 및
    상기 제1 보호막의 표면에 필터를 증착하는 단계; 를 포함하는 극자외선 발생 장치의 제조 방법.
KR1020200161243A 2020-11-26 2020-11-26 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템 KR20220075021A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020200161243A KR20220075021A (ko) 2020-11-26 2020-11-26 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템
US17/468,644 US11729896B2 (en) 2020-11-26 2021-09-07 Apparatus for generating extreme ultraviolet (EUV), method of manufacturing the same, and EUV system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200161243A KR20220075021A (ko) 2020-11-26 2020-11-26 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템

Publications (1)

Publication Number Publication Date
KR20220075021A true KR20220075021A (ko) 2022-06-07

Family

ID=81657456

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200161243A KR20220075021A (ko) 2020-11-26 2020-11-26 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템

Country Status (2)

Country Link
US (1) US11729896B2 (ko)
KR (1) KR20220075021A (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230037817A (ko) * 2021-09-10 2023-03-17 주식회사 이솔 다층막 반사형 존플레이트를 이용한 euv용 조명 장치 및 그 제조방법
KR20230054027A (ko) * 2021-10-15 2023-04-24 주식회사 이솔 Euv 마스크 및 euv 팰리클의 반사도와 투과도 측정장치
US11960202B1 (en) * 2021-10-15 2024-04-16 Euv Tech, Inc. EUV mask defect tool apparatus

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW561279B (en) 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US6453006B1 (en) 2000-03-16 2002-09-17 Therma-Wave, Inc. Calibration and alignment of X-ray reflectometric systems
US6577442B2 (en) * 2001-09-27 2003-06-10 Intel Corporation Reflective spectral filtering of high power extreme ultra-violet radiation
US7250620B2 (en) * 2005-01-20 2007-07-31 Infineon Technologies Ag EUV lithography filter
KR101535230B1 (ko) 2009-06-03 2015-07-09 삼성전자주식회사 Euv 마스크용 공간 영상 측정 장치 및 방법
JP2014531705A (ja) 2011-09-02 2014-11-27 エーエスエムエル ネザーランズ ビー.ブイ. 放射源、リソグラフィ装置のための方法、およびデバイス製造方法
DE102011083462A1 (de) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh EUV-Spiegel mit einer Oxynitrid-Deckschicht mit stabiler Zusammensetzung
US10185234B2 (en) 2012-10-04 2019-01-22 Asml Netherlands B.V. Harsh environment optical element protection
KR20170015617A (ko) 2015-07-29 2017-02-09 삼성전자주식회사 극자외선 발생 장치 및 노광 장치
DE102017211443A1 (de) 2017-07-05 2019-01-10 Carl Zeiss Smt Gmbh Metrologiesystem mit einer EUV-Optik

Also Published As

Publication number Publication date
US20220167492A1 (en) 2022-05-26
US11729896B2 (en) 2023-08-15

Similar Documents

Publication Publication Date Title
KR20220075021A (ko) 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템
US11789355B2 (en) Extreme ultraviolet mask and method of manufacturing the same
EP1717609B1 (en) Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US6833223B2 (en) Multilayer-film reflective mirrors and optical systems comprising same
KR101572930B1 (ko) 방사 시스템, 방사선 콜렉터, 방사 빔 컨디셔닝 시스템, 방사 시스템용 스펙트럼 퓨리티 필터, 및 스펙트럼 퓨리티 필터 형성 방법
TWI400580B (zh) 微影裝置之光學元件、包含此光學元件之微影裝置及製造此光學元件之方法
TWI534557B (zh) 微影裝置、光譜純度濾光器及元件製造方法
KR20020077521A (ko) 조명 시스템, 리소그래피 투영 장치 및 디바이스 제조 방법
JP5637702B2 (ja) 露光装置およびデバイス製造方法
KR20130009995A (ko) 리소그래피 장치 및 스펙트럼 퓨리티 필터
US9632419B2 (en) Radiation source
KR100589233B1 (ko) 리소그래피 투영장치 및 상기 장치에 사용하기 위한리플렉터 조립체
US8547525B2 (en) EUV radiation generation apparatus
KR20120081981A (ko) 스펙트럼 퓨리티 필터, 리소그래피 장치, 스펙트럼 퓨리티 필터 제조 방법, 및 리소그래피 장치를 이용한 디바이스 제조 방법
TWI510821B (zh) 光譜純度濾光器
US20170031142A1 (en) Apparatus generating extreme ultraviolet light and exposure system including the same
KR101625934B1 (ko) 다층 미러 및 리소그래피 장치
JP2012222349A (ja) 多層ミラーおよびリソグラフィ装置
US11762280B2 (en) Extreme ultraviolet light reflective structure including nano-lattice and manufacturing method thereof
TW201337470A (zh) 輻射源與用於微影裝置及元件製造之方法