JP2014531705A - 放射源、リソグラフィ装置のための方法、およびデバイス製造方法 - Google Patents

放射源、リソグラフィ装置のための方法、およびデバイス製造方法 Download PDF

Info

Publication number
JP2014531705A
JP2014531705A JP2014527557A JP2014527557A JP2014531705A JP 2014531705 A JP2014531705 A JP 2014531705A JP 2014527557 A JP2014527557 A JP 2014527557A JP 2014527557 A JP2014527557 A JP 2014527557A JP 2014531705 A JP2014531705 A JP 2014531705A
Authority
JP
Japan
Prior art keywords
fuel
nozzle
supply line
filter assembly
fuel supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2014527557A
Other languages
English (en)
Inventor
ハルテルマンス,ロナルド
ケンペン,アントニウス
エッセン,ベン ヴァン
エッセン,ベン ヴァン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2014531705A publication Critical patent/JP2014531705A/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B22CASTING; POWDER METALLURGY
    • B22DCASTING OF METALS; CASTING OF OTHER SUBSTANCES BY THE SAME PROCESSES OR DEVICES
    • B22D43/00Mechanical cleaning, e.g. skimming of molten metals
    • B22D43/001Retaining slag during pouring molten metal
    • B22D43/004Retaining slag during pouring molten metal by using filtering means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/146Laser beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • X-Ray Techniques (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

LPP(レーザ生成プラズマ)またはDLP(デュアルレーザプラズマ)によって溶融金属燃料の小滴の流れからEUVを生成するための放射源は、燃料の小滴の流れを提供するように配置された燃料小滴ジェネレータと、燃料の小滴の少なくともいくつかを気化させる少なくとも1つのレーザと、を有し、それによって放射が生成される。燃料小滴ジェネレータは、ノズルと、燃料供給ラインと、リザーバとを、リザーバから燃料供給ラインを通ってノズルから小滴の流れとして出る溶融金属燃料の流れを供給するように配置されたポンピングデバイスとともに有する。燃料小滴ジェネレータは、燃料供給ライン内に、使用中に溶融金属燃料を濾過するように配置された交換可能なフィルタアセンブリを有して、燃料の固体粒子不純物によるノズルの閉塞を抑止する。【選択図】図4

Description

[関連出願の相互参照]
[0001] 本出願は、2012年9月2日に出願された米国仮出願第61/530,802号の利益を主張し、その全体が参照により本明細書に組み込まれる。
[0002] 本発明は、EUV放射源、リソグラフィ装置、およびデバイス製造方法に関する。
[0003] リソグラフィ装置は、所望のパターンを基板上、通常、基板のターゲット部分上に付与する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に用いることができる。その場合、ICの個々の層上に形成される回路パターンを生成するために、マスクまたはレチクルとも呼ばれるパターニングデバイスを用いることができる。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、ダイの一部、または1つ以上のダイを含む)に転写することができる。通常、パターンの転写は、基板上に設けられた放射感応性材料(レジスト)層上への結像によって行われる。一般には、単一の基板が、連続的にパターニングされる隣接したターゲット部分のネットワークを含んでいる。
[0004] リソグラフィは、ICならびに他のデバイスおよび/または構造の製造における重要なステップの1つとして広く認識されている。しかし、リソグラフィを使用して作られるフィーチャの寸法が小さくなるにつれ、リソグラフィは、小型ICあるいは他のデバイスおよび/または構造を製造できるようにするための、より重要な要因になりつつある。
[0005] パターンプリンティングの限界の理論的な推定値は、式(1)に示す解像度のレイリー基準によって与えることができる:
Figure 2014531705
[0006] ここで、λは、使用される放射の波長であり、NAは、パターンを印刷するために使用される投影システムの開口数である。kは、レイリー定数とも呼ばれるプロセス依存調整係数であり、CDは、印刷されたフィーチャのフィーチャサイズ(またはクリティカルディメンジョン)である。式(1)から、フィーチャの最小印刷可能サイズの縮小は、3つの方法、すなわち露光波長λを短くすること、開口数NAを大きくすること、またはkの値を小さくすること、によって達成可能であるということになる。
[0007] 露光波長を短くし、ひいては最小印刷可能サイズを縮小するために、極端紫外線(EUV)放射源を使用することが提案されている。EUV放射は、5〜20nmの範囲内、例えば、13〜14nmの範囲内、例えば、6.7nmや6.8nmなどの5〜10nmの範囲内の波長を有する電磁放射である。可能な放射源としては、例えば、レーザ生成プラズマ源、放電プラズマ源、または電子蓄積リングによって与えられるシンクロトロン放射に基づく放射源が含まれる。
[0008] EUV放射は、プラズマを使用して生成することができる。EUV放射を生成する放射システムは、燃料を励起してプラズマを供給するレーザと、プラズマを収容するソースコレクタモジュールとを含むことができる。プラズマは、例えば、レーザビームを適切な材料(例えば、スズ)の粒子または小滴、適切なガス流または蒸気流(Xeガス、Li蒸気など)などの燃料に誘導することによって生成することができる。結果として得られるプラズマは、放射コレクタを使用して集光される出力放射、例えば、EUV放射を放出する。
[0009] 放射コレクタは、ミラー垂直入射放射コレクタとすることができ、ミラー垂直入射放射コレクタは、放射を受け、その放射をビームに集束させる。ソースコレクタモジュールは、真空環境を提供してプラズマを支持するように配置された囲い構造またはチャンバを含むことができる。そのような放射システムは、通常、レーザ生成プラズマ(LPP)源と呼ばれる。
[0010] 放射生成プラズマを生成する燃料として溶融金属燃料の小滴を用いる場合、プラズマを生成し、放射を続いて生成するために、第2レーザを設けて、第1レーザビームが小滴に入射する前に燃料小滴を予熱することができる。この手法を用いるLPP源は、デュアルレーザパルシング(DLP)源と呼ばれることがある。
[0011] 燃料小滴ジェネレータは、溶融金属燃料の小滴の流れを放射源のプラズマ形成位置に提供するように配置されてよい。
[0013] 燃料小滴ジェネレータはノズルを含むことができ、このノズルを通って、溶融金属燃料、通常、溶融スズなどの溶融金属が圧力下で駆動されて小滴の流れとしてノズルから噴射される。燃料は、通常、入口オリフィスからノズルに入り、ノズルの出口オリフィスを通って燃料供給ラインから出る。
[0014] ノズルから噴出する液体の流れの自然な分裂は、レイリー分裂として知られている。ノズルの小滴生成速度に対応するレイリー周波数は、ノズルにおける燃料の平均速度およびノズルの直径に関連する。
Figure 2014531705
[0015] 燃料の流れのレイリー分裂は励起無しに生じることもあるが、圧電アクチュエータなどのバイブレータを用いてノズルにおける溶融金属燃料の圧力を調節または振動させることによってレイリー分裂を制御することができる。ノズルの中の圧力を調節することは、ノズルからの液体燃料の流出速度を調節し、液体燃料の流れがノズルを出た直後に制御された状態で小滴に分裂することを引き起こす。
[0016] 固体粒子不純物が、燃料供給ラインからノズルの入口オリフィスに供給される溶融金属燃料の供給において存在する場合、燃料小滴を生成するために用いられるノズルは、出口オリフィスでの閉塞の影響を受けやすい。特に、通常小さい断面積を有するノズルの入口オリフィスは、そのような固体粒子不純物によって閉塞され得る。フィルタ、例えば(溶融金属燃料の融点より高い融点を持つ金属またはセラミック製の)多孔性の焼結(金属またはセラミック)フィルタを用いて、溶融金属燃料をノズルの入口オリフィスまで供給する燃料供給ラインにそのようなフィルタを配置することによって、固体粒子不純物を濾過により除去することができる。しかし、そのような多孔性の焼結金属フィルタは、フィルタ自身からの粒子の剥離が発生しがちであり、フィルタ自身からのそのように剥離した金属またはセラミックなどの粒子は、ノズルの出口オリフィスを閉塞するおそれがある。フィルタを交換する際に、そのような焼結フィルタから金属またはセラミックの粒子が剥離するというリスクがある。
[0017] 燃料小滴ジェネレータの使用において、ノズルおよび/またはフィルタを交換することが必要な場合がある。このプロセスは、焼結粒子フィルタの腐食により固体粒子不純物が生じ、燃料小滴ジェネレータ内に堆積するというリスクにつながるおそれがあり、そのような不純物は、その後、ノズルの閉塞を招く可能性がある。
[0018] 本発明の1つの目的は、中でも、上述の問題のうちの少なくともいくつかを扱う、または克服する、リソグラフィ放射源において用いられる燃料小滴の流れの生成のために用いられる溶融金属燃料を濾過するための装置および方法を提供することである。特に、本発明の目的は、燃料小滴ジェネレータ内のフィルタおよび/またはノズルの交換を、後続のノズルの閉塞のリスクを軽減して、容易にするために用いることができる装置および方法を提供することである。
[0019] 本明細書中、「備えている、含んでいる(comprising)」または「備える、含む(comprise)」という用語は、特定された(1つ以上の)コンポーネントを含むがその他の存在も除外しないことを意味する。「本質的に〜からなっている(consisting essentially of)」または「本質的に〜からなる(consists essentially of)」という用語は、特定されたコンポーネントを含むが、不純物として存在する材料、該コンポーネントを提供するために用いられるプロセスの結果として存在する不可避な材料以外の他のコンポーネント、ならびに本発明の技術的効果を得る目的以外の目的のために追加されたコンポーネントを除外する。
[0020] 「備える、含む(comprises)」または「備えている、含んでいる(comprising)」という用語の使用は、必要に応じて、「本質的に〜からなる(consists essentially of)」または「本質的に〜からなっている(consisting essentially of)」の意味を含み、さらに「からなる(consists of)」または「からなっている(consisting of)」の意味を含むこともできる。
[0021] 本明細書に述べられた任意のおよび/または好ましい特徴は、個別にまたは必要に応じて互いに組み合わせて、特に添付の特許請求の範囲に記載されたような組み合わせで使用されてよい。本明細書中に述べられた本発明の各態様に対する任意のおよび/または好ましい特徴は、必要に応じて、本発明の他のあらゆる態様にも適用できる。
[0022] 本発明の第一の態様は、燃料の小滴の流れを提供するように配置された燃料小滴ジェネレータと、燃料の小滴の少なくともいくつかを気化させるように構成された少なくとも1つのレーザとを有し、それによって放射が生成される放射源であって、燃料小滴ジェネレータは、ノズルと、燃料供給ラインと、リザーバと、リザーバから燃料供給ラインを通ってノズルから小滴の流れとして出る溶融金属燃料の流れを供給するように構成されたポンピングデバイスと、を備え、
[0023] 燃料小滴ジェネレータは、燃料供給ライン内に、使用中に溶融金属燃料を濾過するように配置された交換可能なフィルタアセンブリを有し、
[0024] 交換可能なフィルタアセンブリは、向かい合った第1面および第2面を、1つ以上のチャネルとともに有する剛性サポートプレートを備え、該各チャネルは、該第1面の各第1開口から該第2面の各第2開口を通って延在し、該剛性サポートプレートは、該第1面上の、該第1面の各第1開口にわたって延在するフィルタ膜を支持し、
[0025] フィルタ膜は、互いに間隔を空けた穴を、複数の該穴と位置合わせされて該複数の穴と各チャネルとの間に流体接続を提供する各第1開口とともに備える、放射源を提供する。
[0026] 本装置の第二の態様は、放射ビームを生成するように配置される、本発明の第一の態様の放射源を備えるリソグラフィ装置であって、放射ビームを調整するように構成された照明システムと、放射ビームの断面にパターンを与えてパターン形成された放射ビームを形成可能であるパターニングデバイスを支持するように構築されたサポートと、基板を保持するように構築された基板テーブルと、パターン形成された放射ビームを基板のターゲット部分上に投影するように構成された投影システムと、をさらに備える、リソグラフィ装置を提供する。
[0027] 本発明の第一の態様の放射源の一部を形成する、本明細書中に記載した燃料小滴ジェネレータが、独自に本発明の一態様として独立して考えることができると理解されたい。
[0028] 従って、本発明の第三の態様は、デバイスリソグラフィ用の放射源において用いられる燃料の小滴の流れを提供するための、ノズルと、燃料供給ラインと、リザーバと、リザーバから燃料供給ラインを通ってノズルから小滴の流れとして出る溶融金属燃料の流れを供給するように配置されたポンピングデバイスと、を備える燃料小滴ジェネレータであって、
[0029] 燃料小滴ジェネレータは、燃料供給ライン内に、使用中に溶融金属燃料を濾過するように配置されたフィルタアセンブリを有し、
[0030] フィルタアセンブリは、向かい合った第1面および第2面を、1つ以上のチャネルとともに有する剛性サポートプレートを備え、該各チャネルは、該第1面の各第1開口から該第2面の各第2開口を通って延在し、
[0031] 該剛性サポートプレートは、第1面に接合され、かつ該第1面の各第1開口にわたって延在するフィルタ膜を支持し、
[0032] フィルタ膜は、互いに間隔を空けた穴を、複数の該穴と位置合わせされて該複数の穴と各チャネルとの間に流体接続を提供する各第1開口とともに備える、燃料小滴ジェネレータを提供する。
[0033] 本発明の第四の態様は、デバイスリソグラフィのための、レーザ励起により溶融金属燃料の小滴の流れを提供して放射源を提供するための燃料小滴ジェネレータ用の溶融金属燃料の供給を濾過する方法であって、燃料小滴ジェネレータは、ノズルと、燃料供給ラインと、リザーバと、リザーバから燃料供給ラインを通ってノズルから小滴の流れとして出る溶融金属燃料の流れを供給するように配置されたポンピングデバイスと、を備え、
[0034] 該方法は、燃料供給ライン内に、使用中に溶融金属燃料を濾過するように配置された交換可能なフィルタアセンブリを挿入かつ保有することを含み、
[0035] 交換可能なフィルタアセンブリは、向かい合った第1面および第2面を、1つ以上のチャネルとともに有する剛性サポートプレートを備え、該各チャネルは、該第1面の各第1開口から該第2面の各第2開口を通って延在し、
[0036] 該方法は、第1面上の、第1面の各第1開口にわたって延在するフィルタ膜を支持することを含み、
[0037] フィルタ膜は、互いに間隔を空けた穴を、複数の該穴と位置合わせされて該複数の穴と各チャネルとの間に流体接続を提供する各第1開口とともに備える、方法を提供する。
[0038] 本発明の放射源は、通常、EUV(極端紫外線)などの放射を生成するように構成される。EUV放射は、5〜20nmの範囲内、例えば13〜14nmの範囲内、例えば6.7nmや6.8nmなどの5〜10nmの範囲内の波長を有してよい。
[0039] 燃料小滴ジェネレータは、ノズルと、燃料供給ラインと、リザーバと、リザーバから燃料供給ラインを通ってノズルから小滴の流れとして出る溶融金属燃料の流れを供給するように配置されたポンピングデバイスと、を備える。ポンピングデバイスは、単に、溶融状態の燃料を、リザーバから供給チャンバを通ってノズルの出口オリフィスから小滴の流れとして出させるためにリザーバに適用された圧力ジェネレータであってもよい。ギヤポンプなど他のあらゆる適切なポンピングデバイスが用いられてよい。
[0040] 燃料小滴ジェネレータは、燃料供給ライン内に、使用中、溶融金属燃料を濾過するように配置された交換可能なフィルタアセンブリを有する。通常、溶融燃料は、溶融スズとすることができる。
[0041] 交換可能なフィルタアセンブリは、剛性サポートプレートを有し、該剛性サポートプレートは向かい合った第1面および第2面を、1つ以上のチャネルとともに有し、該各チャネルは、該第1面の各第1開口から該第2面の各第2開口を通って延在し、該剛性サポートプレートは、該第1面上の、該第1面の各第1開口にわたって延在するフィルタ膜を支持する。フィルタ膜は、互いに間隔を空けた穴を、フィルタ膜の複数の該穴と位置合わせされて該複数の穴と各チャネルとの間に流体接続を提供する、剛性サポートプレートの第1面の各第1開口とともに備える。これによって、使用中、溶融燃料がチャネルおよび穴を通って流れることが可能になり、穴は、金属燃料中の、穴の最大幅より大きい幅を有する固体粒子不純物が穴を通過してノズルに到達することを防ぐことによって、フィルタとして機能する。
[0042] 適切には、穴はノズルの出口オリフィスの最小幅より小さい最大幅を有することができ、それによって、穴を通過することが可能な粒状物質は、閉塞を引き起こさずにノズルの出口オリフィスも通過するはずである。通常、穴および出口オリフィスは、円形の断面を有し得るので、その幅は直径に相当する。従って、穴は、ノズルの出口オリフィスの直径より小さい直径を有し得る。本明細書における断面積の「最大幅」が意味するものは、断面積の中心を通過しながら断面積にわたって延在する最長直線である。
[0043] 燃料小滴ジェネレータは、ノズルを備える交換可能なノズルアセンブリと、ノズルアセンブリを燃料供給ラインに接続するためのコネクタであって、それによってノズルが燃料供給ラインと流体接続するコネクタと、を備え得る。フィルタアセンブリは、使用中、コネクタ内に保有される。コネクタは、コネクタとしてともに機能する、燃料ラインと燃料ノズルとの相互係合接続部分を備え得る。
[0044] 1つの適切な構成において、コネクタは、使用中、燃料供給ラインとノズルアセンブリの入口オリフィスとの間にフィルタアセンブリを解放可能に保持するように適合され得る。
[0045] 別の適切な構成において、交換可能なノズルは、使用中、燃料供給ラインとノズルアセンブリの入口オリフィスとの間にフィルタアセンブリを解放可能に保持するように適合さ得る。
[0046] 別の適切な構成において、フィルタアセンブリは、ノズルアセンブリの入口オリフィスに接合され、それによって交換可能なノズルおよびフィルタアセンブリは、単一のコンポーネントを形成し得る。
[0047] フィルタアセンブリの剛性サポートプレートは、半導体材料のウェーハなどの固体ウェーハ、例えばシリコンウェーハとすることができる。通常、剛性サポートプレートは、単結晶シリコンウェーハなどの単結晶半導体ウェーハとすることができる。
[0048] フィルタ膜は、剛性サポートプレートの第1面に接合することが可能なあらゆる適切な材料から形成され得るが、エピタキシャル成長、酸化、または化学気相蒸着などの成長によって剛性サポートプレートの第1面上に提供することが可能な材料から形成されることが適切である。例えば、剛性サポートプレートをシリコンから形成する場合、膜は、適切には、例えば、シリカ、アルミナ、または窒化シリコンの膜とすることができる。好ましくは、剛性サポートプレートはシリコンから形成され、膜は窒化シリコンから形成される。
[0049] 第1開口は、適切には、100μmの最大幅を有することができ、穴は、適切には、3μmの最大幅を有することができる。剛性サポートプレートの厚さは、フィルタアセンブリに強度および剛性を与えるためのものであり、例えば、100〜1000μm、例えば、200〜600μm、300〜500μm(380μmなど)とすることができる。剛性サポートプレートの第1面上に支持されるフィルタ膜は、厚さ0.1〜5μmなど、実質的により薄くすることができる。
[0050] フィルタアセンブリ全体は、溶融金属燃料(例えば、溶融スズ)に不溶性の材料の層で被覆され得る。例えば、第2面および開口の内側壁を含むフィルタアセンブリ全体は、窒化シリコンの薄層で被覆され得る。この層は、厚さ1μm未満、例えば、厚さ0.5μm未満または厚さ0.2μm未満とすることができる。
[0051] 適切には、交換可能なフィルタアセンブリの挿入は、クリーンルーム条件のもとで行われる。クリーンルーム条件が意味するものは、低レベルの浮遊粒子を有する環境である。通常、これは、空気1立法メートル当たりの特定のサイズの粒子の数で特定される。クリーンルーム条件が意味するものは、少なくともISO6(1立方メートル当たり1μm以上の直径の粒子8320個未満)、好ましくは、少なくともISO5(1立方メートル当たりそのような粒子832個未満)、より好ましくは、少なくともISO4(1立方メートル当たりそのような粒子83個未満)である。
[0052] フィルタアセンブリがノズルアセンブリの入口オリフィスに接合され、それによって交換可能なノズルおよびフィルタアセンブリが単一のコンポーネントを形成する場合、ノズルの入口オリフィスへの、フィルタアセンブリのそのような接合は、クリーンルーム条件のもとで行うことができ、フィルタアセンブリが接合されたノズルアセンブリは、挿入前に、気密封止パッケージに適切に収納することができる。そのような接合は、熱接合、陽極接合などの適切な接合手段によって、または接着によって行うことができる。
[0053] 適切には、フィルタアセンブリは、MEMS製造(微小電気機械システム製造)によって形成することができる。これは、通常、いわゆるマイクロマシニング用の機械デバイスの製造に適用される集積回路製造のために従来用いられてきたような技術を伴う。例えば、剛性サポートプレートは、クリーンルーム条件のもとで半導体ウェーハなどのウェーハのエッチングによって形成することができる。例えば、フィルタ膜は、フィルタ膜に穴を形成するなどのために、剛性サポートプレートの第一面上への膜層の成長によって(例えば、エピタキシャル成長、化学気相蒸着によって、または酸化によって)、およびクリーンルーム条件のもとで膜層のエッチングによって形成することができる。
[0054] パターン形成されたレジストまたはマスク層を用いて化学ウェットエッチングなどのエッチング技術を採用して、エッチングプロセスを制御することができる。等方性または異方性エッチング、例えばドライエッチングは、反応性イオンエッチングなどを用いて採用することができる。例えば剛性サポートプレートがシリコンで形成される場合、剛性サポートプレートに実質的に均一な幅を有するチャネルを設けることができる1つの適切な技術は、いわゆるDRIEエッチング(深反応性イオンエッチング)である。シリコンのエッチングは、例えば、Cの堆積を用いる交互側壁パッシベーションと組み合わせたSF/酸素エッチングによって行われる。
[0055]本発明のさらなる特徴および利点、ならびに本発明のさまざまな実施形態の構造および動作を、添付の図面を参照して以下に詳細に説明する。なお本発明は、本明細書に記載の特定の実施形態に限定されない。このような実施形態は、例示のためにのみ本明細書で示される。本明細書の教示に基づいて、追加の実施形態が当業者には明らかであろう。
[0057] 本発明のいくつかの実施形態を、単なる例として、添付の概略図を参照して以下に説明する。これらの図面において同じ参照符号は対応する部分を示す。
[0058] 図1は、本発明の一実施形態に係るリソグラフィ装置を概略的に示す。 [0059] 図2は、LPPソースコレクタモジュールを含む、図1のリソグラフィ装置のより詳細な図である。 [0060] 図3A〜図3Cは、図1および図2に示すリソグラフィ装置の一部を形成し得る、本発明に係る放射源の一部を形成するフィルタアセンブリの第1実施形態を概略的に示す。 [0061] 図4A〜図4Cは、図1および図2に示すリソグラフィ装置の一部を形成し得る、本発明に係る放射源の一部を形成するフィルタアセンブリの第2実施形態を概略的に示す。 [0062] 図5は、本発明に係る流体小滴ジェネレータの一実施形態の一部を通る断面を概略的に示し、燃料供給ラインとノズルアセンブリとの間の接続構成を示す。
[0063] 本発明の特徴および利点は、これらの図面と併せて以下に記載される詳細な説明からより明らかになるであろう。図面において、同じ参照記号は、全体を通じて対応する要素を特定する。図面において、同じ参照番号は、基本的に、同一の、機能的に同様な、および/または構造的に同様な要素を示す。ある要素が初めて登場する図面は、対応する参照番号における左端の数字によって示される。
[0065] 本明細書は、本発明の特徴を組み込んだ1つ以上の実施形態を開示する。開示される実施形態は本発明を例示するに過ぎない。本発明の範囲は開示される実施形態に限定されない。
[0066] 説明される(1つ以上の)実施形態、および明細書中の「一実施形態」、「ある実施形態」、「例示的な実施形態」等への言及は、説明される実施形態が特定の特徴、構造、または特性を含み得ることを示すが、必ずしもすべての実施形態がその特定の特徴、構造、または特性を含んでいなくてもよい。また、かかる表現は、必ずしも同じ実施形態を指すものではない。また、特定の特徴、構造、または特性がある実施形態に関連して説明される場合、かかる特徴、構造、または特性を他の実施形態との関連においてもたらすことは、それが明示的に説明されているか否かにかかわらず、当業者の知識内のことであると理解される。
[0067] 図1は、本発明の一実施形態に係るソースコレクタモジュールSOを含むリソグラフィ装置100を概略的に示している。このリソグラフィ装置は、
[0068] −放射ビームB(例えば、EUV放射)を調整するように構成された照明システム(イルミネータ)ILと、
[0069] −パターニングデバイス(例えば、マスクまたはレチクル)MAを支持するように構築され、かつパターニングデバイスを正確に位置決めするように構成された第1ポジショナPMに連結されたサポート構造(例えば、マスクテーブル)MTと、
[0070] −基板(例えば、レジストコートウェーハ)Wを保持するように構築され、かつ基板を正確に位置決めするように構成された第2ポジショナPWに連結された基板テーブル(例えば、ウェーハテーブル)WTと、
[0071] −パターニングデバイスMAによって放射ビームBに付けられたパターンを基板Wのターゲット部分C(例えば、1つ以上のダイを含む)上に投影するように構成された投影システム(例えば、反射投影システム)PSと、を備える。
[0072] 照明システムとしては、放射を誘導し、整形し、または制御するための、屈折型、反射型、磁気型、電磁型、静電型、またはその他のタイプの光学コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光学コンポーネントを含むことができる。
[0073] サポート構造MTは、パターニングデバイスの向き、リソグラフィ装置の設計、および、パターニングデバイスが真空環境内で保持されているか否かなどの他の条件に応じた態様で、パターニングデバイスMAを保持する。サポート構造は、機械式、真空式、静電式またはその他のクランプ技術を使って、パターニングデバイスを保持することができる。サポート構造は、例えば、必要に応じて固定または可動式にすることができるフレームまたはテーブルであってもよい。サポート構造は、パターニングデバイスを、例えば、投影システムに対して所望の位置に確実に置くことができる。
[0074] 「パターニングデバイス」という用語は、基板のターゲット部分内にパターンを作り出すように、放射ビームの断面にパターンを与えるために使用できるあらゆるデバイスを指していると、広く解釈されるべきである。放射ビームに付与されたパターンは、集積回路などのターゲット部分内に作り出されるデバイス内の特定機能層に対応し得る。
[0075] パターニングデバイスは、透過型であっても、反射型であってもよい。パターニングデバイスの例としては、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクは、リソグラフィでは公知であり、バイナリ、レベンソン型(alternating)位相シフト、およびハーフトーン型(attenuated)位相シフトなどのマスク型、ならびに種々のハイブリッドマスク型を含む。プログラマブルミラーアレイの一例では、小型ミラーのマトリックス配列が用いられており、各小型ミラーは、入射する放射ビームを様々な方向に反射させるように、個別に傾斜させることができる。傾斜されたミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付ける。
[0076] 照明システムなどの投影システムは、使われている露光放射にとって、あるいは真空の使用といった他の要因にとって適切な、屈折型、反射型、磁気型、電磁型、静電型、またはその他のタイプの光学コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光学コンポーネントを含むことができる。EUV放射に対して真空を用いることが望ましいことがある。というのは、ガスは放射を吸収し過ぎる場合があるからである。従って、真空壁および真空ポンプを用いて、真空環境をビーム経路全体に提供することができる。
[0077] 本明細書に示されているとおり、リソグラフィ装置は、反射型のもの(例えば、反射型マスクを採用しているもの)である。
[0078] リソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブル(および/または2つ以上のマスクテーブル)を有する型のものであってもよい。そのような「マルチステージ」マシンにおいては、追加のテーブルは並行して使うことができ、または予備工程を1つ以上のテーブル上で実行しつつ、別の1つ以上のテーブルを露光用に使うこともできる。
[0079] 図1を参照すると、イルミネータILは、ソースコレクタモジュールSOから極端紫外線(EUV)放射ビームを受ける。EUV放射を生成する方法としては、EUV範囲の1つ以上の発光線を用いて材料を少なくとも1つの元素、例えばリチウムまたはスズを有するプラズマ状態に変換することが含まれるが、必ずしもこれに限定されない。レーザ生成プラズマ(「LPP」)と呼ばれることが多いそのような方法において、必要な線発光素子を有する材料の小滴などの燃料をレーザビームで照射することによって、必要なプラズマを生成することができる。ソースコレクタモジュールSOは、燃料を励起するレーザビームを供給するための図1に示されないレーザを含むEUV放射源の一部であってよい。結果として得られるプラズマは、出力放射、例えばEUV放射を放出し、この出力放射は、ソースコレクタモジュール内に配置される放射コレクタを使用して集光される。
[0080] 例えば、COレーザを用いて燃料励起のためのレーザビームを供給する場合、レーザおよびソースコレクタモジュールは、別個の構成要素であってもよい。そのような場合には、放射ビームは、レーザからソースコレクタモジュールへ、例えば、適切な誘導ミラーおよび/またはビームエキスパンダを含むビームデリバリシステムを使って送られる。レーザおよび燃料供給(例えば、燃料小滴ジェネレータ)は、EUV放射源を含むとみなすことができる。
[0081] イルミネータILは、放射ビームの角強度分布を調節するアジャスタを含むことができる。一般に、イルミネータの瞳面内の強度分布の少なくとも外側および/または内側半径範囲(通常、それぞれσ-outerおよびσ-innerと呼ばれる)を調節することができる。さらに、イルミネータILは、ファセット視野ミラーデバイスおよびファセット瞳ミラーデバイスといったさまざまな他のコンポーネントを含むことができる。イルミネータを使って放射ビームを調整すれば、放射ビームの断面に所望の均一性および強度分布をもたせることができる。
[0082] 放射ビームBは、サポート構造(例えば、マスクテーブル)MT上に保持されているパターニングデバイス(例えば、マスク)MA上に入射して、パターニングデバイスによってパターン形成される。パターニングデバイス(例えば、マスク)MAから反射された後、放射ビームBは投影システムPSを通過し、投影システムPSは、基板Wのターゲット部分C上にビームの焦点をあわせる。第2ポジショナPWおよび位置センサシステムPS2を使って(例えば、干渉計デバイス、リニアエンコーダ、または静電容量センサを用いて)、例えば、さまざまなターゲット部分Cを放射ビームBの経路内に位置決めするように、基板テーブルWTを正確に動かすことができる。同様に、第1ポジショナPMおよび別の位置センサシステムPS1を使い、パターニングデバイス(例えば、マスク)MAを放射ビームBの経路に対して正確に位置決めすることもできる。パターニングデバイス(例えば、マスク)MAおよび基板Wは、マスクアライメントマークM1およびM2と、基板アライメントマークP1およびP2とを使って、位置合わせされてもよい。
[0083] 例示の装置は、以下に説明するモードのうち少なくとも1つのモードで使用できる。
[0084] 1.ステップモードにおいては、サポート構造(例えば、マスクテーブル)MTおよび基板テーブルWTを基本的に静止状態に保ちつつ、放射ビームに付けられたパターン全体を一度にターゲット部分C上に投影する(すなわち、単一静的露光)。その後、基板テーブルWTは、Xおよび/またはY方向に移動され、それによって別のターゲット部分Cを露光することができる。
[0085] 2.スキャンモードにおいては、サポート構造(例えば、マスクテーブル)MTおよび基板テーブルWTを同期的にスキャンする一方で、放射ビームに付けられたパターンをターゲット部分C上に投影する(すなわち、単一動的露光)。サポート構造(例えば、マスクテーブル)MTに対する基板テーブルWTの速度および方向は、投影システムPSの(縮小)拡大率および像反転特性によって決めることができる。
[0086] 3.別のモードにおいては、プログラマブルパターニングデバイスを保持した状態で、サポート構造(例えば、マスクテーブル)MTを基本的に静止状態に保ち、また基板テーブルWTを動かす、またはスキャンする一方で、放射ビームに付けられているパターンをターゲット部分C上に投影する。このモードにおいては、通常、パルス放射源が採用されており、さらにプログラマブルパターニングデバイスは、基板テーブルWTの移動後ごとに、またはスキャン中の連続する放射パルスと放射パルスとの間に、必要に応じて更新される。この動作モードは、前述の型のプログラマブルミラーアレイといったプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[0087] 上述の使用モードの組合せおよび/またはバリエーション、あるいは完全に異なる使用モードもまた採用可能である。
[0088] 図2は、ソースコレクタモジュールSOと、照明システムILと、投影システムPSとを備えるリソグラフィ装置100をより詳細に示している。ソースコレクタモジュールSOは、真空環境をソースコレクタモジュールSOの囲い構造220内に維持することができるように構築および配置される。
[0089] レーザLAは、燃料供給源または燃料小滴ジェネレータ200から供給される、スズ(Sn)またはリチウム(Ln)の燃料小滴などの燃料内にレーザビーム205を介してレーザエネルギーを堆積させるように配置され、それによって電子温度が数10eVの高電離プラズマ210が生成される。イオンの脱励起および再結合中に生成されたエネルギー放射は、プラズマから放出され、近法線入射コレクタ光学系COによって集光され、集束される。
[0090] 第2レーザ(図示せず)を設けることができ、この第2レーザは、レーザビーム205が燃料に入射する前に、燃料を予熱するように構成される。この手法を用いるLPP源は、デュアルレーザパルシング(DLP)源と呼ばれることがある。
[0091] コレクタ光学系COによって反射された放射は、仮想放射源点IFに集束される。仮想放射源点IFは、一般に中間焦点と呼ばれ、ソースコレクタモジュールSOは、中間焦点IFが囲い構造220の開口221に、または開口221の付近に位置するように配置される。仮想放射源点IFは放射放出プラズマ210の像である。
[0092] その後、放射は照明システムILを横切る。照明システムILは、パターニングデバイスMAにおける放射ビーム21の所望の角度分布およびパターニングデバイスMAにおける放射強度の所望の均一性を与えるように配置されたファセット視野ミラーデバイス22およびファセット瞳ミラーデバイス24を含み得る。パターニングデバイスMAで放射ビーム21が反射されると、パターン付きビーム26が形成され、パターン付きビーム26は、投影システムPSによって、反射エレメント28および30を介して、基板テーブルWTによって保持された基板W上に結像される。
[0093] 一般に、図示されたエレメントより数の多いエレメントが照明システムILおよび投影システムPSに存在してよい。さらに、図示されたミラーより数の多いミラーが存在してよい。例えば、図2に示すものと比較して、投影システムPS内に追加の1つ〜6つの反射エレメントが存在してよい。
[0094] 図3A〜図3Cは、本発明において用いられるフィルタアセンブリの第1実施形態を概略的に示している。図3Aは上面斜視図であって、上に剛性サポートプレート300の第2面が、下にフィルタ膜304を支持する第1面が位置している。図3Bは、剛性サポートプレート300の第2面の第2開口303を通して上方から見たチャネル301の拡大図である。図3Cは、剛性サポートプレート300とチャネル301との一部を通る断面図である。
[0095] この第1実施形態において、第1面の開口302から第2面の開口303まで剛性サポートプレート300を貫通する単一のチャネル301は1つしか存在しない。穴305がフィルタ膜304に存在し、そのような複数の間隔をおいて配置された穴は、剛性サポートプレート300の第1面の開口302にわたって延在する膜304の一部に存在する。
[0096] この第1実施形態について、剛性サポートプレートは、380μmの厚さを有する単結晶シリコンウェーハであり、剛性サポートプレート300のディスクの直径は3mmである。剛性サポートプレートの第1面の第1開口の寸法は、90μm×90μmであり、第1開口302にわたって延在する、フィルタ膜304の穴305は、0.8μmの直径を有し、5μmのピッチで互いに間隔をおいて配置されている。フィルタ膜層304の厚さは、1.5μmである。ここでの寸法は例に過ぎず、とりわけ重要ではないので、特定の用途に応じて調整されてよい。
[0097] 膜層304は、チャネル301または穴305のエッチングに先立って、単結晶シリコンウェーハの第1面上に低圧化学蒸着法によって成長させたシリコン窒化層から形成される。チャネル301は、シリコン窒化層304が耐性を有するエッチング剤を用いてシリコンの異方性ウェットエッチングにより剛性サポートプレートに形成される。これによって、フィルタ膜304が第1開口302にわたって、実質的にエッチングされずに依然として延在しながら、チャネル301が形成されることが可能になる。その後、穴305は、フィルタ膜304にエッチング形成される。
[0098] 図示の実施形態において、開口の形状は正方形であるが、単結晶シリコンウェーハの他の配向を用いて、チャネル301に対する異なるトポグラフィを提供してよい。例えば、<100>シリコン面を用いて正方形の開口を提供することができ、<110>面を用いてスリットなどの長方形の開口を提供することができる。スリットの形をとる開口の利点は、剛性サポートプレートが過剰に強度を失うことなく、スリットを互いに間隔を空けてより近接して配置することができることである。
[0099] 第2面から行われる、第2開口303における孔を有するマスクを用いた異方性ウェットエッチングは、図示の実施形態で用いられる<100>シリコン面の、逆向きの切頂錐体形状のチャネル301につながる。
[00100] この実施形態では1つのチャネル301しか示されていないが、サポートプレート300の構造的剛性が過度に弱まらないように複数のチャネルが互いに十分に離れて間隔を空けて配置され得るならば、複数のチャネルを単一のフィルタアセンブリに形成することができる。図示の構造に関して、複数のチャネルは、例えば2mmのピッチを有し得る。
[00101] 図4A〜図4Cは、本発明とともに用いられるフィルタアセンブリの第2実施形態を概略的に示している。図4Aは上面斜視図であって、上に剛性サポートプレート300の第2面が、下にフィルタ膜304を支持する第1面が位置している。図4Bは、剛性サポートプレート300の第2面の第2開口303を通して上方から見たチャネル301の拡大図である。図4Cは、剛性サポートプレート300とチャネル301との一部を通る断面図である。
[00102] この第2実施形態において、複数のチャネル301は、第1面の開口302から第2面の開口303まで剛性サポートプレート300を貫通する。穴305は、第1開口302と位置合わせされたフィルタ膜304に存在し、そのような間隔をおいて配置された複数の穴は、剛性サポートプレート300の第1面の各開口302にわたって延在する膜304に存在する。
[00103] この第2実施形態について、剛性サポートプレートは、再び、380μmの厚さを有する単結晶シリコンウェーハであり、剛性サポートプレートのディスクの直径は3mmである。剛性サポートプレートの第1面の円状の第1開口の寸法は、直径40μmで、80μmのピッチで互いに間隔をおいて配置され、各第1開口302にわたって延在するフィルタ膜304の穴305は、1.5μmの直径を有し、5μmのピッチで互いに間隔をおいて配置される。膜の厚さは、再び1μmである。第1実施形態と同様に、寸法は特に重要でなく、特定の用途に応じて変更して、例えば、フィルタアセンブリのサイズを燃料供給ラインまたはノズルアセンブリの入口開口の断面積に整合させてよい。
[00104] 膜層304は、チャネル301または穴305のエッチングの前にシリコンウェーハの第1面上に成長させたシリコン窒化層である。チャネル301は、本明細書において前述したとおり、DRIEエッチング技術によって剛性サポートプレート300に形成される。シリコン窒化層304は、DRIEエッチングに対して耐性がある。これによって、フィルタ膜304が第1開口302にわたって、実質的にエッチングされずに依然として延在しながら、チャネル301が形成されることが可能になる。その後、穴305がフィルタ膜304にエッチング形成される。第2面から行われる、各第2開口303における孔を有するマスクを用いたDRIEエッチングは、剛性サポートプレート300の第2面および第1面に対して実質的に垂直な側壁を有するチャネル301が形成されることにつながる。
[00105] 第1実施形態と比較すると、ウェット異方性エッチングの代わりに用いられるDRIEエッチングプロセスは、剛性サポートプレートが過剰に強度を失うことなく、チャネル301を互いにより近接して詰めることを可能にする。これによって、溶融金属燃料の濾過を行うための多数の穴305を有するとともに、高い差圧に耐えることが可能なフィルタアセンブリの潜在的な利点が提供される。
[00106] フィルタ膜は、剛性サポートプレートの第1面に接合可能な適切な材料から形成することができるが、エピタキシャル成長、化学気相蒸着、酸化などの成長によって剛性サポートプレートの第1面上に設けることが可能な材料から形成されることが適切である。これによって、接合に関するあらゆる要件が解消される。というのは、フィルタ膜を適当な位置に成長させることができ、従って剛性サポートプレート300の第1面に本来的に接合されるからである。例えば、剛性サポートプレート300がシリコンで形成される場合、膜は、適切には、シリカ、アルミナ、窒化シリコンなどの層から形成することができる。好ましくは、剛性サポートプレートはシリコンから形成され、膜は窒化シリコンから形成される。というのは、フィルタアセンブリを提供するために、これらの材料を都合よく独立してエッチングすることができるからである。
[0100] フィルタ膜304の比較的小さい直径の穴は、膜304が穴の直径より小さい厚さを有することが好ましいことを意味するので、穴を形成するために簡単なエッチング技術を用いればよい。ただし、これは、比較的脆いフィルタ膜につながる場合がある。本発明のフィルタアセンブリの構成によって、直径約2μm以下の穴を有する比較的薄い膜がチャネルの第1開口上でサポートプレートによって支持されることが可能になり、それによって、使用中フィルタ膜にわたる差圧にさらされるフィルタ膜の各部分の面積は、各部分にわたって発生する力を膜の破壊なしに維持することができる程度に十分に小さい。
[0101] MEMS製造技術の使用により、従来のフィルタに伴う粒子状汚染物質のリスク(金属粒子が元来存在する場合)が回避または軽減され得るようにクリーンルーム条件のもとでそのような構造を設計することが可能になる。
[0102] 剛性サポートプレート300は、使用中のフィルタアセンブリにわたる圧力降下という理由で、使用中、溶融金属燃料の濾過の間に発生する力に耐えるように設計されなければならず、従って、チャネルに対して選択された相互間隔はこれを可能にしなければならない。平行に隔壁されたチャネルを生成するためにDRIEなどの等方性エッチングを用いることによって、ウェットエッチングと比較して近いチャネル間隔を達成することが可能になり、特定のサイズのフィルタアセンブリについてより大きい割合の濾過領域が可能になる。
[0103] フィルタアセンブリ全体は、窒化シリコンの薄層などの溶融金属燃料に不溶性の材料の層で被覆することができる。この層は、厚さ1μm未満、例えば、厚さ0.5μm未満とすることができる。この層は、溶融スズなどの溶融金属燃料による溶解に対して耐性があり、フィルタアセンブリがエッチングされてチャネルおよび穴を設けた後に、例えばプラズマ堆積または化学気相蒸着によって都合よく堆積することができる。この構成は、クリーンルーム条件のもとでの準備にも適している。
[0104] 図5は、本発明とともに用いられることに適した燃料小滴ジェネレータ200の第1実施形態の一部の概略断面図である。燃料小滴ジェネレータのこの第1実施形態は、燃料供給ライン500と、燃料ラインの端部に固定されたコネクタ502とを備える。コネクタ502は、ノズルアセンブリにつながるとともに、燃料は図示の方向Aに流れる。ノズルアセンブリの残りの部分は図示されていない。供給ラインの遠位端部にねじ山がつけられ、環状のねじ込みキャップ504がコレクタを所定の位置に保持し、供給ラインの遠位端部上にねじ込まれ、かつコネクタの肩503を把持する。
[0105] コネクタ502の遠位端部において、剛性サポートプレート300およびフィルタ膜304からなるフィルタアセンブリは、燃料供給ライン500からコネクタ502を介して流れる溶融燃料501が最初にフィルタ膜304を通過して、チャネル301を通過するように位置合わせされたチャネル301とともに保持される。溶融金属燃料による溶解に耐えるように設計されたポリイミドシールなどのシール505は、フィルタアセンブリ300全体をコネクタ502の遠位端部内に封止する。
[0106] 従って、この実施形態について、フィルタアセンブリはコネクタ内に保有される。本発明の範囲内の他の変更は、前述の記載から明らかであろう。例えば、特定の適切な構成は、コネクタの端部に接合されたフィルタアセンブリ300、304を有し、コネクタおよびフィルタアセンブリは単一の交換ノズルアセンブリの一部を形成する。そのような構成では、ノズルが閉塞または摩耗した場合、またはフィルタが閉塞した場合、フィルタを含むノズルアセンブリ全体を単一の組立体として交換することができ、固体粒子不純物による汚染のリスクがあるシールの操作の必要性が回避される。
[0107] 接合フィルタアセンブリを含むそのような交換ノズルアセンブリは、クリーンルーム条件のもとで作成され、その後、気密封止パッケージにおいて用いるために搬送されて、ノズルアセンブリを交換する際に、再び粒子状汚染物質のリスクを最小にすることができる。
[0108] 通常のノズルについて、ノズルは、例えば、10ミクロン、5ミクロン、3ミクロン、またはあらゆる適切な値の出口オリフィスの直径を有し得る。従って、穴305の直径は、ノズルの直径より小さくなるように、例えば、ノズルの出口オリフィスの最小直径の50%〜90%の直径を有することによって選択することができ、そのため、ノズル閉塞を招き得るサイズの固体粒子不純物は、フィルタアセンブリによって捕捉される。
[0109] 本装置の第二の態様は、放射ビームを生成するように配置される、本発明の第一の態様の放射源を備えるリソグラフィ装置であって、放射ビームを調整するように構成された照明システムと、放射ビームの断面にパターンを与えてパターン形成された放射ビームを形成可能であるパターニングデバイスを支持するように構築されたサポートと、基板を保持するように構築された基板テーブルと、パターン形成された放射ビームを基板のターゲット部分上に投影するように構成された投影システムと、をさらに備える、リソグラフィ装置を提供する。
[0110] 本明細書において、IC製造におけるリソグラフィ装置の使用について具体的な言及がなされているが、本明細書記載のリソグラフィ装置が、集積光学システム、磁気ドメインメモリ用のガイダンスパターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド、LED、フォトニックデバイス等の製造といった他の用途を有し得ることが理解されるべきである。当業者にとっては当然のことであるが、そのような別の用途においては、本明細書で使用される「ウェーハ」または「ダイ」という用語はすべて、それぞれより一般的な「基板」または「ターゲット部分」という用語と同義であるとみなしてよい。本明細書に記載した基板は、露光の前後を問わず、例えば、トラック(通常、基板にレジスト層を塗布し、かつ露光されたレジストを現像するツール)、メトロロジーツール、および/またはインスペクションツールで処理されてもよい。適用可能な場合には、本明細書中の開示内容を上記のような基板プロセシングツールおよびその他の基板プロセシングツールに適用してもよい。さらに基板は、例えば、多層ICを作るために複数回処理されてもよいので、本明細書で使用される基板という用語は、すでに多重処理層を包含している基板を表すものとしてもよい。
[0111] 光リソグラフィの関連での本発明の実施形態の使用について上述のとおり具体的な言及がなされたが、当然のことながら、本発明は、他の用途、例えば、インプリントリソグラフィに使われてもよく、さらに状況が許すのであれば、光リソグラフィに限定されることはない。インプリントリソグラフィにおいては、パターニングデバイス内のトポグラフィによって、基板上に創出されるパターンが定義される。パターニングデバイスのトポグラフィは、基板に供給されたレジスト層の中にプレス加工され、基板上では、電磁放射、熱、圧力、またはそれらの組合せによってレジストは硬化される。パターニングデバイスは、レジストが硬化した後、レジスト内にパターンを残してレジストの外へ移動される。
[0112] 「レンズ」という用語は、文脈によっては、屈折、反射、磁気、電磁気、および静電型光学コンポーネントを含む様々な種類の光学コンポーネントのいずれか1つまたはこれらの組合せを指すことができる。
[0113] 「EUV放射」という用語は、5〜20nmの範囲内、例えば、13〜14nmの範囲内、または例えば、6.7nmや6.8nmなどの5〜10nmの範囲内の波長を有する電磁放射を包含していると考えてもとよい。
[0114] 以上、本発明の具体的な実施形態を説明してきたが、本発明は、上述以外の態様で実施できることが明らかである。上記の説明は、制限ではなく例示を意図したものである。従って、当業者には明らかなように、添付の特許請求の範囲を逸脱することなく本記載の発明に変更を加えてもよい。
[0115] 本明細書で「好ましい(preferable)」、「好ましくは(preferably)」、「好ましい(preferred)」「より好ましい(more preferred)」などの語を使用することは、そのように記述されたある特徴が望ましいことがあることを示唆するとはいえ、その特徴が必要ではないこともあり、このような特徴を欠いている実施形態が、添付の特許請求の範囲に定義された本発明の範囲内にあるとして企図され得ることを理解されたい。特許請求の範囲に関連して、「1つの(a, an)」、「少なくとも1つの(at least one)」、または「少なくとも1つの部分(at least one portion)」などの語が、ある特徴の前置きに使用された場合、このような1つの特徴だけに特許請求の範囲を限定する意図は、特許請求の範囲に逆のことが特に提示されない限り、ないものとする。「少なくとも一部分(at least a portion)」および/または「一部分(a portion)」という言葉が使用された場合、その項目は、逆のことが特に提示されない限り、一部分および/または項目全体を含み得る。

Claims (20)

  1. 燃料の小滴の流れを提供する燃料小滴ジェネレータと、前記燃料の小滴の少なくともいくつかを気化させる少なくとも1つのレーザとを有し、それによって放射が生成される放射源であって、前記燃料小滴ジェネレータは、
    ノズルと、
    燃料供給ラインと、
    リザーバと、
    前記リザーバから前記燃料供給ラインを通って前記ノズルから小滴の流れとして出る溶融金属燃料の流れを供給するポンピングデバイスと、
    前記燃料供給ライン内の、使用中に前記溶融金属燃料を濾過する交換可能なフィルタアセンブリであって、該交換可能なフィルタアセンブリは、向かい合った第1面および第2面を、1つ以上のチャネルとともに有する剛性サポートプレートを有し、該各チャネルは、該第1面の各第1開口から該第2面の各第2開口を通って延在し、該剛性サポートプレートは、該第1面上の、該第1面の各第1開口にわたって延在するフィルタ膜を支持する、交換可能なフィルタアセンブリと、を備え、
    前記フィルタ膜は、互いに間隔を空けた穴を、複数の該穴と位置合わせされて該複数の穴と前記各チャネルとの間に流体接続を提供する各第1開口とともに備える、放射源。
  2. 前記燃料小滴ジェネレータは、
    前記ノズルを備える交換可能なノズルアセンブリと、
    前記ノズルアセンブリを前記燃料供給ラインに接続するためのコネクタであって、それによって前記ノズルが前記燃料供給ラインと流体接続するコネクタと、を備え、
    前記フィルタアセンブリは、使用中、前記コネクタ内に保有される、請求項1に記載の放射源。
  3. 前記コネクタは、使用中、前記燃料供給ラインと前記ノズルアセンブリの入口オリフィスとの間に前記フィルタアセンブリを解放可能に保持するように適合される、請求項1または2に記載の放射源。
  4. 前記交換可能なノズルは、使用中、前記燃料供給ラインと前記ノズルアセンブリの入口オリフィスとの間に前記フィルタアセンブリを解放可能に保持するように適合される、請求項1または2に記載の放射源。
  5. 前記フィルタアセンブリは、前記ノズルアセンブリの前記入口オリフィスに接合され、それによって前記交換可能なノズルおよび前記フィルタアセンブリは、単一のコンポーネントを形成する、請求項1または2に記載の放射源。
  6. 前記剛性サポートプレートは、シリコンウェーハである、請求項1乃至5のいずれかに記載の放射源。
  7. 前記フィルタ膜は、シリコン窒化膜である、請求項1乃至6のいずれかに記載の放射源。
  8. 前記第1開口は、100μmの最大幅を有し、前記穴は3μmの最大幅を有する、請求項1乃至7のいずれかに記載の放射源。
  9. 前記フィルタアセンブリは、前記溶融金属燃料に不溶性の材料の層で被覆される、請求項1乃至8のいずれかに記載の放射源。
  10. リソグラフィ装置であって、
    請求項1乃至9のいずれかに記載の放射源と、
    放射ビームを調整する照明システムと、
    前記放射ビームの断面にパターンを与えてパターン形成された放射ビームを形成可能であるパターニングデバイスを支持するサポートと、
    基板を保持する基板テーブルと、
    前記パターン形成された放射ビームを前記基板のターゲット部分上に投影する投影システムと、を備える、
    リソグラフィ装置。
  11. デバイスリソグラフィ用の放射源において用いられる燃料の小滴の流れを提供するための、ノズルと、燃料供給ラインと、リザーバと、前記リザーバから前記燃料供給ラインを通って前記ノズルから小滴の流れとして出る溶融金属燃料の流れを供給するポンピングデバイスと、を備える燃料小滴ジェネレータであって、
    前記燃料小滴ジェネレータは、前記燃料供給ライン内に、使用中に前記溶融金属燃料を濾過するフィルタアセンブリを有し、
    前記フィルタアセンブリは、向かい合った第1面および第2面を、1つ以上のチャネルとともに有する剛性サポートプレートを備え、該各チャネルは、該第1面の各第1開口から該第2面の各第2開口を通って延在し、
    前記剛性サポートプレートは、前記第1面に接合され、かつ該第1面の各第1開口にわたって延在するフィルタ膜を支持し、
    前記フィルタ膜は、互いに間隔を空けた穴を、複数の該穴と位置合わせされて該複数の穴と前記各チャネルとの間に流体接続を提供する各第1開口とともに備える、燃料小滴ジェネレータ。
  12. デバイスリソグラフィのための、レーザ励起により溶融金属燃料の小滴の流れを提供して放射源を提供するための燃料小滴ジェネレータ用の溶融金属燃料の供給を濾過する方法であって、前記燃料小滴ジェネレータは、ノズルと、燃料供給ラインと、リザーバと、前記リザーバから前記燃料供給ラインを通って前記ノズルから小滴の流れとして出る溶融金属燃料の流れを供給するポンピングデバイスと、を備え、該方法は、
    前記燃料供給ライン内に、使用中に前記溶融金属燃料を濾過する交換可能なフィルタアセンブリを挿入かつ保有することであって、該交換可能なフィルタアセンブリは、向かい合った第1面および第2面を、1つ以上のチャネルとともに有する剛性サポートプレートを備え、該各チャネルは、該第1面の各第1開口から該第2面の各第2開口を通って延在することと、
    前記第1面上の、前記第1面の各第1開口にわたって延在するフィルタ膜を支持することと、を含み、
    前記フィルタ膜は、互いに間隔を空けた穴を、複数の該穴と位置合わせされて該複数の穴と前記各チャネルとの間に流体接続を提供する各第1開口とともに備える、方法。
  13. 前記交換可能なフィルタアセンブリの前記挿入は、クリーンルーム条件のもとで行われる、請求項12に記載の方法。
  14. 前記燃料小滴ジェネレータは、前記ノズルを備える交換可能なノズルアセンブリと、前記ノズルアセンブリを前記燃料供給ラインに接続するするためのコネクタであって、それによって前記ノズルが前記燃料供給ラインと流体接続するコネクタと、を備え、前記フィルタアセンブリは、使用中、前記コネクタ内に保有される、請求項12または13に記載の方法。
  15. 前記コネクタは、使用中、前記燃料供給ラインと前記ノズルアセンブリの入口オリフィスとの間に前記フィルタアセンブリを解放可能に保有するように適合される、請求項12乃至14のいずれかに記載の方法。
  16. 前記交換可能なノズルは、使用中、前記燃料供給ラインと前記ノズルアセンブリの入口オリフィスとの間に前記フィルタアセンブリを保有するように適合される、請求項12乃至14のいずれかに記載の方法。
  17. 前記フィルタアセンブリは、前記ノズルアセンブリの前記入口オリフィスに接合され、それによって前記交換可能なノズルおよび前記フィルタアセンブリは、単一のコンポーネントを形成する、請求項12乃至14のいずれかに記載の方法。
  18. 前記フィルタアセンブリは、クリーンルーム条件のもとで前記ノズルの前記入口オリフィスに接合され、挿入前に気密封止パッケージに収納される、請求項17に記載の方法。
  19. 前記剛性サポートプレートは、クリーンルーム条件のもとで、ウェーハをエッチングすることによって形成される、請求項12乃至18のいずれかに記載の方法。
  20. 前記フィルタ膜は、クリーンルーム条件のもとで、前記第1面上への膜層の成長と、該膜層のエッチングとによって形成される、請求項19に記載の方法。
JP2014527557A 2011-09-02 2012-07-27 放射源、リソグラフィ装置のための方法、およびデバイス製造方法 Ceased JP2014531705A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161530802P 2011-09-02 2011-09-02
US61/530,802 2011-09-02
PCT/EP2012/064779 WO2013029896A1 (en) 2011-09-02 2012-07-27 Radiation source and method for lithographic apparatus for device manufacture

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017002005A Division JP6244485B2 (ja) 2011-09-02 2017-01-10 放射源、リソグラフィ装置のための方法、およびデバイス製造方法

Publications (1)

Publication Number Publication Date
JP2014531705A true JP2014531705A (ja) 2014-11-27

Family

ID=46601806

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014527557A Ceased JP2014531705A (ja) 2011-09-02 2012-07-27 放射源、リソグラフィ装置のための方法、およびデバイス製造方法
JP2017002005A Active JP6244485B2 (ja) 2011-09-02 2017-01-10 放射源、リソグラフィ装置のための方法、およびデバイス製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017002005A Active JP6244485B2 (ja) 2011-09-02 2017-01-10 放射源、リソグラフィ装置のための方法、およびデバイス製造方法

Country Status (7)

Country Link
US (1) US8890099B2 (ja)
JP (2) JP2014531705A (ja)
KR (1) KR101938707B1 (ja)
CN (1) CN103765997B (ja)
NL (1) NL2009240A (ja)
TW (1) TWI583259B (ja)
WO (1) WO2013029896A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180027545A (ko) * 2015-07-08 2018-03-14 엔테그리스, 아이엔씨. 고압 필터
JP2020524292A (ja) * 2017-06-20 2020-08-13 エーエスエムエル ネザーランズ ビー.ブイ. 極端紫外線光源のための供給システム

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
WO2014120985A1 (en) 2013-01-30 2014-08-07 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
CN103281855B (zh) * 2013-05-16 2015-10-14 中国科学院光电研究院 一种用于激光光源的液态金属靶产生装置
JP6421196B2 (ja) * 2014-11-05 2018-11-07 ギガフォトン株式会社 ターゲット生成装置およびフィルタ構造体の製造方法
CN108496115B (zh) * 2015-12-17 2020-11-13 Asml荷兰有限公司 用于光刻设备的液滴发生器、euv源和光刻设备
EP3244705B1 (en) 2016-05-11 2019-07-03 ETH Zürich Method and light source for providing uv or x-ray light
NL2024324A (en) * 2018-12-31 2020-07-10 Asml Netherlands Bv Apparatus for controlling introduction of euv target material into an euv chamber
KR20220075021A (ko) 2020-11-26 2022-06-07 삼성전자주식회사 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753014A (en) * 1993-11-12 1998-05-19 Van Rijn; Cornelis Johannes Maria Membrane filter and a method of manufacturing the same as well as a membrane
US6395216B1 (en) * 1994-06-23 2002-05-28 Kimberly-Clark Worldwide, Inc. Method and apparatus for ultrasonically assisted melt extrusion of fibers
JP2008532293A (ja) * 2005-02-25 2008-08-14 サイマー インコーポレイテッド プレパルスによるレーザ生成プラズマeuv光源
JP2009513317A (ja) * 2003-07-11 2009-04-02 エンエフテー・ナノフィルターテヒニク・ゲゼルスシャフト・ミット・ペシュレンクテル・ハフツング フィルタエレメント及び当該フィルタエレメントを製造するための方法
JP2010199560A (ja) * 2009-01-29 2010-09-09 Komatsu Ltd 極端紫外光源装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3340417A1 (de) 1983-11-09 1985-05-15 Gebrüder Lüngen GmbH & Co KG, 4006 Erkrath Filterelement zum filtrieren von metallschmelzen
DE4012093C1 (ja) * 1990-04-14 1991-07-04 Didier-Werke Ag, 6200 Wiesbaden, De
US5803579A (en) * 1996-06-13 1998-09-08 Gentex Corporation Illuminator assembly incorporating light emitting diodes
JP2001006896A (ja) * 1999-06-17 2001-01-12 Toyota Macs Inc デブリシールド装置及びシールド部の製造方法
US7378673B2 (en) * 2005-02-25 2008-05-27 Cymer, Inc. Source material dispenser for EUV light source
US7405416B2 (en) * 2005-02-25 2008-07-29 Cymer, Inc. Method and apparatus for EUV plasma source target delivery
EP1854121B1 (en) 2005-02-25 2013-05-29 Cymer, Inc. Method and apparatus for euv light source target material handling
TWI345931B (en) * 2006-02-21 2011-07-21 Cymer Inc Laser produced plasma euv light source with pre-pulse
GB0613001D0 (en) 2006-06-30 2006-08-09 Juma Kassim A A filter
US7655925B2 (en) * 2007-08-31 2010-02-02 Cymer, Inc. Gas management system for a laser-produced-plasma EUV light source
WO2009061192A1 (en) * 2007-11-08 2009-05-14 Asml Netherlands B.V. Radiation system and method, and a spectral purity filter
NL1036272A1 (nl) * 2007-12-19 2009-06-22 Asml Netherlands Bv Radiation source, lithographic apparatus and device manufacturing method.
KR101572930B1 (ko) * 2008-05-30 2015-11-30 에이에스엠엘 네델란즈 비.브이. 방사 시스템, 방사선 콜렉터, 방사 빔 컨디셔닝 시스템, 방사 시스템용 스펙트럼 퓨리티 필터, 및 스펙트럼 퓨리티 필터 형성 방법
EP2182412A1 (en) * 2008-11-04 2010-05-05 ASML Netherlands B.V. Radiation source and lithographic apparatus
US7781835B2 (en) * 2009-01-12 2010-08-24 Fairchild Semiconductor Corporation Lateral drain MOSFET with improved clamping voltage control
EP2743963B8 (en) * 2009-04-03 2015-10-28 Excillum AB Supply of a liquid-metal target in x-ray generation
US8138487B2 (en) 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
WO2011098169A1 (en) 2010-02-09 2011-08-18 Asml Netherlands B.V. Radiation source, lithographic apparatus and device manufacturing method
NL2009020A (en) * 2011-07-22 2013-01-24 Asml Netherlands Bv Radiation source, method of controlling a radiation source, lithographic apparatus, and method for manufacturing a device.
JP6081711B2 (ja) * 2011-09-23 2017-02-15 エーエスエムエル ネザーランズ ビー.ブイ. 放射源
NL2009358A (en) * 2011-09-23 2013-03-26 Asml Netherlands Bv Radiation source.
NL2009372A (en) * 2011-09-28 2013-04-02 Asml Netherlands Bv Methods to control euv exposure dose and euv lithographic methods and apparatus using such methods.

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753014A (en) * 1993-11-12 1998-05-19 Van Rijn; Cornelis Johannes Maria Membrane filter and a method of manufacturing the same as well as a membrane
US6395216B1 (en) * 1994-06-23 2002-05-28 Kimberly-Clark Worldwide, Inc. Method and apparatus for ultrasonically assisted melt extrusion of fibers
JP2009513317A (ja) * 2003-07-11 2009-04-02 エンエフテー・ナノフィルターテヒニク・ゲゼルスシャフト・ミット・ペシュレンクテル・ハフツング フィルタエレメント及び当該フィルタエレメントを製造するための方法
JP2008532293A (ja) * 2005-02-25 2008-08-14 サイマー インコーポレイテッド プレパルスによるレーザ生成プラズマeuv光源
JP2010199560A (ja) * 2009-01-29 2010-09-09 Komatsu Ltd 極端紫外光源装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180027545A (ko) * 2015-07-08 2018-03-14 엔테그리스, 아이엔씨. 고압 필터
JP2018526198A (ja) * 2015-07-08 2018-09-13 インテグリス・インコーポレーテッド 高圧フィルター
KR102207243B1 (ko) * 2015-07-08 2021-01-22 엔테그리스, 아이엔씨. 고압 필터
US11433338B2 (en) 2015-07-08 2022-09-06 Entegris, Inc. High pressure filter
JP2020524292A (ja) * 2017-06-20 2020-08-13 エーエスエムエル ネザーランズ ビー.ブイ. 極端紫外線光源のための供給システム
JP7169302B2 (ja) 2017-06-20 2022-11-10 エーエスエムエル ネザーランズ ビー.ブイ. 極端紫外線光源のための供給システム

Also Published As

Publication number Publication date
CN103765997B (zh) 2016-08-10
US8890099B2 (en) 2014-11-18
CN103765997A (zh) 2014-04-30
KR101938707B1 (ko) 2019-01-15
WO2013029896A1 (en) 2013-03-07
JP6244485B2 (ja) 2017-12-06
US20140209817A1 (en) 2014-07-31
JP2017083883A (ja) 2017-05-18
KR20140068138A (ko) 2014-06-05
TWI583259B (zh) 2017-05-11
NL2009240A (en) 2013-03-05
TW201316839A (zh) 2013-04-16

Similar Documents

Publication Publication Date Title
JP6244485B2 (ja) 放射源、リソグラフィ装置のための方法、およびデバイス製造方法
JP6085616B2 (ja) 基板ホルダ、リソグラフィ装置、デバイス製造方法及び基板ホルダの製造方法
JP5642140B2 (ja) 基板ホルダ、リソグラフィ装置およびデバイス製造方法
JP6174605B2 (ja) 燃料流生成器、ソースコレクタ装置、及び、リソグラフィ装置
TW201732453A (zh) 用於微影設備之液滴產生器、極紫外線源及微影設備
JP6222796B2 (ja) 放射源
TW201337474A (zh) 輻射源與微影裝置
JP2023010732A (ja) 極端紫外線光源のための供給システム
JP6824985B2 (ja) Euvソースのためのノズル及び液滴発生器
KR101958850B1 (ko) 방사선 소스
JP6154459B2 (ja) リソグラフィ装置用の燃料システム、euv源、リソグラフィ装置及び燃料フィルタリング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160707

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161006

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161111

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161208

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20170324