KR20140068138A - 디바이스 제조용 리소그래피 장치에 대한 방법 및 방사선 소스 - Google Patents

디바이스 제조용 리소그래피 장치에 대한 방법 및 방사선 소스 Download PDF

Info

Publication number
KR20140068138A
KR20140068138A KR1020147008665A KR20147008665A KR20140068138A KR 20140068138 A KR20140068138 A KR 20140068138A KR 1020147008665 A KR1020147008665 A KR 1020147008665A KR 20147008665 A KR20147008665 A KR 20147008665A KR 20140068138 A KR20140068138 A KR 20140068138A
Authority
KR
South Korea
Prior art keywords
fuel
nozzle
supply line
filter
filter assembly
Prior art date
Application number
KR1020147008665A
Other languages
English (en)
Other versions
KR101938707B1 (ko
Inventor
로날드 훌터만스
안토니우스 켐펜
벤 반 에센
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20140068138A publication Critical patent/KR20140068138A/ko
Application granted granted Critical
Publication of KR101938707B1 publication Critical patent/KR101938707B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B22CASTING; POWDER METALLURGY
    • B22DCASTING OF METALS; CASTING OF OTHER SUBSTANCES BY THE SAME PROCESSES OR DEVICES
    • B22D43/00Mechanical cleaning, e.g. skimming of molten metals
    • B22D43/001Retaining slag during pouring molten metal
    • B22D43/004Retaining slag during pouring molten metal by using filtering means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/146Laser beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • X-Ray Techniques (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

LPP(Laser Produced Plasma) 또는 DLP(Dual Laser Plasma)에 의해 용융 금속 연료 액적들의 스트림으로부터 EUV를 발생시키는 방사선 소스는 연료의 액적들의 스트림을 제공하도록 배치된 연료 액적 발생기, 및 상기 연료의 액적들 중 적어도 일부를 증발시켜 방사선을 발생시키도록 구성된 적어도 하나의 레이저를 갖는다. 연료 액적 발생기는 노즐, 연료 공급 라인, 및 저장부를 갖고, 저장부로부터 연료 공급 라인을 통해 노즐 밖으로 액적들의 스트림으로서 용융 금속 연료의 흐름을 공급하도록 펌핑 디바이스가 배치된다. 연료 액적 발생기는 연료 내의 고형 미립자 불순물들에 의한 노즐 차단을 방지하기 위해, 연료 공급 라인 내에 사용 시 용융 금속 연료를 필터링하도록 배치되는 교체가능한 필터 조립체를 갖는다.

Description

디바이스 제조용 리소그래피 장치에 대한 방법 및 방사선 소스{RADIATION SOURCE AND METHOD FOR LITHOGRAPHIC APPARATUS FOR DEVICE MANUFACTURE}
본 출원은 2012년 9월 2일에 출원된 미국 가출원 61/530,802의 이익을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 EUV 방사선 소스, 리소그래피 장치 및 디바이스들을 제조하는 방법들에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 부분, 한 개 또는 수 개의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는, 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다.
리소그래피는 IC, 및 다른 디바이스 및/또는 구조체의 제조 시 핵심 단계들 중 하나로서 폭넓게 인식된다. 하지만, 리소그래피를 이용하여 구성되는 피처들의 치수들이 더 작아짐에 따라, 리소그래피는 소형 IC 또는 다른 디바이스들 및/또는 구조체들이 제조될 수 있게 하는 데 더 결정적인 인자(critical factor)가 되고 있다.
패턴 프린팅의 한계들의 이론적 추산은 수학식 1에 나타낸 바와 같은 분해능에 대한 레일리 기준(Rayleigh criterion)에 의해 설명될 수 있다:
Figure pct00001
이때, λ는 사용되는 방사선의 파장이고, NA는 패턴을 프린트하는 데 사용되는 투영 시스템의 개구수(numerical aperture)이며, k1은 레일리 상수라고도 칭하는 공정 의존성 조정 인자(process dependent adjustment factor)이고, CD는 프린트된 피처의 피처 크기(또는 임계 치수)이다. 수학식 1에 따르면, 피처들의 프린트가능한 최소 크기의 감소는 세 가지 방식으로: 즉, 노광 파장 λ를 단축함으로써, 개구수 NA를 증가시킴으로써, 또는 k1의 값을 감소시킴으로써 얻어질 수 있다.
노광 파장을 단축하고, 이에 따라 프린트가능한 최소 크기를 감소시키기 위해, 극자외(EUV) 방사선 소스를 사용하는 것이 제안되었다. EUV 방사선은 5 내지 20 nm의 범위, 예를 들어 13 내지 14 nm의 범위, 예를 들어 6.7 nm 또는 6.8 nm와 같이 5 내지 10 nm의 범위 내의 파장을 갖는 전자기 방사선이다. 가능한 소스들로는, 예를 들어 레이저-생성 플라즈마 소스, 방전 플라즈마 소스, 또는 전자 저장 링에 의해 제공되는 싱크로트론 방사선(synchrotron radiation)에 기초한 소스들을 포함한다.
EUV 방사선은 플라즈마를 이용하여 생성될 수 있다. EUV 방사선을 생성하는 방사선 시스템은 플라즈마를 제공하도록 연료를 여기(excite)시키는 레이저, 및 플라즈마를 수용하는 소스 컬렉터 모듈을 포함할 수 있다. 플라즈마는, 예를 들어 Xe 가스 또는 Li 증기와 같은 적절한 가스 또는 증기의 스트림, 또는 적절한 재료(예컨대, 주석)의 입자들 또는 액적(droplet)들과 같은 연료에 레이저 빔을 지향함으로써 생성될 수 있다. 결과적인 플라즈마는 출력 방사선(output radiation), 예를 들어 EUV 방사선을 방출하고, 이는 방사선 컬렉터를 이용하여 수집된다.
방사선 컬렉터는 거울로 이루어진 수직 입사 방사선 컬렉터(mirrored normal incidence radiation collector)일 수 있으며, 이는 방사선을 수용하고 방사선을 빔으로 포커스한다. 소스 컬렉터 모듈은 플라즈마를 지지하기 위해 진공 환경을 제공하도록 배치된 포위 구조체(enclosing structure) 또는 챔버를 포함할 수 있다. 이러한 방사선 시스템은 전형적으로 레이저 생성 플라즈마(LPP) 소스라고 칭해진다.
또한, 방사선을 발생시키는 플라즈마가 생성되는 연료로서 용융 금속 연료 액적들이 사용되는 경우, 제 1 레이저 빔이 플라즈마 및 후속하여 방사선을 발생시키기 위하여 액적들 상에 입사하기 전에 연료 액적들을 예열하도록 제 2 레이저가 제공될 수 있다. 이 접근법을 사용하는 LPP 소스는 DLP(dual laser pulsing) 소스라고 칭해질 수 있다.
방사선 소스의 플라즈마 형성 위치에 용융 금속 연료의 액적들의 스트림을 제공하도록 연료 액적 발생기(fuel droplet generator)가 배치될 수 있다.
연료 액적 발생기들은 노즐을 포함할 수 있으며, 이를 통해 용융 금속 연료, 통상적으로 주석과 같은 용융 금속이 압력을 받아 액적들의 스트림으로서 노즐로부터 주입되게 된다. 연료는 통상적으로 연료 공급 라인으로부터 유입 오리피스(inlet orifice)에서 노즐에 들어가, 노즐의 유출 오리피스(outlet orifice)를 통해 빠져나갈 것이다.
노즐로부터 나오는 액체의 스트림의 자연적 분리(natural break-up)는 레일리 분리라고 알려져 있다. 노즐의 액적 생성률에 대응하는 레일리 주파수(Rayleigh frequency)는 노즐의 직경 및 노즐에서의 연료의 평균 속도와 관련된다:
Figure pct00002
연료의 스트림의 레일리 분리는 자극(excitation) 없이 일어날 수 있지만, 노즐에서의 용융 금속 연료의 압력을 진동시키거나 변조(modulate)함으로써 레일리 분리를 제어하기 위해 압전 액추에이터와 같은 진동기(vibrator)가 사용될 수 있다. 노즐 내부의 압력을 변조하는 것은 노즐로부터의 액체 연료의 출구 속도(exit velocity)를 변조할 수 있고, 노즐을 떠난 직후에 제어되는 방식으로 액체 연료의 스트림을 액적들로 분리시킬 수 있다.
연료 공급 라인으로부터 노즐의 유입 오리피스로 공급되는 용융 금속 연료의 공급기 내에 미립자 고형 불순물들이 존재하는 경우, 연료 액적들을 발생시키는 데 사용되는 노즐은 유출 오리피스에서의 차단에 취약하다. 특히, 통상적으로 작은 단면적의 노즐의 유출 오리피스는 이러한 미립자 고형 불순물들에 의해 차단될 수 있다. (용융 금속 연료보다 높은 녹는점의 금속 또는 세라믹의) 다공성 소결(금속 또는 세라믹) 필터들과 같은 필터들이 사용되어, 노즐의 유입 오리피스에 용융 금속 연료를 공급하는 연료 공급 라인에 이러한 필터들을 위치시킴으로써 미립자 고형 불순물들을 필터링할 수 있다. 하지만, 이러한 다공성 소결 금속 필터들은 필터들 자체로부터 미립자들이 박리(detachment)되기 쉽고, 필터들 자체로부터의, 가령 금속 또는 세라믹의 이러한 박리 입자들은 노즐의 유출 오리피스를 차단할 수 있다. 필터가 교체되는 경우, 이러한 소결 필터들로부터 금속 또는 세라믹 입자들이 박리될 수 있는 위험이 존재한다.
연료 액적 발생기의 사용에 있어서, 노즐 및/또는 필터를 교체할 필요가 있을 수 있다. 이 공정은 미립자 고형 불순물들이 소결 미립자 필터로부터 침식되어 연료 액적 발생기 내부에 축적(deposit)될 위험을 초래할 수 있으며, 이러한 불순물들은 후속하여 노즐의 차단을 초래할 수 있다.
본 발명의 한가지 목적은, 특히 앞서 설명된 문제점들 중 적어도 일부를 극복하거나 처리하는 리소그래피 방사선 소스들에서 사용되는 연료 액적들의 스트림들의 생성을 위해 사용되는 용융 금속 연료들을 필터링하는 장치 및 방법들을 제공하는 것이다. 특히, 연료 액적 발생기들에서 필터들 및/또는 노즐들의 교체를 용이하게 하고, 후속한 노즐 차단의 위험을 감소시키도록 사용될 수 있는 장치 및 방법들을 제공하는 것이 본 발명의 목적이다.
본 명세서에서, "포함하는" 또는 "포함한다"라는 용어는 명시된 구성요소(들)를 포함하되 다른 구성요소들의 존재도 배제하지 않음을 의미한다. "필수적으로 구성되는" 또는 "필수적으로 구성된다"라는 용어는 명시된 구성요소들을 포함하되, 본 발명의 기술적 효과를 달성하는 것 이외의 목적을 위해 추가된 구성요소들, 명시된 구성요소들을 제공하는 데 사용된 공정들의 결과로서 존재하는 불가피한 재료들, 및 불순물들로서 존재하는 재료들을 제외한 다른 구성요소들을 배제함을 의미한다.
적절한 경우, "포함한다" 또는 "포함하는"이라는 용어의 사용은 "필수적으로 구성된다" 또는 "필수적으로 구성되는"의 의미를 포함하는 것으로 취해질 수도 있으며, "구성된다" 또는 "구성되는"의 의미를 포함하는 것으로 취해질 수도 있다.
본 명세서에서 설명되는 바와 같은 선택적인 특징 및/또는 바람직한 특징은 적절하다면 개별적으로 사용되거나 서로 조합하여 사용되며, 특히 첨부된 청구항들에서 설명되는 바와 같은 조합들로 사용된다. 또한, 본 명세서에서 설명되는 본 발명의 각 실시형태에 대한 선택적인 특징 및/또는 바람직한 특징은, 적절하다면 본 발명의 여하한의 다른 실시형태들에도 적용가능하다.
본 발명의 제 1 실시형태는 연료의 액적들의 스트림을 제공하도록 배치된 연료 액적 발생기, 및 상기 연료의 액적들 중 적어도 일부를 증발시켜 방사선을 발생시키도록 구성된 적어도 하나의 레이저를 포함한 방사선 소스를 제공하고, 상기 연료 액적 발생기는 노즐, 연료 공급 라인, 저장부(reservoir), 및 저장부로부터 연료 공급 라인을 통해 노즐 밖으로 액적들의 스트림으로서 용융 금속 연료의 흐름을 공급하도록 배치된 펌핑 디바이스를 포함하며,
상기 연료 액적 발생기는 연료 공급 라인 내에, 사용 시 상기 용융 금속 연료를 필터링하도록 배치된 교체가능한 필터 조립체를 갖고,
상기 교체가능한 필터 조립체는 마주하는 제 1 및 제 2 면(face)들을 갖고 1 이상의 채널들이 각각 제 1 면 내의 각자의 제 1 어퍼처(aperture)로부터 제 2 면 내의 각자의 제 2 어퍼처를 통해 연장되어 있으며, 제 1 면 상에서 제 1 면의 각각의 제 1 어퍼처에 걸쳐 연장되는 필터 멤브레인(filter membrane)을 지지하는 강성 지지판(rigid support plate)을 포함하며,
상기 필터 멤브레인은 그 안에 서로 이격된 홀들을 포함하고, 각각의 제 1 어퍼처가 복수의 홀들과 정렬되어 복수의 홀들과 각 채널 사이에 유체 연결부를 제공한다.
상기 장치의 제 2 실시형태는 방사선 빔을 발생시키도록 배치된 본 발명의 제 1 실시형태의 방사선 소스를 포함한 리소그래피 장치를 제공하며, 이는 방사선 빔을 컨디셔닝(condition)하도록 구성된 조명 시스템, 패터닝된 방사선 빔을 형성하기 위해 방사선 빔의 단면에 패턴을 부여할 수 있는 패터닝 디바이스를 지지하도록 구성된 지지체, 기판을 유지하도록 구성된 기판 테이블, 및 상기 기판의 타겟부 상으로 패터닝된 방사선 빔을 투영하도록 구성된 투영 시스템을 더 포함한다.
본 발명의 제 1 실시형태의 방사선 소스의 일부분을 형성하는 본 명세서에서 설명되는 바와 같은 연료 액적 발생기는 그 자체만으로 본 발명의 일 실시형태로서 독립적으로 간주될 수 있다는 것을 이해하여야 한다.
이에 따라, 본 발명의 제 3 실시형태는 디바이스 리소그래피를 위해 방사선 소스에서 사용되는 연료의 액적들의 스트림을 제공하는 연료 액적 발생기를 제공하며, 상기 연료 액적 발생기는 노즐, 연료 공급 라인, 저장부, 및 저장부로부터 연료 공급 라인을 통해 노즐 밖으로 액적들의 스트림으로서 용융 금속 연료의 흐름을 공급하도록 배치된 펌핑 디바이스를 포함하며,
상기 연료 액적 발생기는 연료 공급 라인 내에, 사용 시 상기 용융 금속 연료를 필터링하도록 배치된 필터 조립체를 갖고,
상기 필터 조립체는 마주하는 제 1 및 제 2 면들을 갖고 1 이상의 채널들이 각각 제 1 면 내의 각자의 제 1 어퍼처로부터 제 2 면 내의 각자의 제 2 어퍼처를 통해 연장되어 있는 강성 지지판을 포함하며,
이는 제 1 면에 접합(bond)되고 제 1 면의 각각의 제 1 어퍼처에 걸쳐 연장되는 필터 멤브레인을 지지하며,
상기 필터 멤브레인은 그 안에 서로 이격된 홀들을 포함하고, 각각의 제 1 어퍼처가 복수의 홀들과 정렬되어 복수의 홀들과 각 채널 사이에 유체 연결부를 제공한다.
본 발명의 제 4 실시형태는 디바이스 리소그래피를 위해 레이저 여기에 의한 방사선 소스를 제공하도록 용융 금속 연료의 액적들의 스트림을 제공하는 연료 액적 발생기에 대한 용융 금속 연료 공급을 필터링하는 방법을 제공하고, 상기 연료 액적 발생기는 노즐, 연료 공급 라인, 저장부, 및 저장부로부터 연료 공급 라인을 통해 노즐 밖으로 액적들의 스트림으로서 용융 금속 연료의 흐름을 공급하도록 배치된 펌핑 디바이스를 포함하며,
상기 방법은 연료 공급 라인 내에, 사용 시 용융 금속 연료를 필터링하도록 배치되는 교체가능한 필터 조립체를 삽입하고 유지하는 단계를 포함하고,
상기 교체가능한 필터 조립체는 마주하는 제 1 및 제 2 면들을 갖고 1 이상의 채널들이 각각 제 1 면 내의 각자의 제 1 어퍼처로부터 제 2 면 내의 각자의 제 2 어퍼처를 통해 연장되어 있는 강성 지지판을 포함하며,
이는 제 1 면 상에서 제 1 면의 각각의 제 1 어퍼처에 걸쳐 연장되는 필터 멤브레인을 지지하며,
상기 필터 멤브레인은 그 안에 서로 이격된 홀들을 포함하고, 각각의 제 1 어퍼처가 복수의 홀들과 정렬되어 복수의 홀들과 각 채널 사이에 유체 연결부를 제공한다.
본 발명의 방사선 소스는 전형적으로 EUV(극자외)와 같은 방사선을 발생시키도록 구성될 것이다. 예를 들어, EUV 방사선은 5 내지 20 nm의 범위, 예를 들어 13 내지 14 nm의 범위, 예를 들어 6.7 nm 또는 6.8 nm와 같이 5 내지 10 nm의 범위 내의 파장을 가질 수 있다.
연료 액적 발생기는 노즐, 연료 공급 라인, 저장부, 및 저장부로부터 연료 공급 라인을 통해 노즐 밖으로 액적들의 스트림으로서 용융 금속 연료의 흐름을 공급하도록 배치된 펌핑 디바이스를 포함한다. 펌핑 디바이스는 단순히, 저장부로부터 연료 공급 라인을 통해 노즐의 유출 오리피스 밖으로 액적들의 스트림으로서 용융 상태의 연료를 내보내도록 저장부에 적용되는 압력 발생기일 수 있다. 기어 펌프 또는 그 밖의 유사한 것과 같은 여하한의 다른 적절한 펌핑 디바이스가 사용될 수 있다.
연료 액적 발생기는 연료 공급 라인 내에, 사용 시 용융 금속 연료를 필터링하도록 배치되는 교체가능한 필터 조립체를 갖는다. 전형적으로, 용융 연료는 용융 주석일 수 있다.
교체가능한 필터 조립체는 마주하는 제 1 및 제 2 면들을 갖고 1 이상의 채널들이 각각 제 1 면 내의 각자의 제 1 어퍼처로부터 제 2 면 내의 각자의 제 2 어퍼처를 통해 연장되어 있으며, 제 1 면 상에서 제 1 면의 각각의 제 1 어퍼처에 걸쳐 연장되는 필터 멤브레인을 지지하는 강성 지지판을 포함한다. 필터 멤브레인은 그 안에 서로 이격된 홀들을 포함하고, 강성 지지판의 제 1 면 내의 각각의 제 1 어퍼처가 필터 멤브레인 내의 복수의 홀들과 정렬되어 복수의 홀들과 각 채널 사이에 유체 연결부를 제공한다. 이는 용융 연료가 사용 시 홀들 및 채널들을 통해 흐를 수 있게 하고, 홀들은 최대 홀 폭보다 큰 폭을 갖는 금속 연료 내의 고형 미립자 오염물이 홀들을 통과하여 노즐에 도달하는 것을 방지함으로써 필터로서 작용한다.
적절하게는, 홀들은 노즐의 유출 오리피스의 최소 폭보다 작은 최대 폭을 가질 수 있고, 이로 인해 홀들을 통과하게 되는 미립자 물질이 차단을 야기하지 않고 노즐 유출 오리피스도 통과하여야 한다. 전형적으로, 홀들 및 유출 오리피스는 원형 단면을 가질 수 있으므로, 폭이 직경과 동일하게 여겨질 것이다. 이에 따라, 홀들은 노즐의 유출 오리피스의 직경보다 작은 직경을 가질 수 있다. 본 명세서에서, 단면적의 "최대 폭"은 단면적의 중심을 통과하면서 단면적을 가로질러 연장되는 가장 긴 직선을 의미한다.
연료 액적 발생기는 노즐을 포함하는 교체가능한 노즐 조립체, 및 연료 공급 라인에 노즐 조립체를 연결시켜 노즐이 연료 공급 라인과 유체 연통(in fluid connection with)하게 하는 연결기(connector)를 포함할 수 있고, 사용 시 연결기 내에 필터 조립체가 유지된다. 연결기는 연결기로서 함께 작용하는 연료 노즐 및 연료 라인의 상호 맞물림 연결부(interengaging connection portion)들을 포함할 수 있다.
적절한 일 구성에서, 연결기는 사용 시 노즐 조립체의 유입 오리피스와 연료 공급 라인 사이에 필터 조립체를 해제가능하게 유지하도록 구성될 수 있다.
또 다른 적절한 구성에서, 교체가능한 노즐은 사용 시 노즐 조립체의 유입 오리피스와 연료 공급 라인 사이에 필터 조립체를 해제가능하게 유지하도록 구성될 수 있다.
또 다른 적절한 구성에서, 필터 조립체는 노즐 조립체의 유입 오리피스에 접합될 수 있고, 이로 인해 교체가능한 노즐 및 필터 조립체가 단일 구성요소를 형성한다.
필터 조립체의 강성 지지판은 반도체 재료의 웨이퍼, 예를 들어 실리콘 웨이퍼와 같은 고체 웨이퍼일 수 있다. 전형적으로, 강성 지지판은 단결정 실리콘 웨이퍼와 같은 단결정 반도체 웨이퍼일 수 있다.
필터 멤브레인은 강성 지지판의 제 1 면에 접합할 수 있는 여하한의 적절한 재료로 이루어질 수 있으며, 적절하게는 강성 지지판의 제 1 면 상에 성장, 예를 들어 에피택셜 성장(epitaxial growth), 산화, 또는 화학 증착(chemical vapour deposition)에 의해 제공될 수 있는 재료로 이루어진다. 예를 들어, 강성 지지판이 실리콘으로 이루어지는 경우, 멤브레인은 적절하게는 실리카, 알루미나, 또는 질화 규소(silicon nitride)의 멤브레인일 수 있다. 바람직하게는, 강성 지지판은 실리콘으로 이루어지고, 멤브레인은 질화 규소로 이루어질 수 있다.
제 1 어퍼처는 적절하게는 100 ㎛의 최대 폭을 가질 수 있고, 홀들은 적절하게는 3 ㎛의 최대 폭을 가질 수 있다. 강성 지지판의 두께는 필터 조립체에 강도 및 강성을 제공하기 위한 것이며, 예를 들어 100 내지 1000 ㎛, 예를 들어 200 내지 600 ㎛, 가령 380 ㎛와 같이 300 내지 500 ㎛일 수 있다. 강성 지지판의 제 1 면 상에 지지되는 필터 멤브레인은 0.1 내지 5 ㎛의 두께와 같이 실질적으로 더 얇을 수 있다.
전체 필터 조립체는 용융 금속 연료(예를 들어, 용융 주석)에서 용해되지 않는 재료의 층으로 코팅될 수 있다. 예를 들어, 제 2 면 및 어퍼처들의 내벽들을 포함한 전체 필터 조립체는 얇은 질화 규소 층으로 코팅될 수 있다. 이 층은 두께가 1 ㎛ 미만, 예를 들어 0.5 ㎛ 미만 또는 0.2 ㎛ 미만일 수 있다.
적절하게는, 교체가능한 필터 조립체의 삽입은 클린룸(clean room) 조건들 하에 수행된다. 클린룸 조건들은 낮은 수준의 부유 미립자(airborne particulate)들을 갖는 환경을 의미한다. 전형적으로, 이는 공기의 입방 미터(cubic metre)당 명시된 크기의 입자들의 수로 명시된다. 클린룸 조건들은 적어도 ISO 6(입방 미터당 1 ㎛와 같거나 이보다 큰 직경의 입자들이 8320 미만), 바람직하게는 적어도 ISO 5(입방 미터당 이러한 입자들이 832 미만), 더 바람직하게는 적어도 ISO 4(입방 미터당 이러한 입자들이 83 미만)를 의미한다.
필터 조립체가 노즐 조립체의 유입 오리피스에 접합되어, 교체가능한 노즐 및 필터 조립체가 단일 구성요소를 형성하는 경우, 노즐의 유입 오리피스에 대한 필터 조립체의 이러한 접합은 클린룸 조건들 하에서 수행될 수 있고, 접합된 필터 조립체를 갖는 노즐 조립체는 적절하게는 삽입에 앞서 전밀폐 패키지(hermetically sealed package)에 저장될 수 있다. 이러한 접합은 열 접합(thermal bonding), 양극 접합(anodic bonding)과 같은 적절한 접합 수단에 의해, 또는 접착(gluing)에 의해 초래될 수 있다.
적절하게는, 필터 조립체는 MEMS 제작(미세전자기계시스템 제작)에 의해 만들어질 수 있다. 이는 전형적으로, 소위 미세기계가공을 위한 기계 디바이스들의 제조에 적용되는 집적 회로 제작에 통상적으로 사용되는 바와 같은 기술들을 수반한다. 예를 들어, 강성 지지판은 클린룸 조건들 하에 반도체 웨이퍼와 같은 웨이퍼의 에칭(etching)에 의해 형성될 수 있다. 예를 들어, 필터 멤브레인은 강성 지지판의 제 1 표면 상에서의 멤브레인 층의 성장에 의해(예를 들어, 에피택셜 성장, 화학 증착 또는 산화에 의해), 또한 예를 들어 필터 멤브레인 내의 홀들을 형성하도록 클린룸 조건들 하에서의 멤브레인 층의 에칭에 의해 형성될 수 있다.
에칭 공정을 제어하기 위해 패터닝된 레지스트 또는 마스크 층을 이용하는 화학적 습식 에칭과 같은 에칭 기술들이 채택될 수 있다. 등방성 또는 이방성 에칭, 예를 들어 반응성 이온 에칭(reactive ion etching)을 이용하는 건식 에칭이 채택될 수 있다. 예를 들어 강성 지지판이 실리콘으로 이루어지는 경우, 강성 지지판 내에 실질적으로 균일한 폭의 채널들을 제공할 수 있는 한가지 적절한 기술은 소위 DRIE(Deep Reactive Ion Etching) 에칭이다. 실리콘의 에칭은, 예를 들어 C4F8의 증착을 이용한 교번하는 측벽 패시베이션과 조합된 SF6/O2(Oxygen) 에칭으로 수행된다.
첨부된 도면들을 참조하여, 본 발명의 다양한 실시예들의 구조 및 작동뿐만 아니라, 본 발명의 또 다른 특징들 및 장점들이 아래에서 상세하게 설명된다. 본 발명은 본 명세서에서 설명되는 특정한 실시예들에 제한되지 않는다는 것을 유의한다. 본 명세서에서, 이러한 실시예들은 단지 예시적인 목적으로만 제시된다. 당업자라면, 본 명세서에 포함되는 교수(teaching)에 기초하여 추가적인 실시예들을 명백히 알 것이다.
이제 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 개략적으로 도시하는 도면;
도 2는 LPP 소스 컬렉터 모듈을 포함하여, 도 1의 장치를 더 상세히 도시하는 도면;
도 3a 내지 도 3c는 도 1 및 도 2에 나타낸 리소그래피의 일부를 형성할 수 있는 본 발명에 따른 방사선 소스의 일부를 형성하는 필터 조립체의 제 1 실시예를 개략적으로 도시하는 도면;
도 4a 내지 도 4c는 도 1 및 도 2에 나타낸 리소그래피의 일부를 형성할 수 있는 본 발명에 따른 방사선 소스의 일부를 형성하는 필터 조립체의 제 2 실시예를 개략적으로 도시하는 도면; 및
도 5는 본 발명에 따른 유체 액적 발생기의 일 실시예의 일부분을 통한 단면도를 개략적으로 도시하는 도면이다. 상기 도면은 노즐 조립체와 연료 공급 라인 사이의 연결 구성부를 나타낸다.
동일한 참조 기호들이 대응하는 요소들을 전부 식별하는 도면들에 관련하여 아래에서 설명되는 상세한 설명으로부터 본 발명의 특징들 및 장점들을 더 이해하게 될 것이다. 도면들에서, 동일한 참조 번호들은 일반적으로 동일하거나 기능적으로 유사한, 및/또는 구조적으로 유사한 요소들을 나타낸다. 요소가 처음 나타나는 도면은 대응하는 참조 번호의 맨 앞자리 수(들)에 의해 나타내어진다.
본 명세서는 본 발명의 특징들을 통합하는 1 이상의 실시예들을 개시한다. 개시된 실시예(들)는 단지 본 발명을 예시한다. 개시된 실시예(들)에 본 발명의 범위가 제한되지는 않는다. 본 발명은 본 명세서에 첨부된 청구항들에 의해 정의된다.
본 명세서에서, "하나의 실시예", "일 실시예", "예시적인 실시예" 등으로 설명된 실시예(들) 및 이러한 언급들은, 설명된 실시예(들)가 특정한 특징, 구조 또는 특성을 포함할 수 있지만, 모든 실시예가 특정한 특징, 구조 또는 특성을 반드시 포함하는 것은 아닐 수 있음을 나타낸다. 또한, 이러한 어구들이 반드시 동일한 실시예를 칭하는 것은 아니다. 또한, 특정한 특징, 구조 또는 특성이 일 실시예와 관련하여 설명되는 경우, 다른 실시예들과 관련하여 이러한 특징, 구조 또는 특성을 초래하는 것은 명확하게 설명되든지 그렇지 않든지 당업자의 지식 내에 있음을 이해한다.
도 1은 본 발명의 일 실시예에 따른 소스 컬렉터 모듈(SO)을 포함하는 리소그래피 장치(100)를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성된 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 형태의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 형태의 광학 구성요소들을 포함할 수 있다.
지지 구조체(MT)는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스(MA)를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다.
"패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입들, 및 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
조명 시스템과 같이 투영 시스템은, 사용되는 노광 방사선에 대하여, 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입의 광학 구성요소들을 포함할 수 있다. 가스들이 너무 많은 방사선을 흡수할 수 있기 때문에, EUV 방사선에 대해 진공을 사용하는 것이 바람직할 수 있다. 그러므로, 진공 벽 및 진공 펌프들의 도움으로 전체 빔 경로에 진공 환경이 제공될 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
도 1을 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외(EUV) 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 1 이상의 원소, 예를 들어 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 요구되는 플라즈마는 요구되는 선-방출 원소를 갖는 재료의 액적과 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 1에 도시되지 않음)를 포함한 EUV 방사선 소스의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다.
예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 상기 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다. 이러한 경우, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 상기 레이저 및 연료 공급기(즉, 연료 액적 발생기)는 EUV 방사선 소스를 구성하는 것으로 간주될 수 있다.
상기 일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드(facetted field) 및 퓨필 거울 디바이스들과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
상기 방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 상기 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 상기 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서 시스템(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서를 이용함)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서 시스템(PS1)은 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다.
도시된 장치는 다음 모드들 중 적어도 1 이상에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
도 2는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하는 장치(100)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은, 소스 컬렉터 모듈(SO)의 포위 구조체(220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다.
레이저(LA)가 레이저 빔(205)을 통해, 연료 공급기 또는 연료 액적 발생기(200)로부터 제공되는 주석(Sn) 또는 리튬(Li)의 연료 액적들과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 하방천이(de-excitation) 및 재결합(recombination) 동안 발생되는 강력한 방사선은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고 포커스된다.
제 2 레이저(도시되지 않음)가 제공될 수 있으며, 상기 제 2 레이저는 레이저 빔(205)이 그 위에 입사하기 전에 연료를 예열하도록 구성된다. 이 접근법을 이용하는 LPP 소스는 DLP(dual laser pulsing) 소스라고 칭해질 수 있다.
컬렉터 광학기(CO)에 의해 반사되는 방사선은 가상 소스점(virtual source point: IF)에 포커스된다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈(SO)은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(opening: 221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지른다. 조명 시스템(IL)은 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치된 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상에 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소들이 조명 시스템(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울들이 존재할 수 있으며, 예를 들어 도 2에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 3a 내지 도 3c는 본 발명에서 사용되는 필터 조립체의 제 1 실시예를 개략적으로 나타낸다. 도 3a는 강성 지지판(300)의 제 2 면이 최상부 상에 있고, 필터 멤브레인(304)을 지지하는 제 1 면이 아래에 있는 위에서 바라본 사시도를 나타낸다. 도 3b는 강성 지지판(300)의 제 2 면 내의 제 2 어퍼처(303)를 통해 위에서 본 채널(301)의 확대도를 나타낸다. 도 3c는 채널(301) 및 강성 지지판(300)의 일부분을 통한 측단면도를 제공한다.
이 제 1 실시예에서는, 단 하나의 채널(301)만이 제 1 면 내의 어퍼처(302)로부터 제 2 면 내의 어퍼처(303)까지 강성 지지판(300)을 통과한다. 필터 멤브레인(304) 내에 홀들(305)이 존재하고, 이러한 이격된 복수의 홀들은 강성 지지판(300)의 제 1 면 내의 어퍼처(302)에 걸쳐 연장되는 멤브레인(304)의 일부분 내에 존재한다.
이 제 1 실시예에 대하여, 강성 지지판은 380 ㎛의 두께를 갖는 단결정질 실리콘 웨이퍼이고, 강성 지지판(300)의 디스크(disc)의 직경은 3 mm이다. 강성 지지판의 제 1 면 내의 제 1 어퍼처의 치수들은 90 ㎛ x 90 ㎛이며, 제 1 어퍼처(302)에 걸쳐 연장되는 필터 멤브레인(304) 내의 홀들(305)은 0.8 ㎛의 직경을 갖고 서로 5 ㎛의 피치로 이격된다. 필터 멤브레인 층(304)의 두께는 1.5 ㎛이다. 본 명세서에서의 치수들은 단지 예시들이며, 특별히 중대하지는 않으므로 특정 적용예에 따라 조정될 수 있다.
멤브레인 층(304)은 채널(301) 또는 홀들(305)의 에칭에 앞서 단결정질 실리콘 웨이퍼의 제 1 면 상에서 저압 화학 증착에 의해 성장되었던 질화 규소 층으로부터 형성된다. 채널(301)은 질화 규소 층(304)이 내성을 갖는 에천트를 이용한 실리콘의 이방성 습식 에칭에 의하여 강성 지지판들 내에 형성되었다. 이는 필터 멤브레인(304)이 여전히 제 1 어퍼처(302) 전체에 걸쳐 연장되어 있는 채로, 실질적으로 에칭되지 않은 채로 채널(301)이 형성되게 하였다. 후속하여, 홀들(305)이 필터 멤브레인(304) 내에 에칭되었다.
나타낸 실시예에서 어퍼처들의 형상은 정사각형이지만, 단결정질 실리콘 웨이퍼의 다른 방위들이 사용되어 채널(들)(301)에 상이한 토포그래피(topography)들을 제공할 수 있다. 예를 들어, 정사각형 어퍼처들을 제공하기 위해 <100> 실리콘 면이 사용될 수 있으며, 또는 슬릿들과 같은 직사각형 어퍼처들을 위해 <110> 면이 사용될 수 있다. 슬릿들의 형태인 어퍼처들의 장점은, 슬릿들이 강성 지지판에 대한 과도한 강도 손실 없이 서로 더 가까이 이격될 수 있다는 것이다.
제 2 어퍼처(303)에서의 개구부를 갖는 마스크를 이용하여 제 2 면으로부터 수행되는 이방성 습식 에칭은 나타낸 실시예에서 사용되는 <100> 실리콘 면에 대해 채널(301)의 역사각뿔대 형상(inverted truncated pyramidal shape)을 초래한다.
이 실시예에서는 단 하나의 채널(301)만이 도시되지만, 복수의 채널들이 단일 필터 조립체 내에 형성될 수 있으며, 이는 지지판(300)의 구조적 강성이 과도하게 저하(compromise)되지 않도록 채널들이 서로 충분히 멀리 떨어져 이격되는 것을 조건으로 한다. 나타낸 구성에 대해, 다수 채널들은 예를 들어 2 mm의 피치를 가질 수 있다.
도 4a 내지 도 4c는 본 발명과 사용되는 필터 조립체의 제 2 실시예를 개략적으로 나타낸다. 도 4a는 강성 지지판(300)의 제 2 면이 최상부 상에 있고, 필터 멤브레인(304)을 지지하는 제 1 면이 아래에 있는 위에서 바라본 사시도를 나타낸다. 도 4b는 강성 지지판(300)의 제 2 면 내의 제 2 어퍼처(303)를 통해 위에서 본 채널(301)의 확대도를 나타낸다. 도 4c는 채널(301)들 및 강성 지지판(300)의 일부분을 통한 측단면도를 제공한다.
이 제 2 실시예에서는, 복수의 채널(301)들이 제 1 면 내의 어퍼처(302)들로부터 제 2 면 내의 각각의 어퍼처(303)들까지 강성 지지판(300)을 통과한다. 제 1 어퍼처(302)들과 정렬된 필터 멤브레인(304) 내에 홀들(305)이 존재하고, 이러한 이격된 복수의 홀들은 강성 지지판(300)의 제 1 면 내의 각 어퍼처(302)에 걸쳐 연장되는 멤브레인(304) 내에 존재한다.
이 제 2 실시예에 대하여, 강성 지지판은 또다시 380 ㎛의 두께를 갖는 실리콘 웨이퍼이고, 강성 지지판의 디스크의 직경은 3 mm이다. 강성 지지판의 제 1 면 내의 원형인 제 1 어퍼처들의 치수들은 직경이 40 ㎛이고 서로 80 ㎛의 피치로 이격되며, 각각의 제 1 어퍼처(302)에 걸쳐 연장되는 필터 멤브레인(304) 내의 홀들(305)은 1.5 ㎛의 직경을 갖고 서로 5 ㎛의 피치로 이격된다. 멤브레인의 두께는 다시 1 ㎛이다. 제 1 실시예와 같이 치수들은 특별히 중대하지 않으며, 특정 적용예에 따라, 예를 들어 노즐 조립체의 유입 어퍼처 또는 연료 공급 라인의 단면적에 필터 조립체 크기를 매칭시키도록 변할 수 있다.
멤브레인 층(304)은 채널들(301) 또는 홀들(305)의 에칭에 앞서 실리콘 웨이퍼의 제 1 면 상에 성장되었던 질화 규소 층이다. 채널(301)들은 앞서 설명된 바와 같은 DRIE 에칭 기술에 의하여 강성 지지판(300) 내에 형성되었다. 질화 규소 층(304)은 DRIE 에칭에 내성을 갖는다. 이는 필터 멤브레인(304)이 여전히 제 1 어퍼처(302)들에 걸쳐 연장되어 있는 채로, 실질적으로 에칭되지 않은 채로 채널(301)들이 형성되게 한다. 후속하여, 홀들(305)이 필터 멤브레인(304) 내에 에칭된다. 각각의 제 2 어퍼처(303)에서의 개구부를 갖는 마스크를 이용하여 제 2 면으로부터 수행되는 DRIE 에칭은, 강성 지지판(300)의 제 1 및 제 2 면들에 실질적으로 수직인 측벽들을 갖는 채널(301)들이 형성되게 한다.
제 1 실시예와 비교하면, 습식 이방성 에칭 대신에 사용되는 DRIE 에칭 공정은 채널(301)들이 강성 지지판에 대한 과도한 강도 손실 없이 함께 더 빽빽하게 있도록 한다. 이는 많은 수의 홀들(305)이 용융 금속 연료의 여과를 초래하는 것과 함께 필터 조립체가 이 전체에 걸친 높은 압력 차들을 견딜 수 있는 잠재적인 장점을 제공한다.
필터 멤브레인은 강성 지지판의 제 1 면에 접합할 수 있는 적절한 재료로 이루어질 수 있으며, 적절하게는 강성 지지판의 제 1 면 상에서의 성장, 예를 들어 에피택셜 성장, 화학 증착, 산화 등에 의해 제공될 수 있는 재료로 이루어진다. 이는 필터 멤브레인이 제 자리에서 성장되어 강성 지지판(300)의 제 1 면에 내재적으로(inherently) 접합될 수 있기 때문에, 접합에 대한 여하한의 요건을 제거한다. 예를 들어, 강성 지지판(300)이 실리콘으로 이루어지는 경우, 멤브레인은 적절하게는 가령 실리카, 알루미나, 또는 질화 규소의 층일 수 있다. 바람직하게는, 강성 지지판은 실리콘으로 이루어지고 멤브레인은 질화 규소로 이루어지는데, 이는 이 재료들이 편리하게 독립적으로 에칭되어 필터 조립체를 제공할 수 있기 때문이다.
필터 멤브레인(304) 내의 비교적 작은 직경의 홀들은, 홀들을 형성하기 위해 간단한 에칭 기술이 이용될 수 있도록 멤브레인(304)이 홀들의 직경보다 작은 두께를 갖는 것이 바람직함을 의미한다. 하지만, 이는 필터 멤브레인이 비교적 부서지기 쉽게 할 수 있다. 본 발명의 필터 조립체의 구성은 직경이 가령 2 ㎛ 이하인 홀들을 갖는 비교적 얇은 멤브레인으로 하여금 지지판에 의해 채널들의 제 1 어퍼처들 상에 지지되게 하여, 사용 중에 압력 차를 받는 필터 멤브레인의 각 부분이 충분히 작은 영역을 갖고, 각 부분에 걸쳐 전개된 힘이 멤브레인의 부서짐 없이 지속되도록 한다.
MEMS 제작 기술들의 이용은 이러한 구조체들이 클린룸 조건들 하에 제작(engineer)되게 하여, 금속 입자들이 내재적으로 존재하는 종래 필터들과 연계된 미립자 오염의 위험이 회피되거나 감소될 수 있게 한다.
강성 지지판(300)은 사용 시 필터 조립체에 걸친 압력 강하로 인하여 사용 중 용융 금속 연료의 여과 동안 전개되는 힘들을 견디도록 제작되어야 하고, 이에 따라 채널들에 대해 선택되는 상호 간격이 이를 허용하여야 한다. 평행한 벽의(parallel-walled) 채널들을 생성하기 위한 DRIE와 같은 등방성 에칭의 사용은 습식 에칭보다 더 가까운 채널들의 간격이 달성되게 하여, 소정 크기의 필터 조립체에 대해 더 큰 비율의 여과 영역을 허용한다.
전체 필터 조립체는 얇은 질화 규소 층과 같은 용융 금속 연료에서 용해되지 않는 재료의 층으로 코팅될 수 있다. 이 층은 두께가 1 ㎛ 미만, 예를 들어 0.5 ㎛ 미만일 수 있다. 이 층은 용융 주석과 같은 용융 금속 연료에 의한 용해에 내성이 있으며, 필터 조립체가 채널들 및 홀들을 제공하도록 에칭된 후, 예를 들어 플라즈마 증착 또는 화학 증착에 의해 편리하게 증착될 수 있다. 또한, 이 구성은 클린룸 조건들 하에서 준비하기에 적절하다.
도 5는 본 발명과 사용하기에 적절한 연료 액적 발생기(200)의 제 1 실시예의 일부분의 단면도를 개략적으로 나타낸다. 연료 액적 발생기의 이 제 1 실시예는 연료 공급 라인(500) 및 연료 라인의 단부에 체결되는 것으로 나타낸 연결기(502)를 포함한다. 연결기(502)는 노즐 조립체가 나타낸 바와 같은 A 방향으로 흐르는 연료를 갖게 한다. 노즐 조립체의 나머지 부분은 도면에 도시되지 않는다. 연료 라인의 말단부(distal end)는 나사가공(thread)되며, 나사가공된 환형 캡(504)이 연료 라인의 말단부 상에 나사고정되고 연결기의 숄더(shoulder: 503)를 붙잡아 연결기를 제 자리에 유지한다.
연결기(502)의 기부(proximal end)에서, 강성 지지판(300) 및 필터 멤브레인(304)의 필터 조립체는 연료 공급 라인(500)으로부터 연결기(502)를 통해 흐르는 용융 연료(501)가 먼저 필터 멤브레인(304)을 통과한 후 채널(301)을 통과하도록 채널(301)이 정렬된 채로 유지된다. 용융 금속 연료에 의한 용해를 저지하도록 제작된 시일(seal: 505)들, 예를 들어 폴리이미드 시일들이 모두 연결기(502)의 기부에서 필터 조립체(300)를 밀폐한다.
이에 따라, 이 실시예에 대해 필터 조립체는 연결기 내에 유지된다. 본 발명의 범위 내에 포함되는 다른 변형예들은 앞선 설명으로부터 분명히 알 것이다. 예를 들어, 특히 적절한 구성은 연결기의 단부에 접합된 필터 조립체(300, 304)를 갖고, 연결기 및 필터 조립체가 단일 대체 노즐 조립체의 일부분을 형성한다. 이러한 구성을 이용하면, 노즐이 차단되거나 마모되는 경우 또는 필터가 차단되는 경우, 필터를 포함한 전체 노즐 조립체가 단일 결합체(unitary assemblage)로서 교체되어 미립자 고형 불순물들에 의한 오염을 야기할 수 있는 시일 조작의 필요성을 회피할 수 있다.
접합된 필터 조립체를 포함하는 이러한 대체 노즐 조립체는 클린룸 조건들 하에 준비된 후 사용을 위해 전밀폐 패키지로 수송되어, 또다시 노즐 조립체가 교체될 때 미립자 오염의 위험을 최소화할 수 있다.
전형적인 노즐에 대해, 노즐은 예를 들어 그 유출 오리피스에서 10 미크론, 또는 5 미크론, 또는 3 미크론, 또는 여하한의 적절한 값의 직경을 가질 수 있다. 이에 따라, 홀들(305)의 직경은 노즐 차단을 초래할 수 있는 크기의 미립자 고형 불순물들이 필터 조립체에 의해 포획되도록 노즐 직경보다 작게, 예를 들어 노즐의 유출 오리피스의 최소 직경의 50 % 내지 90 %의 직경을 갖도록 선택될 수 있다.
장치의 제 2 실시형태는 방사선 빔을 발생시키도록 배치된 본 발명의 제 1 실시형태의 방사선 소스를 포함한 리소그래피 장치를 제공하며, 이는 방사선 빔을 컨디셔닝하도록 구성된 조명 시스템, 패터닝된 방사선 빔을 형성하기 위해 방사선 빔의 단면에 패턴을 부여할 수 있는 패터닝 디바이스를 지지하도록 구성된 지지체, 기판을 유지하도록 구성된 기판 테이블, 및 상기 기판의 타겟부 상으로 패터닝된 방사선 빔을 투영하도록 구성된 투영 시스템을 더 포함한다.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드, LED, 포토닉 디바이스(photonic device) 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트가 경화된 후에 그 안에 패턴을 남기는 레지스트로부터 이동된다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
"EUV 방사선"이라는 용어는 5 내지 20 nm의 범위, 예를 들어 13 내지 14 nm의 범위, 예를 들어 6.7 nm 또는 6.8 nm와 같이 5 내지 10 nm의 범위 내의 파장을 갖는 전자기 방사선을 포괄하는 것으로 간주될 수 있다.
이상, 본 발명의 특정 실시예가 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수 있다는 것을 분명히 알 것이다.
본 명세서에서 "바람직하다", "바람직하게는", "바람직한" 또는 "더 바람직한"과 같은 단어들의 사용은 그렇게 설명된 특징이 합당할(desirable) 수 있지만, 반드시 필요하지는 않을 수 있으며 이러한 특징이 없는 실시예들도 첨부된 청구항들에서 정의된 바와 같이 본 발명의 범위 내에서 고려될 수 있다는 것을 나타낸다. 청구항들과 관련하여, "하나(a, an)", "적어도 하나" 또는 "적어도 한 부분"과 같은 단어가 특징의 서문에 사용되는 경우, 청구항에서 달리 구체적으로 명시되지 않는 한 이러한 하나의 특징에만 청구항을 제한하려는 의도는 존재하지 않는다. "적어도 한 부분" 및/또는 "일부분"이라는 용어가 사용되는 경우, 달리 구체적으로 명시되지 않는 한 아이템은 일부분 및/또는 전체 아이템을 포함할 수 있다.

Claims (20)

  1. 연료의 액적들의 스트림을 제공하도록 구성된 연료 액적 발생기(fuel droplet generator), 및 상기 연료의 액적들 중 적어도 일부를 증발시켜 방사선을 발생시키도록 구성된 적어도 하나의 레이저를 갖는 방사선 소스에 있어서,
    상기 연료 액적 발생기는:
    노즐;
    연료 공급 라인(fuel feed line);
    저장부(reservoir);
    상기 저장부로부터 상기 연료 공급 라인을 통해 상기 노즐 밖으로 액적들의 스트림으로서 용융 금속 연료의 흐름을 공급하도록 구성된 펌핑 디바이스; 및
    상기 연료 공급 라인 내에 있고, 사용 시 상기 용융 금속 연료를 필터링하도록 구성된 교체가능한 필터 조립체(replaceable filter assembly)
    를 포함하며, 상기 교체가능한 필터 조립체는 마주하는 제 1 및 제 2 면(face)들을 갖고 1 이상의 채널들이 각각 상기 제 1 면 내의 각자의 제 1 어퍼처(aperture)로부터 상기 제 2 면 내의 각자의 제 2 어퍼처를 통해 연장되어 있으며, 상기 제 1 면 상에서 상기 제 1 면의 각각의 제 1 어퍼처에 걸쳐 연장되는 필터 멤브레인(filter membrane)을 지지하는 강성 지지판(rigid support plate)을 갖고,
    상기 필터 멤브레인은 그 안에 서로 이격된 홀들을 포함하며, 각각의 제 1 어퍼처가 복수의 홀들과 정렬되어 상기 복수의 홀들과 각 채널 사이에 유체 연결부(fluid connection)를 제공하는 방사선 소스.
  2. 제 1 항에 있어서,
    상기 연료 액적 발생기는:
    상기 노즐을 포함하는 교체가능한 노즐 조립체, 및
    상기 연료 공급 라인에 상기 노즐 조립체를 연결시켜, 상기 노즐이 상기 연료 공급 라인과 유체 연통(in fluid connection with)하게 하는 연결기(connector)를 포함하고, 상기 필터 조립체는 사용 시 상기 연결기 내에 유지되는 방사선 소스.
  3. 제 1 항 또는 제 2 항에 있어서,
    연결기는 사용 시 노즐 조립체의 유입 오리피스(inlet orifice)와 상기 연료 공급 라인 사이에 상기 필터 조립체를 해제가능하게 유지하도록 구성되는 방사선 소스.
  4. 제 1 항 또는 제 2 항에 있어서,
    교체가능한 노즐은 사용 시 노즐 조립체의 유입 오리피스와 상기 연료 공급 라인 사이에 상기 필터 조립체를 해제가능하게 유지하도록 구성되는 방사선 소스.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 필터 조립체는 노즐 조립체의 유입 오리피스에 접합(bond)되고, 상기 필터 조립체 및 교체가능한 노즐은 단일 구성요소(unitary component)를 형성하는 방사선 소스.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 강성 지지판은 실리콘 웨이퍼인 방사선 소스.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 필터 멤브레인은 질화 규소(silicon nitride) 멤브레인인 방사선 소스.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 1 어퍼처들은 100 ㎛의 최대 폭을 갖고, 상기 홀들은 3 ㎛의 최대 폭을 갖는 방사선 소스.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 필터 조립체는 상기 용융 금속 연료에서 용해되지 않는 재료의 층으로 코팅되는 방사선 소스.
  10. 리소그래피 장치에 있어서:
    제 1 항 내지 제 9 항 중 어느 한 항에 따른 방사선 소스;
    방사선 빔을 컨디셔닝(condition)하도록 구성된 조명 시스템;
    패터닝된 방사선 빔을 형성하기 위해, 상기 방사선 빔의 단면에 패턴을 부여할 수 있는 패터닝 디바이스를 지지하도록 구성된 지지체;
    기판을 유지하도록 구성된 기판 테이블; 및
    상기 기판의 타겟부 상으로 상기 패터닝된 방사선 빔을 투영하도록 구성된 투영 시스템
    을 포함하는 리소그래피 장치.
  11. 디바이스 리소그래피를 위해 방사선 소스에서 사용되는 연료의 액적들의 스트림을 제공하는 연료 액적 발생기에 있어서,
    상기 연료 액적 발생기는 노즐, 연료 공급 라인, 저장부, 및 상기 저장부로부터 상기 연료 공급 라인을 통해 상기 노즐 밖으로 액적들의 스트림으로서 용융 금속 연료의 흐름을 공급하도록 배치된 펌핑 디바이스를 포함하며,
    상기 연료 액적 발생기는 상기 연료 공급 라인 내에 있고, 사용 시 상기 용융 금속 연료를 필터링하도록 배치된 필터 조립체를 가지며,
    상기 필터 조립체는 마주하는 제 1 및 제 2 면들을 갖고 1 이상의 채널들이 각각 상기 제 1 면 내의 각자의 제 1 어퍼처로부터 상기 제 2 면 내의 각자의 제 2 어퍼처를 통해 연장되어 있으며, 상기 제 1 면에 접합되고 상기 제 1 면의 각각의 제 1 어퍼처에 걸쳐 연장되는 필터 멤브레인을 지지하는 강성 지지판을 포함하며,
    상기 필터 멤브레인은 그 안에 서로 이격된 홀들을 포함하고, 각각의 제 1 어퍼처가 복수의 홀들과 정렬되어 상기 복수의 홀들과 각 채널 사이에 유체 연결부를 제공하는 연료 액적 발생기.
  12. 디바이스 리소그래피를 위해 레이저 여기(excitation)에 의한 방사선 소스를 제공하도록 용융 금속 연료의 액적들의 스트림을 제공하는 연료 액적 발생기에 대한 용융 금속 연료 공급을 필터링하는 방법에 있어서,
    상기 연료 액적 발생기는 노즐, 연료 공급 라인, 저장부, 및 상기 저장부로부터 상기 연료 공급 라인을 통해 상기 노즐 밖으로 액적들의 스트림으로서 용융 금속 연료의 흐름을 공급하도록 배치된 펌핑 디바이스를 포함하며,
    상기 방법은:
    상기 연료 공급 라인 내에, 사용 시 상기 용융 금속 연료를 필터링하도록 배치되는 교체가능한 필터 조립체를 삽입하고 유지하는 단계
    를 포함하고, 상기 교체가능한 필터 조립체는 마주하는 제 1 및 제 2 면들을 갖고 1 이상의 채널들이 각각 상기 제 1 면 내의 각자의 제 1 어퍼처로부터 상기 제 2 면 내의 각자의 제 2 어퍼처를 통해 연장되어 있으며, 상기 제 1 면 상에서 상기 제 1 면의 각각의 제 1 어퍼처에 걸쳐 연장되는 필터 멤브레인을 지지하는 강성 지지판을 포함하며,
    상기 필터 멤브레인은 그 안에 서로 이격된 홀들을 포함하고, 각각의 제 1 어퍼처가 복수의 홀들과 정렬되어 상기 복수의 홀들과 각 채널 사이에 유체 연결부를 제공하는 방법.
  13. 제 12 항에 있어서,
    상기 교체가능한 필터 조립체의 삽입은 클린룸(clean room) 조건들 하에 수행되는 방법.
  14. 제 12 항 또는 제 13 항에 있어서,
    상기 연료 액적 발생기는 상기 노즐을 포함하는 교체가능한 노즐 조립체, 및 상기 연료 공급 라인에 상기 노즐 조립체를 연결시켜 상기 노즐이 상기 연료 공급 라인과 유체 연통하게 하는 연결기를 포함하고, 상기 필터 조립체는 사용 시 상기 연결기 내에 유지되는 방법.
  15. 제 12 항 내지 제 14 항 중 어느 한 항에 있어서,
    연결기는 사용 시 노즐 조립체의 유입 오리피스와 상기 연료 공급 라인 사이에 상기 필터 조립체를 해제가능하게 유지하도록 구성되는 방법.
  16. 제 12 항 내지 제 14 항 중 어느 한 항에 있어서,
    교체가능한 노즐은 사용 시 노즐 조립체의 유입 오리피스와 상기 연료 공급 라인 사이에 상기 필터 조립체를 유지하도록 구성되는 방법.
  17. 제 12 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 필터 조립체는 노즐 조립체의 유입 오리피스에 접합되고, 상기 필터 조립체 및 교체가능한 노즐은 단일 구성요소를 형성하는 방법.
  18. 제 17 항에 있어서,
    상기 필터 조립체는 클린룸 조건들 하에서 상기 노즐의 유입 오리피스에 접합되고, 삽입에 앞서 전밀폐 패키지(hermetically sealed package)에 저장되는 방법.
  19. 제 12 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 강성 지지판은 클린룸 조건들 하에서 웨이퍼의 에칭(etching)에 의해 형성되는 방법.
  20. 제 19 항에 있어서,
    상기 필터 멤브레인은 상기 제 1 면 상에서의 멤브레인 층의 성장(growth), 및 클린룸 조건들 하에서 상기 멤브레인 층의 에칭에 의해 형성되는 방법.
KR1020147008665A 2011-09-02 2012-07-27 디바이스 제조용 리소그래피 장치에 대한 방법 및 방사선 소스 KR101938707B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161530802P 2011-09-02 2011-09-02
US61/530,802 2011-09-02
PCT/EP2012/064779 WO2013029896A1 (en) 2011-09-02 2012-07-27 Radiation source and method for lithographic apparatus for device manufacture

Publications (2)

Publication Number Publication Date
KR20140068138A true KR20140068138A (ko) 2014-06-05
KR101938707B1 KR101938707B1 (ko) 2019-01-15

Family

ID=46601806

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147008665A KR101938707B1 (ko) 2011-09-02 2012-07-27 디바이스 제조용 리소그래피 장치에 대한 방법 및 방사선 소스

Country Status (7)

Country Link
US (1) US8890099B2 (ko)
JP (2) JP2014531705A (ko)
KR (1) KR101938707B1 (ko)
CN (1) CN103765997B (ko)
NL (1) NL2009240A (ko)
TW (1) TWI583259B (ko)
WO (1) WO2013029896A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200019185A (ko) * 2017-06-20 2020-02-21 에이에스엠엘 네델란즈 비.브이. 극자외선 광원용 공급 시스템

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
WO2014120985A1 (en) 2013-01-30 2014-08-07 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
CN103281855B (zh) * 2013-05-16 2015-10-14 中国科学院光电研究院 一种用于激光光源的液态金属靶产生装置
WO2016071972A1 (ja) * 2014-11-05 2016-05-12 ギガフォトン株式会社 フィルタ構造体、ターゲット生成装置およびフィルタ構造体の製造方法
US11433338B2 (en) 2015-07-08 2022-09-06 Entegris, Inc. High pressure filter
NL2018004A (en) * 2015-12-17 2017-06-26 Asml Netherlands Bv Droplet generator for lithographic apparatus, euv source and lithographic apparatus
EP3244705B1 (en) 2016-05-11 2019-07-03 ETH Zürich Method and light source for providing uv or x-ray light
NL2024324A (en) * 2018-12-31 2020-07-10 Asml Netherlands Bv Apparatus for controlling introduction of euv target material into an euv chamber
US20230279974A1 (en) * 2020-07-21 2023-09-07 Asml Netherlands B.V. Robust fluid coupling apparatus
KR20220075021A (ko) 2020-11-26 2022-06-07 삼성전자주식회사 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3340417A1 (de) * 1983-11-09 1985-05-15 Gebrüder Lüngen GmbH & Co KG, 4006 Erkrath Filterelement zum filtrieren von metallschmelzen
DE4012093C1 (ko) 1990-04-14 1991-07-04 Didier-Werke Ag, 6200 Wiesbaden, De
NL9401260A (nl) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
US6010592A (en) * 1994-06-23 2000-01-04 Kimberly-Clark Corporation Method and apparatus for increasing the flow rate of a liquid through an orifice
US5803579A (en) * 1996-06-13 1998-09-08 Gentex Corporation Illuminator assembly incorporating light emitting diodes
JP2001006896A (ja) * 1999-06-17 2001-01-12 Toyota Macs Inc デブリシールド装置及びシールド部の製造方法
US20060255298A1 (en) * 2005-02-25 2006-11-16 Cymer, Inc. Laser produced plasma EUV light source with pre-pulse
US7405416B2 (en) * 2005-02-25 2008-07-29 Cymer, Inc. Method and apparatus for EUV plasma source target delivery
US7378673B2 (en) * 2005-02-25 2008-05-27 Cymer, Inc. Source material dispenser for EUV light source
DE10353894B4 (de) * 2003-07-11 2007-02-15 Nft Nanofiltertechnik Gmbh Filterelement und Verfahren zu dessen Herstellung
WO2006093687A1 (en) * 2005-02-25 2006-09-08 Cymer, Inc. Method and apparatus for euv light source target material handling
TWI345931B (en) * 2006-02-21 2011-07-21 Cymer Inc Laser produced plasma euv light source with pre-pulse
GB0613001D0 (en) * 2006-06-30 2006-08-09 Juma Kassim A A filter
US7655925B2 (en) * 2007-08-31 2010-02-02 Cymer, Inc. Gas management system for a laser-produced-plasma EUV light source
CN101849212A (zh) * 2007-11-08 2010-09-29 Asml荷兰有限公司 辐射系统和方法以及光谱纯度滤光片
NL1036272A1 (nl) * 2007-12-19 2009-06-22 Asml Netherlands Bv Radiation source, lithographic apparatus and device manufacturing method.
EP2283388B1 (en) * 2008-05-30 2017-02-22 ASML Netherlands BV Radiation system, radiation collector, radiation beam conditioning system, spectral purity filter for a radiation system and method of forming a spectral purity filter
EP2182412A1 (en) * 2008-11-04 2010-05-05 ASML Netherlands B.V. Radiation source and lithographic apparatus
US7781835B2 (en) * 2009-01-12 2010-08-24 Fairchild Semiconductor Corporation Lateral drain MOSFET with improved clamping voltage control
JP5455661B2 (ja) 2009-01-29 2014-03-26 ギガフォトン株式会社 極端紫外光源装置
US8837679B2 (en) 2009-04-03 2014-09-16 Excillum Ab Supply of a liquid-metal target in X-ray generation
US8138487B2 (en) 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
KR101790074B1 (ko) 2010-02-09 2017-10-25 에이에스엠엘 네델란즈 비.브이. 방사선 소스, 리소그래피 장치 및 디바이스 제조 방법
NL2009020A (en) * 2011-07-22 2013-01-24 Asml Netherlands Bv Radiation source, method of controlling a radiation source, lithographic apparatus, and method for manufacturing a device.
JP6081711B2 (ja) * 2011-09-23 2017-02-15 エーエスエムエル ネザーランズ ビー.ブイ. 放射源
NL2009358A (en) * 2011-09-23 2013-03-26 Asml Netherlands Bv Radiation source.
NL2009372A (en) * 2011-09-28 2013-04-02 Asml Netherlands Bv Methods to control euv exposure dose and euv lithographic methods and apparatus using such methods.

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200019185A (ko) * 2017-06-20 2020-02-21 에이에스엠엘 네델란즈 비.브이. 극자외선 광원용 공급 시스템

Also Published As

Publication number Publication date
WO2013029896A1 (en) 2013-03-07
JP2014531705A (ja) 2014-11-27
CN103765997A (zh) 2014-04-30
TW201316839A (zh) 2013-04-16
US20140209817A1 (en) 2014-07-31
NL2009240A (en) 2013-03-05
US8890099B2 (en) 2014-11-18
JP2017083883A (ja) 2017-05-18
CN103765997B (zh) 2016-08-10
JP6244485B2 (ja) 2017-12-06
TWI583259B (zh) 2017-05-11
KR101938707B1 (ko) 2019-01-15

Similar Documents

Publication Publication Date Title
KR101938707B1 (ko) 디바이스 제조용 리소그래피 장치에 대한 방법 및 방사선 소스
TWI738696B (zh) 用於微影設備之液滴產生器、極紫外線源及微影設備
JP6222796B2 (ja) 放射源
TWI588616B (zh) 輻射源與微影裝置
KR20140052012A (ko) 리소그래피 장치에 대한 방법 및 방사선 소스 및 디바이스 제조 방법
JP6174605B2 (ja) 燃料流生成器、ソースコレクタ装置、及び、リソグラフィ装置
WO2020148155A1 (en) Target delivery system
JP6824985B2 (ja) Euvソースのためのノズル及び液滴発生器
JP2023010732A (ja) 極端紫外線光源のための供給システム
KR101958850B1 (ko) 방사선 소스
JP6154459B2 (ja) リソグラフィ装置用の燃料システム、euv源、リソグラフィ装置及び燃料フィルタリング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant