TWI583259B - 用於製造元件之微影裝置之輻射源及方法 - Google Patents

用於製造元件之微影裝置之輻射源及方法 Download PDF

Info

Publication number
TWI583259B
TWI583259B TW101129779A TW101129779A TWI583259B TW I583259 B TWI583259 B TW I583259B TW 101129779 A TW101129779 A TW 101129779A TW 101129779 A TW101129779 A TW 101129779A TW I583259 B TWI583259 B TW I583259B
Authority
TW
Taiwan
Prior art keywords
fuel
nozzle
filter
assembly
filter assembly
Prior art date
Application number
TW101129779A
Other languages
English (en)
Other versions
TW201316839A (zh
Inventor
羅蘭德 強納斯 哈爾特曼斯
丹東尼斯 帝朵勒 懷慕斯 坎班
愛森 伯納德 凡
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201316839A publication Critical patent/TW201316839A/zh
Application granted granted Critical
Publication of TWI583259B publication Critical patent/TWI583259B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B22CASTING; POWDER METALLURGY
    • B22DCASTING OF METALS; CASTING OF OTHER SUBSTANCES BY THE SAME PROCESSES OR DEVICES
    • B22D43/00Mechanical cleaning, e.g. skimming of molten metals
    • B22D43/001Retaining slag during pouring molten metal
    • B22D43/004Retaining slag during pouring molten metal by using filtering means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/146Laser beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • X-Ray Techniques (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

用於製造元件之微影裝置之輻射源及方法
本發明係關於一種EUV輻射源、微影裝置及用於製造元件之方法。
微影裝置為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影裝置可用於(例如)積體電路(IC)之製造中。在彼情況下,圖案化元件(其或者被稱作光罩或比例光罩)可用以產生待形成於IC之個別層上之電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包含晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上而進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。
微影被廣泛地認為是在IC以及其他元件及/或結構之製造中之關鍵步驟中的一者。然而,隨著使用微影所製造之特徵之尺寸變得愈來愈小,微影正變為用於使能夠製造小型IC或其他元件及/或結構之更具決定性之因素。
圖案印刷極限之理論估計可藉由瑞立(Rayleigh)解析度準則給出,如方程式(1)所示:
其中λ為所使用之輻射之波長,NA為用以印刷圖案之投影系統之數值孔徑,k 1為程序相依調整因數(亦被稱作瑞立 常數),且CD為經印刷特徵之特徵大小(或臨界尺寸)。自方程式(1)可見,可以三種方式來獲得特徵之最小可印刷大小之縮減:藉由縮短曝光波長λ、藉由增加數值孔徑NA,或藉由減低k 1之值。
為了縮短曝光波長且因此縮減最小可印刷大小,已提議使用極紫外線(EUV)輻射源。EUV輻射為具有在5奈米至20奈米之範圍內(例如,在13奈米至14奈米之範圍內,例如,在5奈米至10奈米之範圍內,諸如,6.7奈米或6.8奈米)之波長的電磁輻射。可能的源包括(例如)雷射產生電漿源、放電電漿源,或基於藉由電子儲存環提供之同步加速器輻射之源。
可使用電漿來產生EUV輻射。用於產生EUV輻射之輻射系統可包括用於激發燃料以提供電漿之雷射,及用於含有電漿之源收集器模組。可(例如)藉由將雷射光束引導於燃料(諸如,合適材料(例如,錫)之粒子或小滴,或合適氣體或蒸汽(諸如,Xe氣體或Li蒸汽)之串流)處來創製電漿。所得電漿發射輸出輻射,例如,EUV輻射,該輻射係使用輻射收集器予以收集。
輻射收集器可為鏡式正入射輻射收集器,其接收輻射且將輻射聚焦成光束。源收集器模組可包括經配置以提供真空環境來支援電漿之圍封結構或腔室。此輻射系統通常被稱為雷射產生電漿(LPP)源。
當將熔融金屬燃料小滴用作供產生輻射產生電漿之燃料時,亦可提供第二雷射以在第一雷射光束入射於該等小滴 上之前預加熱該等燃料小滴,以便產生該電漿且隨後產生該輻射。使用此途徑之LPP源可被稱作雙雷射脈動(dual laser pulsing,DLP)源。
燃料小滴產生器可經配置以將熔融金屬燃料小滴串流提供至輻射源之電漿形成部位。
燃料小滴產生器可包含噴嘴,熔融金屬燃料(通常為諸如錫之熔融金屬)係通過噴嘴而在壓力下被驅動以自噴嘴噴射為小滴串流。燃料通常將自燃料供應管線在入口孔口處進入噴嘴,從而通過噴嘴之出口孔口而射出。
自噴嘴流出之液體串流之自然碎裂被稱為瑞立碎裂(Rayleigh break-up)。對應於噴嘴之小滴產生率之瑞立頻率係與噴嘴處燃料之平均速度及噴嘴之直徑有關:
儘管可在無激發之情況下發生燃料串流之瑞立碎裂,但可使用諸如壓電致動器之振動器以藉由調變或振盪噴嘴處之熔融金屬燃料壓力來控制瑞立碎裂。調變噴嘴內部之壓力可調變液體燃料自噴嘴之射出速度,且造成液體燃料串流在離開噴嘴之後直接地以受控制方式碎裂成小滴。
若顆粒固體雜質存在於自燃料供應管線饋送至噴嘴之入口孔口之熔融金屬燃料供應物中,則用於產生燃料小滴之噴嘴易受出口孔口處之阻塞的影響。詳言之,噴嘴之出口孔口(通常具有小橫截面積)可受到此等顆粒固體雜質阻 塞。可使用諸如多孔燒結(金屬或陶瓷)過濾器(由相比於熔融金屬燃料具有較高熔點之金屬或陶瓷製成)之過濾器以藉由將此等過濾器定位於將熔融金屬燃料饋送至噴嘴之入口孔口的燃料供應管線中來濾出顆粒固體雜質。然而,此等多孔燒結金屬過濾器傾於使來自該等過濾器自身之顆粒拆離,且來自該等過濾器自身之此等已拆離粒子(比如,金屬或陶瓷之粒子)可阻塞噴嘴之出口孔口。存在金屬或陶瓷粒子可在過濾器被替換時自此等燒結過濾器拆離之風險。
在使用燃料小滴產生器時,可能有必要替換噴嘴及/或過濾器。此程序可導致顆粒固體雜質自燒結顆粒過濾器被侵蝕且沈積於燃料小滴產生器內部之風險,且此等雜質隨後可導致噴嘴之阻塞。
本發明之一目的尤其係提供用於過濾用於產生供微影輻射源中使用之燃料小滴串流之熔融金屬燃料的裝置及方法,其處理或克服上文所闡明之問題中至少一些。詳言之,本發明之一目標係提供可用以在縮減後續噴嘴阻塞風險之情況下促進替換燃料小滴產生器中之過濾器及/或噴嘴的裝置及方法。
貫穿本說明書,術語「包含」意謂包括所指定之組份,但不排除其他組份之存在。術語「基本上由……組成」意謂包括所指定之組份,但排除其他組份,惟作為雜質而存在之材料、由於用以提供該等組份之程序而存在之不可避免材料及為了除了達成本發明之技術效應以外之目的而添 加之組份除外。
只要適當,術語「包含」之使用亦可被視為包括「基本上由……組成」之涵義,且亦可被視為包括「由……組成」之涵義。
如本文所闡明之選用及/或較佳特徵可個別地或在適當時彼此組合地且特別是以如隨附申請專利範圍中所闡明之組合予以使用。本文所闡明之本發明之每一態樣的選用及/或較佳特徵亦在適當時適用於本發明之任何其他態樣。
本發明之一第一態樣提供一種輻射源,該輻射源包含經配置以提供一燃料小滴串流之一燃料小滴產生器及經組態以汽化該等燃料小滴中至少一些之至少一雷射,藉以產生輻射,該燃料小滴產生器包含一噴嘴、一燃料饋送管線、一儲集器及一泵浦元件,該泵浦元件經配置以將一熔融金屬燃料流自該儲集器供應通過該燃料饋送管線且離開該噴嘴以作為一小滴串流,其中該燃料小滴產生器具有在該燃料饋送管線中之一可替換過濾器總成,該可替換過濾器總成經配置以在使用時過濾該熔融金屬燃料,該可替換過濾器總成包含具有對置之第一面及第二面之一剛性支撐板,其中一或多個通道中每一者自該第一面中之一各別第一孔隙延伸通過該第二面中之一各別第二孔隙,且支撐在該第一面上且遍及該第一面之每一第一孔隙而延伸之一過濾器隔膜,且其中該過濾器隔膜在其中包含相互隔開式孔,其中每一 第一孔隙係與該等孔中之複數個孔對準以在該複數個孔與該各別通道之間提供流體連接。
裝置之一第二態樣提供一種微影裝置,該微影裝置包含本發明之第一態樣之輻射源,該輻射源經配置以產生一輻射光束,且該微影裝置進一步包含:一照明系統,其經組態以調節該輻射光束;一支撐件,其經建構以支撐一圖案化元件,該圖案化元件能夠在該輻射光束之橫截面中向該輻射光束賦予一圖案以形成一經圖案化輻射光束;一基板台,其經建構以固持一基板;及一投影系統,其經組態以將該經圖案化輻射光束投影至該基板之一目標部分上。
應理解,可獨自地將形成本發明之第一態樣之輻射源之部件的如本文所描述之燃料小滴產生器獨立地視為本發明之一態樣。
因此,本發明之一第三態樣提供一種用於提供供在用於元件微影之一輻射源中使用之一燃料小滴串流的燃料小滴產生器,該燃料小滴產生器包含一噴嘴、一燃料饋送管線、一儲集器及一泵浦元件,該泵浦元件經配置以將一熔融金屬燃料流自該儲集器供應通過該燃料饋送管線且離開該噴嘴以作為一小滴串流,其中該燃料小滴產生器具有在該燃料饋送管線中之一過濾器總成,該過濾器總成經配置以在使用時過濾該熔融金屬燃料,該過濾器總成包含具有對置之第一面及第二面之一剛性支撐板,其中一或多個通道中每一者自該第一面中之一各 別第一孔隙延伸通過該第二面中之一各別第二孔隙,且支撐接合至該第一面且遍及該第一面之每一第一孔隙而延伸之一過濾器隔膜,其中該過濾器隔膜在其中包含相互隔開式孔,其中每一第一孔隙係與該等孔中之複數個孔對準以在該複數個孔與該各別通道之間提供流體連接。
本發明之一第四態樣提供一種用於過濾用於一燃料小滴產生器之一熔融金屬燃料供應物之方法,該燃料小滴產生器用於提供一熔融金屬燃料小滴串流以藉由雷射激發來提供一輻射源以用於元件微影,該燃料小滴產生器包含一噴嘴、一燃料饋送管線、一儲集器及一泵浦元件,該泵浦元件經配置以將一熔融金屬燃料流自該儲集器供應通過該燃料饋送管線且離開該噴嘴以作為一小滴串流,該方法包含將一可替換過濾器總成插入及保持於該燃料饋送管線中,該可替換過濾器總成經配置以在使用時過濾該熔融金屬燃料,該可替換過濾器總成包含具有對置之第一面及第二面之一剛性支撐板,其中一或多個通道中每一者自該第一面中之一各別第一孔隙延伸通過該第二面中之一各別第二孔隙,且支撐在該第一面上且遍及該第一面之每一第一孔隙而延伸之一過濾器隔膜,其中該過濾器隔膜在其中包含相互隔開式孔,其中每一第一孔隙係與該等孔中之複數個孔對準以在該複數個孔與 該各別通道之間提供流體連接。
本發明之輻射源通常將經組態以產生諸如極紫外線輻射(EUV)之輻射。舉例而言,該EUV輻射可具有在5奈米至20奈米之範圍內(例如,在13奈米至14奈米之範圍內,例如,在5奈米至10奈米之範圍內,諸如,6.7奈米或6.8奈米)之一波長。
該燃料小滴產生器包含一噴嘴、一燃料供應管線、一儲集器及一泵浦元件,該泵浦元件經配置以將一熔融金屬燃料流自該儲集器供應通過該燃料饋送管線且離開該噴嘴以作為一小滴串流。該泵浦元件可簡單地為施加至該儲集器以促使呈熔融狀態之燃料自該儲集器通過饋送腔室且離開該噴嘴之出口孔口以作為一小滴串流的一壓力產生器。可使用任何其他合適泵浦元件,諸如,齒輪泵或其類似者。
該燃料小滴產生器具有在該燃料饋送管線中之一可替換過濾器總成,該可替換過濾器總成經配置以在使用時過濾該熔融金屬燃料。通常,該熔融燃料可為熔融錫。
該可替換過濾器總成包含具有對置之第一面及第二面之一剛性支撐板,其中一或多個通道中每一者自該第一面中之一各別第一孔隙延伸通過該第二面中之一各別第二孔隙,且支撐在該第一面上且遍及該第一面之每一第一孔隙而延伸之一過濾器隔膜。該過濾器隔膜在其中包含相互隔開式孔,其中該剛性支撐板之該第一面中之每一第一孔隙係與該過濾器隔膜中之該等孔中之複數個孔對準以在該複數個孔與該各別通道之間提供流體連接。此情形使該熔融 燃料能夠在使用時流動通過該等通道及該等孔,其中該等孔藉由防止具有大於最大孔寬度之一寬度的在該金屬燃料中之固體顆粒污染物傳遞通過該等孔以到達該噴嘴而充當一過濾器。
合適地,該等孔可具有小於該噴嘴之該出口孔口之最小寬度的一最大寬度,藉以被允許傳遞通過該等孔之顆粒物質亦應傳遞通過該噴嘴出口孔口,而不造成阻塞。通常,該等孔及該出口孔口可具有圓形橫截面,使得該寬度將等於直徑。因此,該等孔可具有小於該噴嘴之該出口孔口之直徑的一直徑。本文中之一橫截面積之「最大寬度」意謂橫越該橫截面積而延伸同時亦穿過該橫截面積之形心的最長直線。
該燃料小滴產生器可包含:一可替換噴嘴總成,其包含該噴嘴;及一連接器,其用於將該噴嘴總成連接至該燃料饋送管線,藉以該噴嘴係與該燃料饋送管線進行流體連接,其中該過濾器總成在使用時保持於該連接器中。該連接器可包含一起充當該連接器的該燃料管線及該燃料噴嘴之相互嚙合連接部分。
在一合適配置中,該連接器可經調適以在使用時將該過濾器總成可釋放地固持於該燃料饋送管線與該噴嘴總成之一入口孔口之間。
在另一合適配置中,該可替換噴嘴可經調適以在使用時將該過濾器總成可釋放地固持於該燃料饋送管線與該噴嘴總成之一入口孔口之間。
在另一合適配置中,該過濾器總成可接合至該噴嘴總成之該入口孔口,藉以該可替換噴嘴及該過濾器總成形成一單式組件。
該過濾器總成之該剛性支撐板可為一固體晶圓,諸如,半導體材料晶圓,例如,矽晶圓。通常,該剛性支撐板可為單一晶體半導體晶圓,諸如,單一晶體矽晶圓。
該過濾器隔膜可由能夠接合至該剛性支撐板之該第一面之任何合適材料製成,但合適地由能夠藉由至該剛性支撐板之該第一面上之成長(諸如,磊晶成長、氧化或化學氣相沈積)提供的一材料製成。舉例而言,若該剛性支撐板係由矽製成,則該隔膜可合適地為由(比如)矽石、氧化鋁或氮化矽製成之一隔膜。較佳地,該剛性支撐板係由矽製成,且該隔膜係由氮化矽製成。
該等第一孔隙可合適地具有100微米之一最大寬度,且該等孔可合適地具有3微米之一最大寬度。該剛性支撐板之厚度係用以對該過濾器總成提供強度及剛度,且可為(例如)自100微米至1000微米,例如,自200微米至600微米,比如,300微米至500微米,諸如,380微米。被支撐於該剛性支撐板之該第一面上之該過濾器隔膜可實質上較薄,諸如,厚度為0.1微米至5微米。
該整個過濾器總成可經塗佈有不可溶於該熔融金屬燃料(例如,熔融錫)中之一材料層。舉例而言,包括該第二面及該等孔隙之內壁的該整個過濾器總成可經塗佈有一薄氮化矽層。此層之厚度可小於1微米,例如,小於0.5微米厚 或小於0.2微米厚。
合適地,該可替換過濾器總成之該插入係在清潔室條件下進行。清潔室條件意謂具有一低位準之空載顆粒之一環境。通常,此環境係藉由每立方公尺之空氣中一指定大小之粒子之數目指定。清潔室條件意謂至少ISO 6(每立方公尺小於8320個直徑大於或等於1微米之粒子),較佳地為至少ISO 5(每立方公尺小於832個此等粒子),更佳地為至少ISO 4(每立方公尺小於83個此等粒子)。
當該過濾器總成接合至該噴嘴總成之該入口孔口,藉以該可替換噴嘴及該過濾器總成形成一單式組件時,該過濾器總成至該噴嘴之該入口孔口之此接合可在清潔室條件下進行,且具有該經接合過濾器總成之該噴嘴總成可在插入之前合適地儲存於一氣密式封裝中。此接合可藉由一合適接合方式實現,諸如,熱接合、陽極接合或藉由膠合。
合適地,該過濾器總成可藉由MEMS製作(微機電系統製作)製成。此情形通常涉及諸如通常用於積體電路製作之技術的技術,該積體電路製作適用於製造用於所謂微加工之機械元件。舉例而言,該剛性支撐板可藉由諸如半導體晶圓之晶圓在清潔室條件下之蝕刻而形成。舉例而言,該過濾器隔膜可藉由一隔膜層至該剛性支撐板上之該第一表面上之成長(例如,藉由磊晶成長、化學氣相沈積或藉由氧化)且藉由該隔膜層在清潔室條件下之蝕刻(例如,以在該過濾器隔膜中形成該等孔)而形成。
在使用一經圖案化抗蝕劑或光罩層以控制蝕刻程序的情 況下,可使用諸如化學濕式蝕刻之蝕刻技術。可使用各向同性或各向異性蝕刻,例如,使用(比如)反應性離子蝕刻之乾式蝕刻。一種能夠在該剛性支撐板中提供具有實質上均一寬度之通道之合適技術為所謂DRIE蝕刻(深反應性離子蝕刻),例如,當該剛性支撐板係由矽製成時。舉例而言,用與使用C4F8之沈積之交替側壁鈍化進行組合的SF6/氧蝕刻來執行該矽之該蝕刻。
下文參看隨附圖式來詳細地描述本發明之另外特徵及優點,以及本發明之各種實施例之結構及操作。應注意,本發明不限於本文所描述之特定實施例。本文中僅出於說明性目的而呈現此等實施例。基於本文所含有之教示,額外實施例對於熟習相關技術者將係顯而易見的。
現在將參看隨附示意性圖式而僅藉由實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部件。
根據下文在結合該等圖式時所闡述之【實施方式】,本發明之特徵及優點已變得更顯而易見,在該等圖式中,類似元件符號始終識別對應器件。在該等圖式中,類似元件符號通常指示相同、功能上相似及/或結構上相似之器件。一器件第一次出現時之圖式係藉由對應元件符號中之最左邊數位進行指示。
本說明書揭示併入本發明之特徵之一或多個實施例。所揭示實施例僅僅例示本發明。本發明之範疇不限於所揭示實施例。本發明係藉由此處所附加之申請專利範圍界定。
所描述之實施例及在本說明書中對「一實施例」、「一實例實施例」等等之參考指示所描述之實施例可能包括一特定特徵、結構或特性,但每一實施例可能未必包括該特定特徵、結構或特性。此外,此等片語未必指代同一實施例。另外,當結合一實施例來描述一特定特徵、結構或特性時,應理解,無論是否明確地進行描述,結合其他實施例來實現此特徵、結構或特性均係在熟習此項技術者之認識範圍內。
圖1示意性地描繪根據本發明之一實施例的包括源收集器模組SO之微影裝置100。該裝置包含:- 照明系統(照明器)IL,其經組態以調節輻射光束B(例如,EUV輻射);- 支撐結構(例如,光罩台)MT,其經建構以支撐圖案化元件(例如,光罩或比例光罩)MA,且連接至經組態以準確地定位該圖案化元件之第一定位器PM;- 基板台(例如,晶圓台)WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以準確地定位該基板之第二定位器PW;及- 投影系統(例如,反射投影系統)PS,其經組態以將藉由圖案化元件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。
照明系統可包括用於引導、塑形或控制輻射的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
支撐結構MT以取決於圖案化元件MA之定向、微影裝置之設計及其他條件(諸如,該圖案化元件是否被固持於真空環境中)的方式來固持該圖案化元件。支撐結構可使用機械、真空、靜電或其他夾持技術以固持圖案化元件。支撐結構可為(例如)框架或台,其可根據需要而固定或可移動。支撐結構可確保圖案化元件(例如)相對於投影系統處於所要位置。
術語「圖案化元件」應被廣泛地解釋為指代可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中創製圖案的任何元件。被賦予至輻射光束之圖案可對應於目標部分中所創製之元件(諸如,積體電路)中之特定功能層。
圖案化元件可為透射的或反射的。圖案化元件之實例包括光罩、可程式化鏡陣列,及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合光罩類型。可程式化鏡陣列之一實例使用小鏡之矩陣配置,該等小鏡中每一者可個別地傾斜,以便在不同方向上反射入射輻射光束。傾斜鏡在藉由鏡矩陣反射之輻射光束中賦予圖案。
類似於照明系統,投影系統可包括適於所使用之曝光輻射或適於諸如真空之使用之其他因素的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。可能需要將真空用於EUV輻射,此係因為氣體可能吸收過多輻射。因此,可憑藉真空 壁及真空泵而將真空環境提供至整個光束路徑。
如此處所描繪,裝置為反射類型(例如,使用反射光罩)。
微影裝置可為具有兩個(雙載物台)或兩個以上基板台(及/或兩個或兩個以上光罩台)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。
參看圖1,照明器IL自源收集器模組SO接收極紫外線(EUV)輻射光束。用以產生EUV輻射之方法包括(但未必限於)用在EUV範圍內之一或多種發射譜線將具有至少一元素(例如,鋰或錫)之材料轉換成電漿狀態。在一種此類方法(通常被稱作雷射產生電漿「LPP」)中,可藉由用雷射光束來輻照燃料(諸如,具有所需譜線發射元素之材料小滴)而產生所需電漿。源收集器模組SO可為包括雷射(圖1中未繪示)之EUV輻射源之部件,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射,例如,EUV輻射,該輻射係使用安置於源收集器模組中之輻射收集器予以收集。
舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為分離實體。在此等狀況下,輻射光束係憑藉包含(例如)合適引導鏡及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。可認為雷射及燃料供應物(亦即,燃料小滴產生器)包含EUV輻射源。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。此外,照明器IL可包含各種其他組件,諸如,琢面化場鏡元件及琢面化光瞳鏡元件。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如,光罩台)MT上之圖案化元件(例如,光罩)MA上,且係藉由該圖案化元件而圖案化。在自圖案化元件(例如,光罩)MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器系統PS2(例如,使用干涉量測元件、線性編碼器或電容性感測器),可準確地移動基板台WT,例如,以使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器系統PS1可用以相對於輻射光束B之路徑來準確地定位圖案化元件(例如,光罩)MA。可使用光罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化元件(例如,光罩)MA及基板W。
所描繪裝置可用於以下模式中至少一者中:
1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,光罩台)MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。
2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,光罩台)MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,光罩台)MT之速度及方向。
3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,光罩台)MT保持基本上靜止,從而固持可程式化圖案化元件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化元件。此操作模式可易於應用於利用可程式化圖案化元件(諸如,上文所提及之類型之可程式化鏡陣列)之無光罩微影。
亦可使用對上文所描述之使用模式之組合及/或變化或完全不同之使用模式。
圖2更詳細地展示裝置100,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可將真空環境維持於源收集器模組SO之圍封結構220中。
雷射LA經配置以經由雷射光束205將雷射能量沈積至自燃料供應物或燃料小滴產生器200提供的諸如錫(Sn)或鋰(Li)燃料小滴之燃料中,從而創製具有數十電子伏特之電子溫度之高度離子化電漿210。在此等離子之去激發及再組合期間所產生之高能輻射係自電漿發射、藉由近正入射 收集器光學件CO收集及聚焦。
可提供第二雷射(圖中未繪示),第二雷射經組態以在雷射光束205入射於燃料上之前預加熱燃料。使用此途徑之LPP源可被稱作雙雷射脈動(DLP)源。
藉由收集器光學件CO反射之輻射聚焦於虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組SO經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL。照明系統IL可包括琢面化場鏡元件22及琢面化光瞳鏡元件24,琢面化場鏡元件22及琢面化光瞳鏡元件24經配置以提供在圖案化元件MA處輻射光束21之所要角分佈,以及在圖案化元件MA處輻射強度之所要均一性。在圖案化元件MA處輻射光束21之反射後,隨即形成經圖案化光束26,且藉由投影系統PS將經圖案化光束26經由反射器件28、30而成像至藉由基板台WT固持之基板W上。
通常,比所示器件多之器件可存在於照明系統IL及投影系統PS中。另外,可存在比諸圖所示之鏡多的鏡,例如,在投影系統PS中可存在比圖2所示之反射器件多1至6個的額外反射器件。
圖3A至圖3C示意性地展示供本發明中使用之過濾器總成之第一實施例。圖3A展示透視頂視圖,其中剛性支撐板300之第二面係在頂部上,且支撐過濾器隔膜304之第一面係在下方。圖3B展示自上方所見之通道301的展開圖,其 通過剛性支撐板300之第二面中之第二孔隙303。圖3C提供通過剛性支撐板300及通道301之部分的橫截面側視圖。
在此第一實施例中,僅存在單一通道301,通道301自第一面中之孔隙302通過剛性支撐板300而通至第二面中之孔隙303。孔305存在於過濾器隔膜304中,且複數個此等隔開式孔存在於橫越剛性支撐板300之第一面中之孔隙302而延伸的隔膜304之部分中。
對於此第一實施例,剛性支撐板為具有380微米之厚度之單晶矽晶圓,其中剛性支撐板300之圓盤之直徑為3毫米。剛性支撐板之第一面中之第一孔隙的尺寸為90微米×90微米,其中橫越第一孔隙302而延伸的過濾器隔膜304中之孔305具有0.8微米之直徑且相互隔開5微米之間距。過濾器隔膜層304之厚度為1.5微米。此處之尺寸僅僅為實例,且不具特別決定性,因此可根據特定應用予以調整。
隔膜層304係由氮化矽層形成,氮化矽層在通道301或孔305之蝕刻之前係藉由低壓化學氣相沈積而成長至單晶矽晶圓之第一面上。通道301係藉由矽使用氮化矽層304所抵抗之蝕刻劑之各向異性濕式蝕刻而形成於剛性支撐板中。此情形允許通道301經形成有仍橫越第一孔隙302而延伸(實質上未經蝕刻)之過濾器隔膜304。孔305隨後被蝕刻至過濾器隔膜304中。
在所示實施例中,孔隙之形狀為正方形,但可使用單晶矽晶圓之其他定向以提供用於通道301之不同構形(topography)。舉例而言,<100>矽面可用以提供正方形孔 隙,或<110>面可用於諸如隙縫之矩形孔隙。呈隙縫之形式之孔隙的優點在於:該等隙縫可更緊密地相互隔開,而無針對剛性支撐板之過多強度損失。
對於用於所示實施例中之<100>矽面,使用在第二孔隙303處具有開口之光罩的自第二面進行之各向異性濕式蝕刻導致通道301之倒截頭錐形形狀。
儘管在此實施例中展示僅一個通道301,但在單一過濾器總成中可形成複數個通道,其限制條件為此等通道足夠遠地相互間隔開以使得支撐板300之結構剛度不受到過多地損害。對於所示組態,多個通道可具有(例如)2毫米之間距。
圖4A至圖4C示意性地展示供本發明使用之過濾器總成之第二實施例。圖4A展示透視頂視圖,其中剛性支撐板300之第二面係在頂部上,且支撐過濾器隔膜304之第一面係在下方。圖4B展示自上方所見之通道301的展開圖,其通過剛性支撐板300之第二面中之第二孔隙303。圖4C提供通過剛性支撐板300及通道301之部分的橫截面側視圖。
在此第二實施例中,複數個通道301自第一面中之孔隙302通過剛性支撐板300而通至第二面中之各別孔隙303。孔305存在於與第一孔隙302對準之過濾器隔膜304中,且複數個此等隔開式孔存在於橫越剛性支撐板300之第一面中之每一孔隙302而延伸的隔膜304中。
對於此第二實施例,剛性支撐板再次為具有380微米之厚度之矽晶圓,其中剛性支撐板之圓盤之直徑為3毫米。 剛性支撐板之第一面中之圓形第一孔隙的尺寸在直徑上為40微米,相互隔開80微米之間距,其中橫越每一第一孔隙302而延伸的過濾器隔膜304中之孔305具有1.5微米之直徑且相互隔開5微米之間距。隔膜之厚度再次為1微米。關於第一實施例,該等尺寸不具特別決定性,且可根據特定應用而變化,例如,以使過濾器總成大小匹配於燃料饋送管線或噴嘴總成之入口孔隙之橫截面積。
隔膜層304為氮化矽層,其在通道301或孔305之蝕刻之前成長至矽晶圓之第一面上。通道301係藉由如前文所闡明之DRIE蝕刻技術而形成於剛性支撐板300中。氮化矽層304抵抗DRIE蝕刻。此情形允許通道301經形成有仍橫越第一孔隙302而延伸(實質上未經蝕刻)之過濾器隔膜304。孔305隨後被蝕刻至過濾器隔膜304中。使用在每一第二孔隙303處具有一開口之光罩的自第二面進行之DRIE蝕刻導致形成通道301,通道301具有實質上垂直於剛性支撐板300之第二面及第一面之側壁。
相比於第一實施例,代替濕式各向異性蝕刻,所使用之DRIE蝕刻程序准許通道301更緊密地封裝在一起,而無針對剛性支撐板之過多強度損失。此情形提供過濾器總成能夠耐受橫越其之高壓力差而具有大數目個孔305來實現熔融金屬燃料之過濾的潛在優點。
過濾器隔膜可由能夠接合至剛性支撐板之第一面之合適材料製成,但合適地由能夠藉由至剛性支撐板之第一面上之成長(諸如,磊晶成長、化學氣相沈積、氧化或其類似 者)提供的材料製成。此情形移除針對接合之任何要求,此係因為過濾器隔膜可成長於適當位置中且因此固有地接合至剛性支撐板300之第一面。舉例而言,若剛性支撐板300係由矽製成,則隔膜可合適地為(比如)矽石、氧化鋁或氮化矽層。較佳地,剛性支撐板係由矽製成且隔膜係由氮化矽製成,此係因為此等材料可便利地經獨立地蝕刻以提供過濾器總成。
過濾器隔膜304中之相對小直徑孔意謂較佳的是使隔膜304具有小於該等孔之直徑之厚度,使得可使用簡單蝕刻技術來形成該等孔。然而,此情形可導致過濾器隔膜相對脆。本發明之過濾器總成之配置允許具有直徑為(比如)2微米或更小之孔之相對薄隔膜被支撐板支撐於通道之第一孔隙上,使得過濾器隔膜之在使用期間經受橫越其之壓力差的每一部分的面積足夠小,使得可支持橫越每一部分逐漸形成之力,而無隔膜破裂。
MEMS製作技術之使用准許在清潔室條件下建造此等結構,使得可避免或縮減與習知過濾器(其中固有地存在金屬粒子)相關聯之顆粒污染之風險。
剛性支撐板300應經建造以耐受在使用期間過濾熔融金屬燃料期間由於在使用時橫越過濾器總成之壓降而逐漸形成之力,且因此,針對通道所選擇之相互間隔應考量此情形。使用諸如DRIE之各向同性蝕刻以產生平行壁式通道相比於濕式蝕刻的情形會准許達成該等通道之較緊密間隔,從而考量用於具有某一大小之過濾器總成之過濾區域 的較大比例。
整個過濾器總成可經塗佈有不可溶於熔融金屬燃料中之材料層,諸如,薄氮化矽層。此層之厚度可小於1微米,例如,小於0.5微米厚。此層抵抗藉由諸如熔融錫之熔融金屬燃料之溶解,且可在過濾器總成已被蝕刻以提供通道及孔之後(例如)藉由電漿沈積或化學氣相沈積而便利地沈積。此配置亦適宜於在清潔室條件下之製備。
圖5示意性地展示適合供本發明使用之燃料小滴產生器200之第一實施例之部分的橫截面圖。燃料小滴產生器之此第一實施例包含燃料饋送管線500及連接器502,連接器502經展示為緊固至該燃料管線之末端。連接器502導致噴嘴總成,其中燃料在如圖所示之方向A上流動。噴嘴總成之剩餘部分在該圖中未繪示。燃料管線之遠側末端有螺紋,且環形螺紋頂蓋504將收集器固持於適當位置中,其旋擰至燃料管線之遠側末端上且夾緊連接器之肩部503。
在連接器502之近側末端處,剛性支撐板300及過濾器隔膜304之過濾器總成經固持成使得通道301對準,使得自燃料饋送管線500流動通過連接器502之熔融燃料501在已首先傳遞通過過濾器隔膜304之後傳遞通過通道301。密封件505(例如,經建造以抵抗藉由熔融金屬燃料之溶解的聚醯亞胺密封件)將過濾器總成300之全部密封至連接器502之近側末端中。
因此,對於此實施例,過濾器總成保持於連接器中。在本發明之範疇內之其他修改將自前述描述變得顯而易見。 舉例而言,一特別合適配置使過濾器總成300、304接合至連接器之末端且使該連接器及該過濾器總成形成單式替換噴嘴總成之部件。在此配置的情況下,當噴嘴變得被阻塞或磨損時,或當過濾器變得被阻塞時,包括過濾器之整個噴嘴總成可作為單式裝配件被替換,從而避免針對可冒受到顆粒固體雜質之污染之風險之密封件操縱的需要。
包括經接合過濾器總成之此替換噴嘴總成可在清潔室條件下進行製備,接著加以輸送以供在氣密式封裝中使用,再次以在該噴嘴總成被替換時最小化顆粒污染之風險。
對於典型噴嘴,該噴嘴可(例如)在其出口孔口處具有10微米或5微米或3微米或任何合適值之直徑。因此,孔305之直徑可經選擇為小於噴嘴直徑,比如,藉由具有噴嘴之出口孔口之最小直徑之50%至90%的直徑,使得具有可導致噴嘴阻塞之大小之顆粒固體雜質被過濾器總成截留。
裝置之第二態樣提供一種微影裝置,該微影裝置包含本發明之第一態樣之輻射源,該輻射源經配置以產生一輻射光束,且該微影裝置進一步包含:一照明系統,其經組態以調節該輻射光束;一支撐件,其經建構以支撐一圖案化元件,該圖案化元件能夠在該輻射光束之橫截面中向該輻射光束賦予一圖案以形成一經圖案化輻射光束;一基板台,其經建構以固持一基板;及一投影系統,其經組態以將該經圖案化輻射光束投影至該基板之一目標部分上。
儘管在本文中可特定地參考微影裝置在IC製造中之使用,但應理解,本文所描述之微影裝置可具有其他應用, 諸如,製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭、LED、光子元件,等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文對術語「晶圓」或「晶粒」之任何使用分別與更通用之術語「基板」或「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢測工具中處理本文所提及之基板。適用時,可將本文中之揭示內容應用於此等及其他基板處理工具。另外,可將基板處理一次以上,例如,以便創製多層IC,使得本文所使用之術語「基板」亦可指代已經含有多個經處理層之基板。
儘管上文可特定地參考在光學微影之內容背景中對本發明之實施例的使用,但應瞭解,本發明可用於其他應用(例如,壓印微影)中,且在內容背景允許時不限於光學微影。在壓印微影中,圖案化元件中之構形界定創製於基板上之圖案。可將圖案化元件之構形壓入被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後,將圖案化元件移出抗蝕劑,從而在其中留下圖案。
術語「透鏡」在內容背景允許時可指代各種類型之光學組件中任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。
術語「EUV輻射」可被視為涵蓋具有在5奈米至20奈米 之範圍內(例如,在13奈米至14奈米之範圍內,例如,在5奈米至10奈米之範圍內,諸如,6.7奈米或6.8奈米)之波長的電磁輻射。
雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之本發明進行修改。
應理解,雖然在該描述中諸如「較佳的」、「較佳地」或「更佳的」之詞語之使用提出如此描述之特徵可能係理想的,但其仍然可能不係必要的,且可能預期在如附加申請專利範圍中所界定的本發明之範疇內的缺少此特徵之實施例。關於申請專利範圍,吾人希望,當將諸如「一」、「至少一」或「至少一部分」之詞語用於一特徵前方時,不存在將申請專利範圍限於僅一個此類特徵之意圖,除非在申請專利範圍中加以特定相反地敍述。當使用語言「至少一部分」及/或「一部分」時,項目可包括一部分及/或整個項目,除非加以特定相反地敍述。
21‧‧‧輻射光束
22‧‧‧琢面化場鏡元件
24‧‧‧琢面化光瞳鏡元件
26‧‧‧經圖案化光束
28‧‧‧反射器件
30‧‧‧反射器件
100‧‧‧微影裝置
200‧‧‧燃料供應物/燃料小滴產生器
205‧‧‧雷射光束
210‧‧‧高度離子化電漿/輻射發射電漿
220‧‧‧圍封結構
221‧‧‧開口
300‧‧‧剛性支撐板
301‧‧‧通道
302‧‧‧第一孔隙
303‧‧‧第二孔隙
304‧‧‧過濾器隔膜/過濾器隔膜層/氮化矽層
305‧‧‧孔
500‧‧‧燃料饋送管線
501‧‧‧熔融燃料
502‧‧‧連接器
503‧‧‧肩部
504‧‧‧環形螺紋頂蓋
505‧‧‧密封件
A‧‧‧方向
B‧‧‧輻射光束
C‧‧‧目標部分
CO‧‧‧近正入射收集器光學件
IF‧‧‧虛擬源點/中間焦點
IL‧‧‧照明系統/照明器
LA‧‧‧雷射
M1‧‧‧光罩對準標記
M2‧‧‧光罩對準標記
MA‧‧‧圖案化元件
MT‧‧‧支撐結構
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PS‧‧‧投影系統
PS1‧‧‧位置感測器系統
PS2‧‧‧位置感測器系統
PW‧‧‧第二定位器
SO‧‧‧源收集器模組
W‧‧‧基板
WT‧‧‧基板台
圖1示意性地描繪根據本發明之一實施例的微影裝置;圖2為包括LPP源收集器模組的圖1之裝置的更詳細視圖;圖3A至圖3C示意性地描繪形成根據本發明之輻射源之部件的過濾器總成之第一實施例,其可形成圖1及圖2所示 之微影裝置之部件;圖4A至圖4C示意性地描繪形成根據本發明之輻射源之部件的過濾器總成之第二實施例,其可形成圖1及圖2所示之微影裝置之部件;圖5示意性地展示通過根據本發明之流體小滴產生器之實施例之部分的橫截面圖。該圖展示燃料饋送管線與噴嘴總成之間的連接配置。
21‧‧‧輻射光束
22‧‧‧琢面化場鏡元件
24‧‧‧琢面化光瞳鏡元件
26‧‧‧經圖案化光束
28‧‧‧反射器件
30‧‧‧反射器件
100‧‧‧微影裝置
200‧‧‧燃料供應物/燃料小滴產生器
205‧‧‧雷射光束
210‧‧‧高度離子化電漿/輻射發射電漿
220‧‧‧圍封結構
221‧‧‧開口
CO‧‧‧近正入射收集器光學件
IF‧‧‧虛擬源點/中間焦點
IL‧‧‧照明系統/照明器
LA‧‧‧雷射
MA‧‧‧圖案化元件
MT‧‧‧支撐結構
PS‧‧‧投影系統
SO‧‧‧源收集器模組
W‧‧‧基板
WT‧‧‧基板台

Claims (20)

  1. 一種輻射源,其具有經組態以提供一燃料小滴串流之一燃料小滴產生器及經組態以汽化該等燃料小滴中至少一些之至少一雷射,藉以產生輻射,該燃料小滴產生器包含:一噴嘴;一燃料饋送管線;一儲集器;一泵浦元件,其經組態以將一熔融金屬燃料流自該儲集器供應通過該燃料饋送管線且離開該噴嘴以作為一小滴串流;及一可替換過濾器總成,其係在該燃料饋送管線中且經組態以在使用時過濾該熔融金屬燃料,該可替換過濾器總成具有一剛性支撐板,該剛性支撐板具有對置之第一面及第二面,其中一或多個通道中每一者自該第一面中之一各別第一孔隙延伸通過該第二面中之一各別第二孔隙,且支撐在該第一面上且遍及該第一面之每一第一孔隙而延伸之一過濾器隔膜,其中該過濾器隔膜在其中包含相互隔開式孔,其中每一第一孔隙係與該等孔中之複數個孔對準以在該複數個孔與該各別通道之間提供流體連接。
  2. 如請求項1之輻射源,其中該燃料小滴產生器包含:一可替換噴嘴總成,其包含該噴嘴;及一連接器,其用於將該噴嘴總成連接至該燃料饋送管 線,藉以該噴嘴係與該燃料饋送管線進行流體連接,其中該過濾器總成在使用時保持於該連接器中。
  3. 如請求項2之輻射源,其中該連接器經調適以在使用時將該過濾器總成可釋放地固持於該燃料饋送管線與該噴嘴總成之一入口孔口之間。
  4. 如請求項2之輻射源,其中該可替換噴嘴總成經調適以在使用時將該過濾器總成可釋放地固持於該燃料饋送管線與該噴嘴總成之一入口孔口之間。
  5. 如請求項2之輻射源,其中該過濾器總成接合至該噴嘴總成之一入口孔口,藉以該可替換噴嘴總成及該過濾器總成形成一單式組件。
  6. 如請求項1或2之輻射源,其中該剛性支撐板為一矽晶圓。
  7. 如請求項1或2之輻射源,其中該過濾器隔膜為一氮化矽隔膜。
  8. 如請求項1或2之輻射源,其中該等第一孔隙具有100微米之一最大寬度,且該等孔具有3微米之一最大寬度。
  9. 如請求項1或2之輻射源,其中該過濾器總成經塗佈有不可溶於該熔融金屬燃料中之一材料層。
  10. 一種微影裝置,其包含:如請求項1-9中任一項之輻射源;一照明系統,其經組態以調節輻射光束;一支撐件,其經建構以支撐一圖案化元件,該圖案化元件能夠在該輻射光束之橫截面中向該輻射光束賦予一 圖案以形成一經圖案化輻射光束;一基板台,其經建構以固持一基板;及一投影系統,其經組態以將該經圖案化輻射光束投影至該基板之一目標部分上。
  11. 一種用於提供供在用於元件微影之一輻射源中使用之一燃料小滴串流的燃料小滴產生器,該燃料小滴產生器包含一噴嘴、一燃料饋送管線、一儲集器及一泵浦元件,該泵浦元件經配置以將一熔融金屬燃料流自該儲集器供應通過該燃料饋送管線且離開該噴嘴以作為一小滴串流,其中該燃料小滴產生器具有在該燃料饋送管線中之一過濾器總成,該過濾器總成經配置以在使用時過濾該熔融金屬燃料,該過濾器總成包含具有對置之第一面及第二面之一剛性支撐板,其中一或多個通道中每一者自該第一面中之一各別第一孔隙延伸通過該第二面中之一各別第二孔隙,且支撐接合至該第一面且遍及該第一面之每一第一孔隙而延伸之一過濾器隔膜,其中該過濾器隔膜在其中包含相互隔開式孔,其中每一第一孔隙係與該等孔中之複數個孔對準以在該複數個孔與該各別通道之間提供流體連接。
  12. 一種用於過濾用於一燃料小滴產生器之一熔融金屬燃料供應物之方法,該燃料小滴產生器用於提供一熔融金屬 燃料小滴串流以藉由雷射激發來提供一輻射源以用於元件微影,該燃料小滴產生器包含一噴嘴、一燃料饋送管線、一儲集器及一泵浦元件,該泵浦元件經配置以將一熔融金屬燃料流自該儲集器供應通過該燃料饋送管線且離開該噴嘴以作為一小滴串流,該方法包含:將一可替換過濾器總成插入及保持於該燃料饋送管線中,該可替換過濾器總成經配置以在使用時過濾該熔融金屬燃料,該可替換過濾器總成包含具有對置之第一面及第二面之一剛性支撐板,其中一或多個通道中每一者自該第一面中之一各別第一孔隙延伸通過該第二面中之一各別第二孔隙,且支撐在該第一面上且遍及該第一面之每一第一孔隙而延伸之一過濾器隔膜,其中該過濾器隔膜在其中包含相互隔開式孔,其中每一第一孔隙係與該等孔中之複數個孔對準以在該複數個孔與該各別通道之間提供流體連接。
  13. 如請求項12之方法,其中該可替換過濾器總成之該插入係在清潔室條件下進行。
  14. 如請求項12或13之方法,其中該燃料小滴產生器包含:一可替換噴嘴總成,其包含該噴嘴;及一連接器,其用於將該噴嘴總成連接至該燃料饋送管線,藉以該噴嘴係與該燃料饋送管線進行流體連接,其中該過濾器總成在使用時保持於該連接器中。
  15. 如請求項14之方法,其中該連接器經調適以在使用時將 該過濾器總成可釋放地保持於該燃料饋送管線與該噴嘴總成之一入口孔口之間。
  16. 如請求項14之方法,其中該可替換噴嘴總成經調適以在使用時將該過濾器總成保持於該燃料饋送管線與該噴嘴總成之一入口孔口之間。
  17. 如請求項14之方法,其中該過濾器總成接合至該噴嘴總成之該入口孔口,藉以該可替換噴嘴總成及該過濾器總成形成一單式組件。
  18. 如請求項17之方法,其中該過濾器總成在清潔室條件下接合至該噴嘴之該入口孔口且在插入之前儲存於一氣密式封裝中。
  19. 如請求項12或13之方法,其中該剛性支撐板係藉由一晶圓在清潔室條件下之蝕刻而形成。
  20. 如請求項19之方法,其中該過濾器隔膜係藉由一隔膜層至該第一表面上之成長及該隔膜層在清潔室條件下之蝕刻而形成。
TW101129779A 2011-09-02 2012-08-16 用於製造元件之微影裝置之輻射源及方法 TWI583259B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161530802P 2011-09-02 2011-09-02

Publications (2)

Publication Number Publication Date
TW201316839A TW201316839A (zh) 2013-04-16
TWI583259B true TWI583259B (zh) 2017-05-11

Family

ID=46601806

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101129779A TWI583259B (zh) 2011-09-02 2012-08-16 用於製造元件之微影裝置之輻射源及方法

Country Status (7)

Country Link
US (1) US8890099B2 (zh)
JP (2) JP2014531705A (zh)
KR (1) KR101938707B1 (zh)
CN (1) CN103765997B (zh)
NL (1) NL2009240A (zh)
TW (1) TWI583259B (zh)
WO (1) WO2013029896A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
WO2014120985A1 (en) 2013-01-30 2014-08-07 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
CN103281855B (zh) * 2013-05-16 2015-10-14 中国科学院光电研究院 一种用于激光光源的液态金属靶产生装置
WO2016071972A1 (ja) * 2014-11-05 2016-05-12 ギガフォトン株式会社 フィルタ構造体、ターゲット生成装置およびフィルタ構造体の製造方法
KR102207243B1 (ko) * 2015-07-08 2021-01-22 엔테그리스, 아이엔씨. 고압 필터
CN108496115B (zh) * 2015-12-17 2020-11-13 Asml荷兰有限公司 用于光刻设备的液滴发生器、euv源和光刻设备
EP3244705B1 (en) 2016-05-11 2019-07-03 ETH Zürich Method and light source for providing uv or x-ray light
US10499485B2 (en) * 2017-06-20 2019-12-03 Asml Netherlands B.V. Supply system for an extreme ultraviolet light source
NL2024324A (en) * 2018-12-31 2020-07-10 Asml Netherlands Bv Apparatus for controlling introduction of euv target material into an euv chamber
KR20220075021A (ko) 2020-11-26 2022-06-07 삼성전자주식회사 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006093687A1 (en) * 2005-02-25 2006-09-08 Cymer, Inc. Method and apparatus for euv light source target material handling
TW200742503A (en) * 2006-02-21 2007-11-01 Cymer Inc Laser produced plasma EUV light source with pre-pulse
TW200922386A (en) * 2007-08-31 2009-05-16 Cymer Inc Gas management system for a laser-produced-plasma EUV light source
US20100200776A1 (en) * 2009-01-29 2010-08-12 Gigaphoton Inc. Extreme ultraviolet light source device
CN102047151A (zh) * 2008-05-30 2011-05-04 Asml荷兰有限公司 辐射系统、辐射收集器、辐射束调节系统、用于辐射系统的光谱纯度滤光片以及用于形成光谱纯度滤光片的方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3340417A1 (de) 1983-11-09 1985-05-15 Gebrüder Lüngen GmbH & Co KG, 4006 Erkrath Filterelement zum filtrieren von metallschmelzen
DE4012093C1 (zh) 1990-04-14 1991-07-04 Didier-Werke Ag, 6200 Wiesbaden, De
NL9401260A (nl) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
US6010592A (en) * 1994-06-23 2000-01-04 Kimberly-Clark Corporation Method and apparatus for increasing the flow rate of a liquid through an orifice
US5803579A (en) * 1996-06-13 1998-09-08 Gentex Corporation Illuminator assembly incorporating light emitting diodes
JP2001006896A (ja) * 1999-06-17 2001-01-12 Toyota Macs Inc デブリシールド装置及びシールド部の製造方法
US7378673B2 (en) 2005-02-25 2008-05-27 Cymer, Inc. Source material dispenser for EUV light source
US7405416B2 (en) * 2005-02-25 2008-07-29 Cymer, Inc. Method and apparatus for EUV plasma source target delivery
US20060255298A1 (en) * 2005-02-25 2006-11-16 Cymer, Inc. Laser produced plasma EUV light source with pre-pulse
DE10353894B4 (de) * 2003-07-11 2007-02-15 Nft Nanofiltertechnik Gmbh Filterelement und Verfahren zu dessen Herstellung
GB0613001D0 (en) 2006-06-30 2006-08-09 Juma Kassim A A filter
US20110024651A1 (en) * 2007-11-08 2011-02-03 Asml Netherlands B.V. Radiation system and method, and a spectral purity filter
NL1036272A1 (nl) * 2007-12-19 2009-06-22 Asml Netherlands Bv Radiation source, lithographic apparatus and device manufacturing method.
EP2182412A1 (en) * 2008-11-04 2010-05-05 ASML Netherlands B.V. Radiation source and lithographic apparatus
US7781835B2 (en) * 2009-01-12 2010-08-24 Fairchild Semiconductor Corporation Lateral drain MOSFET with improved clamping voltage control
EP2415065A1 (en) 2009-04-03 2012-02-08 Excillum AB Supply of a liquid-metal target in x-ray generation
US8138487B2 (en) 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
WO2011098169A1 (en) 2010-02-09 2011-08-18 Asml Netherlands B.V. Radiation source, lithographic apparatus and device manufacturing method
NL2009020A (en) * 2011-07-22 2013-01-24 Asml Netherlands Bv Radiation source, method of controlling a radiation source, lithographic apparatus, and method for manufacturing a device.
NL2009358A (en) * 2011-09-23 2013-03-26 Asml Netherlands Bv Radiation source.
JP6081711B2 (ja) * 2011-09-23 2017-02-15 エーエスエムエル ネザーランズ ビー.ブイ. 放射源
NL2009372A (en) * 2011-09-28 2013-04-02 Asml Netherlands Bv Methods to control euv exposure dose and euv lithographic methods and apparatus using such methods.

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006093687A1 (en) * 2005-02-25 2006-09-08 Cymer, Inc. Method and apparatus for euv light source target material handling
TW200742503A (en) * 2006-02-21 2007-11-01 Cymer Inc Laser produced plasma EUV light source with pre-pulse
TW200922386A (en) * 2007-08-31 2009-05-16 Cymer Inc Gas management system for a laser-produced-plasma EUV light source
CN102047151A (zh) * 2008-05-30 2011-05-04 Asml荷兰有限公司 辐射系统、辐射收集器、辐射束调节系统、用于辐射系统的光谱纯度滤光片以及用于形成光谱纯度滤光片的方法
US20100200776A1 (en) * 2009-01-29 2010-08-12 Gigaphoton Inc. Extreme ultraviolet light source device

Also Published As

Publication number Publication date
KR20140068138A (ko) 2014-06-05
JP6244485B2 (ja) 2017-12-06
US8890099B2 (en) 2014-11-18
JP2017083883A (ja) 2017-05-18
TW201316839A (zh) 2013-04-16
KR101938707B1 (ko) 2019-01-15
CN103765997A (zh) 2014-04-30
NL2009240A (en) 2013-03-05
JP2014531705A (ja) 2014-11-27
US20140209817A1 (en) 2014-07-31
CN103765997B (zh) 2016-08-10
WO2013029896A1 (en) 2013-03-07

Similar Documents

Publication Publication Date Title
TWI583259B (zh) 用於製造元件之微影裝置之輻射源及方法
US9669334B2 (en) Material supply apparatus for extreme ultraviolet light source having a filter constructed with a plurality of openings fluidly coupled to a plurality of through holes to remove non-target particles from the supply material
JP6085616B2 (ja) 基板ホルダ、リソグラフィ装置、デバイス製造方法及び基板ホルダの製造方法
JP6222796B2 (ja) 放射源
JP6174605B2 (ja) 燃料流生成器、ソースコレクタ装置、及び、リソグラフィ装置
TW201732453A (zh) 用於微影設備之液滴產生器、極紫外線源及微影設備
JP2023010732A (ja) 極端紫外線光源のための供給システム
JP6824985B2 (ja) Euvソースのためのノズル及び液滴発生器
US9192039B2 (en) Radiation source
JP6154459B2 (ja) リソグラフィ装置用の燃料システム、euv源、リソグラフィ装置及び燃料フィルタリング方法
US11754928B2 (en) Lithography exposure method with debris removing mechanism
US12001143B2 (en) Lithography exposure system with debris removing mechanism