DE102015106581A1 - Selbstausrichtende nanodrahtbildung unter verwendung von doppelstrukturierung - Google Patents

Selbstausrichtende nanodrahtbildung unter verwendung von doppelstrukturierung Download PDF

Info

Publication number
DE102015106581A1
DE102015106581A1 DE102015106581.9A DE102015106581A DE102015106581A1 DE 102015106581 A1 DE102015106581 A1 DE 102015106581A1 DE 102015106581 A DE102015106581 A DE 102015106581A DE 102015106581 A1 DE102015106581 A1 DE 102015106581A1
Authority
DE
Germany
Prior art keywords
layer
self
semiconductor substrate
reservation
pitch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102015106581.9A
Other languages
English (en)
Inventor
Ching-Feng Fu
Yu-Chan Yen
Chia-Ying Lee
Chun-Hung Lee
Huan-Just Lin
De-Fang Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015106581A1 publication Critical patent/DE102015106581A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Inorganic Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

Ein Verfahren umfasst das Bilden einer Strukturreservierungsschicht über einem Halbleitersubstrat. Das Halbleitersubstrat weist eine Hauptfläche auf. Ein erster selbstausrichtender Mehrstrukturierungsprozess wird ausgeführt, um eine Strukturreservierungsschicht zu strukturieren. Die verbleibenden Abschnitte der Strukturreservierungsschicht umfassen Strukturreservierungsstreifen, die sich in einer ersten Richtung erstrecken, die zur Hauptfläche des Halbleitersubstrats parallel ist. Ein zweiter selbstausrichtender Mehrstrukturierungsprozess wird ausgeführt, um die Strukturreservierungsschicht in einer zweiten zur Hauptfläche des Halbleitersubstrats parallelen Richtung zu strukturieren. Die verbleibenden Abschnitte der Strukturreservierungsschicht umfassen strukturierte Merkmale. Die strukturierten Merkmale werden als eine Ätzmaske verwendet, um Halbleiternanodrähte durch Ätzen des Halbleitersubstrats zu bilden.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • Doppelstrukturierung ist eine für die Lithografie entwickelte Technologie, um die Merkmaldichte in integrierten Schaltungen zu verbessern. Gewöhnlich wird die Lithografietechnologie verwendet, um Merkmale von integrierten Schaltungen auf Wafern zu bilden. Die Lithografietechnologie bezieht das Anwenden eines Fotolacks und das Definieren von Strukturen im Fotolack ein. Die Strukturen im Fotolack werden erst in einer Lithografiemaske definiert und entweder durch die transparenten Abschnitte oder durch die undurchsichtigen Abschnitte der Lithografiemaske implementiert. Die Strukturen in der Lithografiemaske werden auf den Fotolack durch eine Exposition unter Verwendung der Lithografiemaske übertragen gefolgt von der Entwicklung des Fotolacks. Die Strukturen im strukturierten Fotolack werden dann auf die Herstellmerkmale übertragen, die auf einem Wafer gebildet werden.
  • Mit der zunehmenden Verkleinerung von integrierten Schaltungen stellt der optische Näherungseffekt ein in zunehmendem Maße größeres Problem dar. Wenn zwei getrennte Merkmale zu nahe beieinander sind, kann der optische Näherungseffekt bewirken, dass sich die Merkmale miteinander kurzschließen. Um diese Art von Problem zu beheben, wurde die Doppelstrukturierungstechnologie eingeführt. Die nahe beieinander befindlichen Merkmale werden in zwei Masken eines gleichen Doppelstrukturierungsmaskensatzes getrennt, wobei beide Masken verwendet werden, um Merkmale zu bilden, die unter Verwendung einer einzelnen Maske gebildet worden wären. In jeder der Masken werden die Abstände zwischen den Merkmalen über die Abstände zwischen den Merkmalen in der sonst einzelnen Maske vergrößert und daher der optische Näherungseffekt reduziert oder im Wesentlichen eliminiert.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden aus der folgenden ausführlichen Beschreibung am besten verstanden, wenn sie mit den begleitenden Figuren gelesen werden. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstäblich gezeichnet sind. Tatsächlich können die Dimensionen der verschiedenen Merkmale zur Übersichtlichkeit der Erörterung willkürlich vergrößert oder reduziert sein.
  • Die 1 bis 22B veranschaulichen die perspektivischen Ansichten und Draufsichten von Zwischenstadien in der Bildung von Halbleiternanodrähten gemäß einigen Ausführungsformen;
  • 23 veranschaulicht die Schnittdarstellung eines Transistors gemäß einigen Ausführungsformen, wobei der Transistor Halbleiternanodrähte umfasst;
  • 24 veranschaulicht Nanodrähte, die als mehrere Reihen und Spalten gemäß einigen Ausführungsformen angeordnet sind, wobei die Spalten senkrecht zu den Reihen sind; und
  • 25 veranschaulicht Nanodrähte, die als mehrere Reihen und Spalten gemäß einigen Ausführungsformen angeordnet sind, wobei die Reihen weder senkrecht noch parallel zu den Spalten sind.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele bereit, um unterschiedliche Merkmale der Erfindung zu implementieren. Es werden nachfolgend spezielle Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht begrenzen. Beispielsweise kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen die ersten und zweiten Merkmale in direktem Kontakt gebildet sind, und auch Ausführungsformen, bei denen zusätzliche Funktionen zwischen den ersten und zweiten Merkmalen gebildet sein können, sodass die ersten und zweiten Merkmale nicht in direktem Kontakt sein können. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient zum Zweck der Einfachheit und Übersichtlichkeit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „darunterliegend”, „darunter”, „unter”, „untere”, „darüberliegend”, „über”, „obere” und dergleichen zur Erleichterung der Erörterung hierin verwendet sein, um die Beziehung eines Elements oder Merkmals zu einem bzw. zu anderen Elementen oder Merkmalen wie veranschaulicht in den Figuren zu beschreiben. Die räumlich relativen Begriffe sind dazu beabsichtigt, zusätzlich zu der Ausrichtung, die in den Figuren gezeigt ist, verschiedene Ausrichtungen des Bauelements bei der Verwendung oder beim Betrieb des Bauelements zu umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hier verwendeten räumlichen relativen Beschreiber können desgleichen dementsprechend interpretiert werden.
  • Ein Transistor, der Nanodrähte umfasst, und das Verfahren zum Bilden dessen wird gemäß verschiedenen Ausführungsbeispielen bereitgestellt. Die Zwischenstadien des Bildens des Transistors werden veranschaulicht. Die Unterschiede der Ausführungsformen werden beschrieben. Überall in den verschiedenen Ansichten und veranschaulichenden Ausführungsformen werden gleiche Bezugsnummern verwendet, um gleiche Elemente zu bezeichnen.
  • Die 1 bis 22B veranschaulichen die perspektivischen Ansichten und Draufsichten von Zwischenstadien des Bildens von Halbleiternanodrähten gemäß einigen Ausführungsformen. 1 veranschaulicht Wafer 100, der Substrat 20 und darüberliegende Schichten umfasst. Das Substrat 20 kann aus einem Halbleitermaterial wie Silizium, Silizium-Germanium, einem III–V-Verbindungshalbleiter oder dergleichen gebildet sein. Bei einigen Ausführungsformen ist das Substrat 20 ein kristallines Halbleitersubstrat wie ein kristallines Siliziumsubstrat. Die Pad-Dielektrikumschicht 22 und die Hartmaske 24 sind über dem Substrat 20 gebildet. Gemäß Ausführungsformen ist die Pad-Dielektrikumschicht 22 aus einem Nitrid wie Siliziumnitrid gebildet und die Hartmaske 24 aus einem Oxid wie Siliziumoxid gebildet. Bei alternativen Ausführungsformen ist die Pad-Dielektrikumschicht 22 aus einem Oxid wie Siliziumoxid gebildet und die Hartmaske 24 aus einem Nitrid wie Siliziumnitrid gebildet. Bei noch weiteren Ausführungsformen ist die Pad-Dielektrikumschicht 22 und die Hartmaske 24 aus unterschiedlichen Materialien gebildet, die ausgewählt sind aus den Materialien, die ohne Einschränkung Siliziumkarbid, Siliziumoxinitrid, Siliziumoxid und Siliziumnitrid umfassen, vorausgesetzt, dass die Pad-Dielektrikumschicht 22 und die Hartmaske 24 aus unterschiedlichen Materialien gebildet sind, die eine hohe Ätzselektivität aufweisen.
  • Mehrere Schichten sind über der Hartmaske 24 gebildet. Bei einigen Ausführungsbeispielen umfassen die mehreren Schichten die Nitridschicht 26 über der Hartmaske 24, die amorphe Siliziumschicht 28 über der Nitridschicht 26, die Oxidschicht 30 über der amorphen Siliziumschicht 28 und die amorphe Siliziumschicht 32 über der Oxidschicht 30. Überall in der Beschreibung wird die amorphe Siliziumschicht 32 auch als Strukturreservierungsschicht bezeichnet, da sie verwendet wird, um vorübergehend eine Struktur von Nanodrähten zu bewahren. Die Nitridschicht 26 kann gemäß einigen Ausführungsformen Siliziumnitrid umfassen, während andere Dielektrikumschichten, die sich von dem darüberliegenden Material (wie amorphes Silizium) und dem darunterliegenden Material (wie Oxid) unterscheiden, auch verwendet werden können. Es ist offensichtlich, dass die Schichten, die in den 1 veranschaulicht sind, beispielhaft sind. Bei alternativen Ausführungsformen können unterschiedliche Schichten über dem Substrat 20 gebildet sein und die Schichtzahl kann sich auch von dem unterscheiden, was in 1 gezeigt ist.
  • Gemäß einigen Ausführungsformen ist der Fotolack 34 über der amorphen Siliziumschicht 32 gebildet und dann strukturiert. Bei alternativen Ausführungsformen ist eine Doppelschicht oder eine Dreifachschicht gebildet, anstatt einen einzelnen Fotolack 34 zu bilden. Beispielsweise kann der Fotolack 34 durch eine Dreifachschicht (nicht dargestellt) ersetzt werden, die eine Unterschicht, eine Mittelschicht über der Unterschicht und eine Oberschicht über der Mittelschicht umfasst. Bei einigen Ausführungsformen können die Unterschicht und die Oberschicht aus Fotolacken gebildet sein, die organische Stoffe sind. Die Mittelschicht kann eine Mischung aus Silizium und einem anorganischen Material umfassen. Die Mittelschicht weist relativ zu der Oberschicht und der Unterschicht eine hohe Ätzselektivität auf und daher kann die Oberschicht als die Ätzmaske für das Strukturieren der Mittelschicht verwendet werden und die Mittelschicht kann als die Ätzmaske für das Strukturieren der Unterschicht verwendet werden.
  • Nach dem Strukturieren umfasst der Fotolack 34 mehrere Fotolackstreifen (auf die auch unter Verwendung des Bezugszeichens 34 verwiesen wird), die Längsrichtungen in der X-Richtung aufweisen, welche eine horizontale Richtung ist, die auch zu der Hauptfläche 20A von Substrat 20 parallel ist. 1 veranschaulicht auch die Y-Richtung, die sich in der gleichen Horizontalebene wie die X-Richtung befindet, wobei die X-Richtung und die Y-Richtung zueinander senkrecht sind. Die mehreren Fotolackstreifen 34 sind zueinander parallel und können gleiche Breiten W1 und gleiche Abstände D1 aufweisen. Bei einigen Ausführungsformen liegen die Breite W1 und der Abstand D1 in der Nähe von oder sind gleich der Mindestbreite und dem -abstand, die durch die Technologie zum Entwickeln von Fotolack 34 erlaubt sind. Die Breite W und der Abstand D1 können einander gleich sein, oder sich voneinander unterscheiden.
  • Ein Strukturierungsprozess wird unter Verwendung von Fotolackstreifen 34 als eine Ätzmaske ausgeführt. Als Resultat wird die amorphe Siliziumschicht 32 strukturiert, was in amorphen Siliziumstreifen 32' wie gezeigt in 2 resultiert. Die Siliziumstreifen 32' weisen Längsrichtungen auf, die sich in der X-Richtung erstrecken. Amorphe Siliziumstreifen 32' agieren als Dorne in den nachfolgenden Prozessen. Die Fotolackstreifen 34 werden entweder während des Strukturierens der amorphen Siliziumschicht 32 verbraucht oder nach dem Strukturieren der amorphen Siliziumschicht 32 entfernt.
  • Dann wird wie gezeigt in 3 die Abstandsschicht 48 unter Verwendung eines konformen Abscheidungsverfahrens abgeschieden. Bei einigen Ausführungsformen wird die Abstandsschicht 48 unter Verwendung von Atomlagenabscheidung (ALD) abgeschieden, was die Abstandsschicht 48 als einen hochwertigen Film bildet, der eine niedrige Ätzrate aufweist. Die ALD kann unter Verwendung von Dichlorsilan (DCS) und Ammoniak als Vorläufer erfolgen und die resultierende Abstandsschicht 48 umfasst Siliziumnitrid oder siliziumreiches Nitrid. Bei alternativen Ausführungsformen können andere konforme Abscheidungsverfahren wie chemische Niederdruckgasphasenabscheidung (LPCVD) ausgeführt werden. Die Dicke Ti der Abstandsschicht 48 ist in einigen Ausführungsbeispielen kleiner als eine Hälfte von Abstand D1 und kann in der Nähe von ungefähr einem Drittel davon sein.
  • Unter Bezugnahme auf 4 sind die horizontalen Abschnitte der Abstandsschicht 48 wie in 3 beispielsweise durch einen anisotropischen Ätzschritt entfernt. Die vertikalen Abschnitte der Abstandsschicht 48 sind belassen und werden im Folgenden als die Abstandselemente 48' bezeichnet. Die Abstandselemente 48' weisen auch Längsrichtungen in der X-Richtung auf. Dann werden amorphe Siliziumstreifen 32' (3) in einem Ätzschritt entfernt und die Abstandselemente 48' verbleiben.
  • Dann wird unter Bezugnahme auf 5 die Oxidschicht 30 (4) unter Verwendung der Abstandselemente 48' als eine Ätzmaske geätzt, was in den Oxidstreifen 30' resultiert. Während des Ätzprozesses werden die Abstandselemente 48' teilweise oder vollständig verbraucht. Dann werden die Oxidstreifen 30' (und die Abstandselemente 48', wenn sie noch nicht vollständig verbraucht sind) als eine Ätzmaske verwendet, um die darunterliegende amorphe Siliziumschicht 28 zu ätzen, und die resultierende Struktur ist in 6 gezeigt. Die verbleibenden Abschnitte der amorphen Siliziumschicht 28 umfassen mehrere amorphe Siliziumstreifen 28', die Längsrichtungen in der X-Richtung aufweisen. Bei einigen Ausführungsformen, verbleiben nach der Bildung der Siliziumstreifen 28' an den Oxidstreifen 30' Abschnitte über den amorphen Siliziumstreifen 28', um sicherzustellen, dass die Dicke der amorphen Siliziumstreifen 28' während ihres Strukturierens nicht reduziert wird. Bei alternativen Ausführungsformen werden die Oxidstreifen 30' nach dem Bilden von amorphen Siliziumstreifen 28' vollständig verbraucht. Bei diesen Ausführungsformen ist die Dicke der amorphen Siliziumstreifen 28' jedoch im Wesentlichen nicht reduziert. Anderweitig können die Nanodrähte 28' wie gezeigt in 14A nicht genug Höhe für das Strukturieren des Substrates 20 aufweisen.
  • Die Schritte, die in den 1 bis 4 veranschaulicht sind, werden als erster selbstausrichtender Mehrstrukturierungsprozess bezeichnet, da die Strukturen der Abstandselemente 48' zu den Strukturen der Fotolackstreifen 34 (1) selbstausrichtend sind und die Anzahl an Abstandselementen 48' verglichen mit der Anzahl an Fotolackstreifen 34 verdoppelt ist. Der Mehrstrukturierungsprozess kann ein Doppel strukturierungsprozess sein (wie veranschaulicht in den Ausführungsbeispielen). Bei alternativen Ausführungsformen kann der Mehrstrukturierungsprozess ein Dreifachstrukturierungsprozess, ein Vierfachstrukturierungsprozess usw. sein.
  • Die 7 bis 13 veranschaulichen einen zweiten selbstausrichtenden Mehrstrukturierungsprozess, um weiter amorphe Siliziumstreifen 28' als Nanodrähte zu strukturieren. Unter Bezugnahme auf 7 wird Füllmaterial 50 gebildet, um die Räume zwischen amorphen Siliziumstreifen 28' zu füllen. Die obere Fläche des Füllmaterials 50 ist höher als die obere Fläche der amorphen Siliziumstreifen 28' und kann höher als oder niveaugleich mit den oberen Flächen der Oxidstreifen 30' sein. Bei einigen Ausführungsbeispielen umfasst das Füllmaterial 50 ein fließfähiges Oxid, das unter Verwendung von fließfähiger chemischer Gasphasenabscheidung (FCVD) gebildet werden kann. Das Füllmaterial 50 kann auch Siliziumoxid sein. Bei alternativen Ausführungsformen kann Rotationsbeschichtung verwendet werden, um das Füllmaterial 50 zu bilden. Die obere Fläche des Füllmaterials 50 wird beispielsweise, durch Übernehmen eines chemisch mechanischen Polierens (CMP) angeglichen.
  • Dann wird wie gezeigt in 8 die amorphe Siliziumschicht 51 über dem Füllmaterial 50 gebildet, gefolgt von der Bildung von Fotolackstreifen 52. Die Fotolackstreifen 52 weisen eine Längsrichtung auf. Bei einigen Ausführungsformen ist die Längsrichtung der Fotolackstreifen 52 in der Y-Richtung, die zur X-Richtung senkrecht ist. Die Y-Richtung ist eine horizontale Richtung, die zur Hauptfläche 20A des Substrats 20 parallel ist. Bei alternativen Ausführungsformen ist die Längsrichtung der Fotolackstreifen 52 in Richtung C, die weder zur X-Richtung noch zur Y-Richtung parallel noch senkrecht ist. Die C-Richtung und die X-Richtung bilden den Winkel θ zwischen und ungleich 0 Grad und 90 Grad.
  • Die mehreren Fotolackstreifen 52 sind zueinander parallel und können gleiche Breiten W2 und gleiche Abstände D2 aufweisen. Bei einigen Ausführungsformen ist die Breite W2 und der Abstand D2 nahe oder gleich der Mindestbreite und dem -abstand, der von der Technologie zum Entwickeln von Fotolackstreifen 52 erlaubt ist. Die Breite W2 und der Abstand D2 können einander gleich sein, oder sich voneinander unterscheiden. Des Weiteren können die Breiten W1 (1) und W2 (8) gleich (oder unterschiedlich) sein und die Abstände D1 (1) und D2 (8) können gleich (oder unterschiedlich) sein.
  • Dann wird die amorphe Siliziumschicht 51 unter Verwendung von Fotolackstreifen 52 als eine Ätzmaske strukturiert. Amorphe Siliziumstreifen 51' werden somit wie gezeigt in 9 gebildet. Die Strukturierung stoppt an dem Füllmaterial 50 und den Oxidstreifen 30'. Die Fotolackstreifen 52 werden mindestens teilweise während des Strukturierens verbraucht. Die nachfolgenden Schritte, die in den 10 und 11 gezeigt sind, sind ähnlich dem, was in den 3 und 4 entsprechend gezeigt ist. In 10 ist die Abstandsschicht 58 auf den oberen Flächen und den Seitenwänden der amorphen Siliziumstreifen 51' gebildet. Die Abstandsschicht 58 kann im Wesentlichen die Gleiche wie die Abstandsschicht 48 sein, wie gezeigt in 3.
  • Dann werden die horizontalen Abschnitte der Abstandsschicht 58 entfernt, was die Abstandselemente 58' wie gezeigt in 11 hinterlässt. Die Abstandselemente 58' weisen Längsrichtungen in der C-Richtung oder der Y-Richtung auf. Die amorphen Siliziumstreifen 51' (10) werden auch entfernt und daher im Folgenden als Dornen bezeichnet.
  • 12 veranschaulicht das Strukturieren der Oxidstreifen 30' und des Füllmaterials 50 unter Verwendung der Abstandselemente 58' als eine Ätzmaske. Das Strukturieren stoppt an der Schicht 26, die als eine Ätzstoppschicht verwendet wird. Die amorphen Siliziumstreifen 28' weisen einige Abschnitte auf, die durch die verbleibenden Abschnitte der Oxidstreifen 30' und des Füllmaterials 50 abgedeckt sind, und einige andere Abschnitte, die durch die verbleibenden Abschnitte der Oxidstreifen 30' und des Füllmaterials 50 nicht abgedeckt sind. Die Abstandselemente 58' werden mindestens teilweise und möglicherweise vollständig während des Strukturierens der Oxidstreifen 30' und des Füllmaterials 50 verbraucht.
  • Dann werden wie gezeigt in 13 die verbleibenden Abschnitte der Oxidstreifen 30' und des Füllmaterials 50 als eine Ätzmaske verwendet, um amorphe Siliziumstreifen 28' zu ätzen. Als Resultat werden mehrere Streifen gebildet, die sich in der C-Richtung oder der Y-Richtung erstrecken, wobei jeder der Streifen die verbleibenden Abschnitte der Oxidstreifen 30', der amorphen Siliziumstreifen 28' und des Füllmaterials 50 umfasst.
  • Wie gezeigt in den 6 und 13 wurde die amorphe Siliziumschicht 28 (1) zweimal in zwei selbstausrichtenden Doppelstrukturierungsschritten strukturiert, einmal in der X-Richtung (6) und einmal in der C-Richtung oder der Y-Richtung (13). Dementsprechend bilden die verbleibenden Abschnitte der amorphen Siliziumstreifen 28' mehrere Nanodrähte. Die verbleibenden Abschnitte der Oxidstreifen 30' und des Füllmaterials 50 werden dann entfernt. Die 14A und 14B veranschaulichen eine perspektivische Ansicht und eine Draufsicht, welche die resultierenden Nanodrähte 28'' veranschaulicht, nachdem die verbleibenden Abschnitte der Oxidstreifen 30' und des Füllmaterials 50 entfernt wurden.
  • Die 15A bis 16B veranschaulichen das Ausdünnen und Abrunden der Nanodrähte 28'' gemäß einigen Ausführungsbeispielen. Unter Bezugnahme auf die 15A (eine perspektivische Ansicht) und 15B (eine Draufsicht) wird eine Oxidation ausgeführt, um die äußeren Abschnitte der Nanodrähte 28'' zu oxidieren. Dementsprechend werden Oxidschichten 60 gebildet, um die verbleibenden inneren Abschnitte der Nanodrähte 28'' zu umgeben, und auf der oberen Fläche davon. Da die Oxidationsgeschwindigkeit an den Ecken höher ist als die Oxidationsgeschwindigkeit auf flachen Oberflächen der Nanodrähte 28'' sind die resultierenden Nanodrähte 28'' mehr gerundet. Die 16A und 16B veranschaulichen eine entsprechende perspektivische Ansicht und eine Draufsicht von den resultierenden Nanodrähten 28'' nach dem Entfernen der Oxidschichten 60. Bei alternativen Ausführungsformen werden die Schritte in den 15A bis 16B übersprungen.
  • Die 17A bis 18B veranschaulichen das Entfernen von einigen unerwünschten Nanodrähten 28'' gemäß einigen Ausführungsformen. Beispielsweise wird in den 17A und 17B, die entsprechend eine perspektivische Ansicht und eine Draufsicht veranschaulichen, der Fotolack 62 gebildet, um einige von den Nanodrähten 28'' abzudecken, während einige andere Nanodrähte 28'' nicht abgedeckt hinterlassen werden. Die nicht abgedeckten Nanodrähte 28'' werden dann geätzt, gefolgt von dem Entfernen des Fotolacks 62. Die resultierende Struktur ist in den 18A und 18B gezeigt, die entsprechend eine perspektivische Ansicht und eine Draufsicht veranschaulichen.
  • Unter Bezugnahme auf die 19A und 19B, die entsprechend eine perspektivische Ansicht und eine Draufsicht veranschaulichen, werden die Nanodrähte 28'' als eine Ätzmaske verwendet, um die darunterliegende Nitridschicht 26 zu ätzen, sodass die Nanodrähte 26' gebildet werden. Dann wird wie jeweils in den 20A und 20B gezeigt ein großer Fotolack 64 über der Hartmaske 24 gebildet. Der große Fotolack 64 wird verwendet, um Strukturen zu bilden, die größer sind als die Nanodrähte 28'', da die Nanodrähte 26' und 28'' eine gleichförmige Größe aufweisen können.
  • In einem nachfolgenden Schritt werden die Strukturen der Nanodrähte 28'', Nanodrähte 26' und des Fotolacks 64 durch Ätzen in die Hartmaske 24 übertragen. Die resultierende Struktur ist in den 21A und 21B gezeigt, die entsprechend eine perspektivische Ansicht und eine Draufsicht veranschaulichen. Die Nanodrähte 24' werden daher gebildet, um die verbleibenden Abschnitte der Hartmaske 24 einzuschließen.
  • Dann werden wie gezeigt in den 22A und 22B, die entsprechend eine perspektivische Ansicht und eine Draufsicht veranschaulichen, die Pad-Dielektrikumschicht 22 und das Substrat 20 unter Verwendung der darüberliegenden strukturierten Merkmale wie die Nanodrähte 24', 26' und 28'' wie in den 21A und 21B geätzt. Als Resultat werden die Nanostrukturen 22' gebildet. Des Weiteren bilden Abschnitte des Substrats 20, die durch die Nanodrähte 24' und Nanodrähte 26' geschützt sind, die Halbleiternanodrähte 20'. Die Halbleiternanodrähte 20' bilden vertikale Nanodrähte mit Längsrichtungen, die zu der hauptsächlichen oberen Fläche und der Unterseite des Substrates 20 senkrecht sind. Die Höhe H1 der Halbleiternanodrähte 20' wird durch die beabsichtigte Verwendung der Halbleiternanodrähte 20' bestimmt. Zu dem Zeitpunkt, zu dem die Halbleiternanodrähte 20' gebildet werden, wird aufgrund des Bildens der großen Fotolackstruktur 64 auch die Halbleitersäule 67 gebildet, die ein Abschnitt des geätzten Halbleitersubstrats 20 ist.
  • In nachfolgenden Schritten werden die verbleibenden Abschnitte der Nanodrähte 24' und der Nanodrähte 26' in Ätzschritten entfernt. Die Halbleiternanodrähte 20' können dann verwendet werden, um integrierte Schaltungen wie Transistoren zu bilden. Beispielsweise veranschaulicht 23 eine Schnittdarstellung des Transistors 68, der basierend auf den Nanodrähten 20' gebildet ist. Gemäß einigen Ausführungsbeispielen umfasst der Transistor 68 mehrere Nanodrähte 20', von denen jeder die Source/Drain-Zonen 70 und 72 und die Kanalzone 74 zwischen den Source/Drain-Zonen 70 und 72 umfasst. Die mehreren Source/Drain-Zonen 70 umfassen die oberen Abschnitte der Nanodrähte 20' und sind elektrisch durch die leitende Schicht 76 verbunden, die weiter mit dem Source/Drain-Kontaktstecker 78 verbunden ist. Die mehreren Source/Drain-Zonen 72, welche die unteren Abschnitte der Nanodrähte 20' umfassen, sind durch die leitende Schicht 80 verbunden, die weiter mit dem Source/Drain-Kontaktstecker 82 verbunden ist. Mehrere Gatedielektrika 84 werden gebildet, sodass sie die Kanalzonen 74 umgeben, welche die Mittelabschnitte der Nanodrähte 20' sind. Die leitenden Schichten 86 werden gebildet, um die mehreren Gatedielektrika 84 zu umgeben. Die leitenden Schichten 86 agieren als die Gateelektroden des Transistors 68. Die leitenden Schichten 86 sind mit der leitenden Schicht 87 verbunden, die weiter mit dem Gatekontaktstecker 88 verbunden ist. Dementsprechend umfasst der Transistor 68 mehrere Subtransistoren, von denen jeder basierend auf einem der Nanodrähte 20' gebildet ist, wobei die mehreren Subtransistoren parallelgeschaltet sind.
  • 24 veranschaulicht eine Draufsicht des Transistors 68 gemäß einigen Ausführungsformen. Der Transistor 68 umfasst mehrere Halbleiternanodrähte 20', welche die Subtransistoren des Transistors 68 bilden. Die Kontaktstecker 78, 82 und 88 sind ebenfalls als ein Beispiel veranschaulicht und sind mit den Source- oder Drain-Zonen (bezeichnet als die Zonen S/D und D/S) verbunden. In 24 ist die C-Richtung (siehe auch 9) zur Y-Richtung parallel. Die Halbleiternanodrähte 20' sind mit den Linien 90 und 92 ausgerichtet, wobei die Linien 90 zu den Linien 92 senkrecht sind. Aufgrund des selbstausrichtenden Mehrstrukturierungsprozesses zum Bilden von Halbleiterdrähten sind die Halbleiternanodrähte 20' mit mehreren Reihen 90 und Spalten 92 ausgerichtet. Die Teilungen der Reihen sind als die Teilungen P1 und P2 veranschaulicht. Eine der Teilungen P1 und P2 wird durch eines von der Breite W1 und dem Abstand D1 (1) und die Andere der Teilungen P1 und P2 durch das Andere von der Breite W1 und dem Abstand D1 bestimmt. Dementsprechend sind wie gezeigt in 24 die Teilungen P1 und P2 in einem abwechselnden Layout angeordnet.
  • Ähnlich sind die Teilungen der Spalten der Halbleiternanodrähte 20' als die Teilungen P3 und P4 veranschaulicht. Eine der Teilungen P3 und P4 wird durch eines von der Breite W2 und dem Abstand D2 (8) bestimmt und die Andere der Teilungen P3 und P4 wird durch das Andere von der Breite W2 und dem Abstand D2 bestimmt. Dementsprechend sind die Teilungen P3 und P4 in einem abwechselnden Layout angeordnet.
  • 25 veranschaulicht eine Draufsicht des Transistors 68 gemäß alternativen Ausführungsformen. Diese Ausführungsformen sind den Ausführungsformen in 24 ähnlich, wobei die Halbleiternanodrähte 20' mit den Linien 90 und 92 ausgerichtet sind. Die Halbleiternanodrähte 20', die mit den Linien 90 ausgerichtet sind, bilden Reihen, und die Halbleiternanodrähte 20', die mit den Linien 92 ausgerichtet sind, bilden Spalten. Die Linien (Reihen) 90 und (Spalten) 92 sind jedoch zueinander weder senkrecht noch zueinander parallel. Die Linien 90 verlaufen in der X-Richtung und die Linien 92 verlaufen in der C-Richtung. Die X-Richtung und die C-Richtung bilden den Winkel θ, der zwischen null Grad und 90 Grad liegt und diese nicht umfasst. Erneut sind die Teilungen P1 und P2 wechselseitig zugewiesen und die Teilungen P3 und P4 wechselseitig zugewiesen.
  • Die Ausführungsformen der vorliegenden Offenbarung weisen einige vorteilhafte Merkmale auf. Durch Verwenden des selbstausrichtenden Mehrstrukturierungsprozesses in zwei Richtungen, um die Strukturen von Nanodrähten zu bilden, können die Größen der Halbleiternanodrähte auf kleiner als die Begrenzung des Lithografieprozesses reduziert werden. Das Risiko einer Überlagerungsfehlausrichtung zwischen Strukturen ist niedrig.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Bilden einer Strukturreservierungsschicht über einem Halbleitersubstrat. Das Halbleitersubstrat weist eine Hauptfläche auf. Ein erster selbstausrichtender Mehrstrukturierungsprozess wird ausgeführt, um eine Strukturreservierungsschicht zu strukturieren. Die verbleibenden Abschnitte der Strukturreservierungsschicht umfassen Strukturreservierungsstreifen, die sich in einer ersten Richtung erstrecken, die zur Hauptfläche des Halbleitersubstrats parallel ist. Ein zweiter selbstausrichtender Mehrstrukturierungsprozess wird ausgeführt, um die Strukturreservierungsschicht in einer zweiten zur Hauptfläche des Halbleitersubstrats parallelen Richtung zu strukturieren. Die verbleibenden Abschnitte der Strukturreservierungsschicht umfassen strukturierte Merkmale. Die strukturierten Merkmale werden als eine Ätzmaske verwendet, um Halbleiternanodrähte durch Ätzen des Halbleitersubstrats zu bilden.
  • Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Bilden einer Strukturreservierungsschicht über einem Halbleitersubstrat, das Ätzen der Strukturreservierungsschicht unter Verwendung eines ersten selbstausrichtenden Mehrstrukturierungsprozesses, um Strukturreservierungsstreifen zu bilden, das Bilden eines Füllmaterials, um Abstandselemente zwischen den Strukturreservierungsstreifen zu füllen, und das Ätzen der Strukturreservierungsstreifen unter Verwendung eines zweiten selbstausrichtenden Mehrstrukturierungsprozesses. Die verbleibenden Abschnitte der Strukturreservierungsstreifen bilden strukturierte Merkmale. Jeder von dem ersten selbstausrichtenden Mehrstrukturierungsprozess und dem zweiten selbstausrichtenden Mehrstrukturierungsprozess umfasst das Bilden von Dornstreifen, wobei die Dornstreifen des ersten selbstausrichtenden Mehrstrukturierungsprozesses eine erste Längsrichtung aufweisen, die sich von einer zweiten Längsrichtung der Dornstreifen des zweiten selbstausrichtenden Mehrstrukturierungsprozesses unterscheidet. Jeder von dem ersten selbstausrichtenden Mehrstrukturierungsprozess und dem zweiten selbstausrichtenden Mehrstrukturierungsprozess umfasst weiter das Bilden von Abstandselementen an Seitenwänden der Dornstreifen und das Entfernen der Dornstreifen. Die Dornstreifen werden als eine Ätzmaske verwendet, um die Strukturreservierungsschicht im ersten selbstausrichtenden Mehrstrukturierungsprozess und dem zweiten selbstausrichtenden Mehrstrukturierungsprozess zu ätzen. Die strukturierten Merkmale werden als eine Ätzmaske verwendet, um Halbleiternanodrähte durch Ätzen des Halbleitersubstrats zu bilden.
  • Gemäß noch weiteren alternativen Ausführungsformen der vorliegenden Offenbarung umfasst eine integrierte Schaltungsstruktur ein Halbleitersubstrat und mehrere Halbleiternanodrähte über dem Halbleitersubstrat. Die mehreren Halbleiternanodrähte sind als mehrere Reihen und mehrere Spalten angeordnet. Die mehreren Reihen weisen eine erste Teilung und eine zweite Teilung auf, die sich von der ersten Teilung unterscheidet, wobei die erste Teilung und die zweite Teilung in einer abwechselnden Struktur zugewiesen sind. Die mehreren Spalten weisen eine dritte Teilung und eine vierte Teilung auf, die sich von der dritten Teilung unterscheidet, wobei die dritte Teilung und die vierte Teilung in einer abwechselnden Struktur zugewiesen sind.
  • Das Vorhergehende beschreibt Merkmale von mehreren Ausführungsformen, sodass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann sollte offensichtlich sein, dass er ohne Weiteres die vorliegende Offenbarung als eine Basis verwenden kann, um andere Prozesse und Strukturen zu konzipieren oder zu modifizieren, um die gleichen Zwecke auszuführen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu erreichen. Der Fachmann sollte auch realisieren, dass solche äquivalente Aufbauten nicht vom Sinn und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hierin vornehmen kann, ohne vom Sinn und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren, umfassend: Bilden einer Strukturreservierungsschicht über einem Halbleitersubstrat, wobei das Halbleitersubstrat eine Hauptfläche umfasst; Ausführen eines ersten selbstausrichtenden Mehrstrukturierungsprozesses zum Strukturieren einer Strukturreservierungsschicht, wobei verbleibende Abschnitte der Strukturreservierungsschicht Strukturreservierungsstreifen umfassen, die sich in einer ersten Richtung erstrecken, die parallel zur Hauptfläche des Halbleitersubstrats ist; Ausführen eines zweiten selbstausrichtenden Mehrstrukturierungsprozesses zum Strukturieren der Strukturreservierungsschicht in einer zweiten zur Hauptfläche des Halbleitersubstrats parallelen Richtung, wobei verbleibende Abschnitte der Strukturreservierungsschicht strukturierte Merkmale umfassen; und Verwenden der strukturierten Merkmale als eine Ätzmaske, um Halbleiternanodrähte durch Ätzen des Halbleitersubstrats zu bilden.
  2. Verfahren nach Anspruch 1, wobei jeder von dem ersten selbstausrichtenden Mehrstrukturierungsprozess und dem zweiten selbstausrichtenden Mehrstrukturierungsprozess umfasst: Bilden einer Dornschicht; Ätzen der Dornschicht, um Dornstreifen zu bilden, wobei die Dornstreifen des ersten selbstausrichtenden Mehrstrukturierungsprozesses Längsrichtungen in der ersten Richtung aufweisen; Bilden einer Abstandsschicht über der Dornschicht; Entfernen horizontaler Abschnitte der Abstandsschicht, wobei vertikale Abschnitte der Dornschicht Abstandselemente bilden; Entfernen der Dornstreifen; und Ätzen der Strukturreservierungsschicht unter Verwendung der Dornstreifen als eine Ätzmaske.
  3. Verfahren nach Anspruch 2 weiter umfassend das Bilden einer Oxidschicht über der Strukturreservierungsschicht, wobei während des ersten selbstausrichtenden Mehrstrukturierungsprozesses die Oxidschicht strukturiert wird.
  4. Verfahren nach Anspruch 3, wobei nach dem ersten selbstausrichtenden Mehrstrukturierungsprozess die Oxidschicht verbleibende Oxidstreifen über den Strukturreservierungsstreifen umfasst, und wobei das Verfahren weiter das Füllen von Räumen zwischen den verbleibenden Oxidstreifen mit einem Füllmaterial umfasst, wobei das Füllmaterial im zweiten selbstausrichtenden Mehrstrukturierungsprozess strukturiert wird.
  5. Verfahren nach irgendeinem der vorhergehenden Ansprüche, wobei die erste Richtung zur zweiten Richtung senkrecht ist.
  6. Verfahren nach irgendeinem der vorhergehenden Ansprüche, wobei die erste Richtung zur zweiten Richtung weder senkrecht noch parallel ist.
  7. Verfahren nach irgendeinem der vorhergehenden Ansprüche, weiter umfassend, nach dem ersten selbstausrichtenden Mehrstrukturierungsprozess und dem zweiten selbstausrichtenden Mehrstrukturierungsprozess, das Bilden eines Fotolacks über dem Halbleitersubstrat, wobei beim Ätzen des Halbleitersubstrats eine Struktur des Fotolacks in das Halbleitersubstrat übertragen wird.
  8. Verfahren, umfassend: Bilden einer Strukturreservierungsschicht über einem Halbleitersubstrat; Ätzen der Strukturreservierungsschicht unter Verwendung eines ersten selbstausrichtenden Mehrstrukturierungsprozesses, um Strukturreservierungsstreifen zu bilden; Bilden eines Füllmaterials, um Abstandselemente zwischen den Strukturreservierungsstreifen zu füllen; Ätzen der Strukturreservierungsstreifen unter Verwendung eines zweiten selbstausrichtenden Mehrstrukturierungsprozesses, wobei verbleibende Abschnitte der Strukturreservierungsstreifen strukturierte Merkmale bilden, und wobei jeder von dem ersten selbstausrichtenden Mehrstrukturierungsprozess und dem zweiten selbstausrichtenden Mehrstrukturierungsprozess umfasst: Bilden von Dornstreifen, wobei die Dornstreifen des ersten selbstausrichtenden Mehrstrukturierungsprozesses eine erste Längsrichtung aufweisen, die sich von einer zweiten Längsrichtung der Dornstreifen des zweiten selbstausrichtenden Mehrstrukturierungsprozesses unterscheidet; Bilden von Abstandselementen an Seitenwänden der Dornstreifen; und Entfernen der Dornstreifen, wobei die Dornstreifen als eine Ätzmaske verwendet werden, um die Strukturreservierungsschicht im ersten selbstausrichtenden Mehrstrukturierungsprozess und dem zweiten selbstausrichtenden Mehrstrukturierungsprozess zu ätzen; und Verwenden der strukturierten Merkmale als eine Ätzmaske, um Halbleiternanodrähte durch Ätzen des Halbleitersubstrats zu bilden.
  9. Verfahren nach Anspruch 8, wobei das Bilden der Dornstreifen umfasst: Bilden einer amorphen Siliziumschicht; und Strukturieren der amorphen Siliziumschicht.
  10. Verfahren nach Anspruch 8 oder 9, weiter umfassend: Bilden einer Pad-Dielektrikumschicht über dem Halbleitersubstrat; Bilden einer Hartmaske über der Pad-Dielektrikumschicht, wobei die Hartmaske sich unter der Strukturreservierungsschicht befindet; und Strukturieren der Hartmaske und der Pad-Dielektrikumschicht unter Verwendung der strukturierten Merkmale als die Ätzmaske.
  11. Verfahren nach irgendeinem der Ansprüche 8 bis 10, wobei die erste Längsrichtung zur zweiten Längsrichtung senkrecht ist.
  12. Verfahren nach irgendeinem der Ansprüche 8 bis 10, wobei die erste Längsrichtung weder senkrecht noch parallel zur zweiten Längsrichtung ist.
  13. Verfahren nach irgendeinem der Ansprüche 8 bis 12, weiter umfassend das Bilden eines Transistors, wobei ein Mittelabschnitt von einem der Halbleiternanodrähte eine Kanalzone des Transistors bildet, und wobei ein oberer Abschnitt und ein unterer Abschnitt von dem einen von den Halbleiternanodrähten Source- und Drain-Zonen des Transistors bilden.
  14. Integrierte Schaltungsstruktur, umfassend: ein Halbleitersubstrat; und mehrere Halbleiternanodrähte über dem Halbleitersubstrat, wobei die mehreren Halbleiternanodrähte als mehrere Reihen und mehrere Spalten angeordnet sind, und wobei: die mehreren Reihen eine erste Teilung und eine zweite Teilung aufweisen, die sich von der ersten Teilung unterscheidet, wobei die erste Teilung und die zweite Teilung in einer abwechselnden Struktur zugewiesen sind; und die mehreren Spalten eine dritte Teilung und eine vierte Teilung aufweisen, wobei die dritte Teilung und die vierte Teilung in einer abwechselnden Struktur zugewiesen sind.
  15. Integrierte Schaltungsstruktur nach Anspruch 14, wobei die vierte Teilung sich von der dritten Teilung unterscheidet.
  16. Integrierte Schaltungsstruktur nach Anspruch 14 oder 15, wobei die erste Teilung gleich der dritten Teilung ist, und wobei die zweite Teilung gleich der vierten Teilung ist.
  17. Integrierte Schaltungsstruktur nach irgendeinem der Ansprüche 14 bis 16, umfassend einen Transistor, wobei ein Mittelabschnitt von einem der Halbleiternanodrähte eine Kanalzone des Transistors bildet, und wobei ein oberer Abschnitt und ein unterer Abschnitt von dem einen von den Halbleiternanodrähten Source- und Drain-Zonen des Transistors bilden.
  18. Integrierte Schaltungsstruktur nach irgendeinem der Ansprüche 14 bis 17, weiter umfassend mehrere mit dem Transistor identische Transistoren, wobei die Source-Zonen der mehreren Transistoren verbunden sind, die Drain-Zonen der mehreren Transistoren verbunden sind und die Gateelektroden der mehreren Transistoren verbunden sind.
  19. Integrierte Schaltungsstruktur nach irgendeinem der Ansprüche 14 bis 18, wobei die Halbleiternanodrähte Längsrichtungen aufweisen, die zu einer hauptsächlichen oberen Fläche des Halbleitersubstrats senkrecht sind.
  20. Integrierte Schaltungsstruktur nach irgendeinem der Ansprüche 14 bis 19, wobei die mehreren Reihen weder senkrecht noch parallel zu den mehreren Spalten sind.
DE102015106581.9A 2014-05-28 2015-04-29 Selbstausrichtende nanodrahtbildung unter verwendung von doppelstrukturierung Pending DE102015106581A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/289,167 US9633907B2 (en) 2014-05-28 2014-05-28 Self-aligned nanowire formation using double patterning
US14/289,167 2014-05-28

Publications (1)

Publication Number Publication Date
DE102015106581A1 true DE102015106581A1 (de) 2015-12-03

Family

ID=54481570

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015106581.9A Pending DE102015106581A1 (de) 2014-05-28 2015-04-29 Selbstausrichtende nanodrahtbildung unter verwendung von doppelstrukturierung

Country Status (5)

Country Link
US (4) US9633907B2 (de)
KR (1) KR101730709B1 (de)
CN (1) CN105140100B (de)
DE (1) DE102015106581A1 (de)
TW (1) TWI607957B (de)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105990414A (zh) * 2015-02-06 2016-10-05 联华电子股份有限公司 半导体结构及其制作方法
WO2017044107A1 (en) * 2015-09-10 2017-03-16 Intel Corporation Semiconductor nanowire device having cavity spacer and method of fabricating cavity spacer for semiconductor nanowire device
US9805935B2 (en) * 2015-12-31 2017-10-31 International Business Machines Corporation Bottom source/drain silicidation for vertical field-effect transistor (FET)
WO2017145906A1 (ja) * 2016-02-25 2017-08-31 株式会社ソシオネクスト 半導体集積回路装置
CN107424930B (zh) 2016-05-23 2021-11-02 联华电子股份有限公司 半导体结构的制作方法
US10453686B2 (en) * 2016-08-31 2019-10-22 Tokyo Electron Limited In-situ spacer reshaping for self-aligned multi-patterning methods and systems
DE102017127686A1 (de) * 2017-03-15 2018-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Verfahren zu deren Herstellung
US10157776B2 (en) 2017-03-15 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US10347506B2 (en) * 2017-07-31 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method using mask portions to etch semiconductor substrate
CN111316444A (zh) * 2017-08-21 2020-06-19 英特尔公司 自对准纳米线
CN109494249B (zh) 2017-09-11 2022-05-24 联华电子股份有限公司 半导体元件及其制造方法
CN110021518B (zh) * 2018-01-09 2020-12-22 联华电子股份有限公司 自对准双重图案方法
CN110707004B (zh) * 2018-10-11 2022-02-18 联华电子股份有限公司 半导体装置及其形成方法
EP3891801A4 (de) * 2018-12-04 2022-08-24 Sunrise Memory Corporation Verfahren zur herstellung von mehrschichtigen horizontalen nor-dünnfilmspeicherstrings
CN112768352B (zh) * 2019-11-01 2023-12-19 华邦电子股份有限公司 图案化的方法
US11120992B2 (en) * 2019-11-11 2021-09-14 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Method of fabricating semiconductor device
US11195995B2 (en) 2020-01-06 2021-12-07 International Business Machines Corporation Back-end-of-line compatible processing for forming an array of pillars
CN111252730A (zh) * 2020-01-22 2020-06-09 成都工业学院 一种非对称半导体结构的制备方法
CN113173553A (zh) * 2021-03-12 2021-07-27 中国科学院微电子研究所 一种纳米网的制备方法
CN113173555B (zh) * 2021-03-12 2022-10-04 中国科学院微电子研究所 一种纳米线mim阵列器件及制备方法
CN113173557A (zh) * 2021-03-12 2021-07-27 中国科学院微电子研究所 一种垂直纳米线阵列的制备方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6943405B2 (en) * 2003-07-01 2005-09-13 International Business Machines Corporation Integrated circuit having pairs of parallel complementary FinFETs
TWI283066B (en) * 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
KR100833201B1 (ko) * 2007-06-15 2008-05-28 삼성전자주식회사 콘택 플러그 및 배선 라인 일체형 구조의 미세 패턴을가지는 반도체 소자 및 그 제조 방법
US20070077349A1 (en) * 2005-09-30 2007-04-05 Eastman Kodak Company Patterning OLED device electrodes and optical material
US20070077379A1 (en) * 2005-09-30 2007-04-05 Magna International Inc. Water-assist injection molded structural members
KR101348280B1 (ko) 2007-07-06 2014-01-10 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
CN101595565B (zh) * 2006-09-18 2013-03-27 昆南诺股份有限公司 在垂直半导体结构上制造精密垂直和水平层的方法
TWI398964B (zh) * 2009-04-03 2013-06-11 Univ Nat Taiwan 有機無機發光元件及其製作方法
US8872154B2 (en) * 2009-04-06 2014-10-28 Purdue Research Foundation Field effect transistor fabrication from carbon nanotubes
US8324602B2 (en) * 2009-04-14 2012-12-04 Intersil Americas Inc. Optical sensors that reduce specular reflections
KR101047778B1 (ko) * 2010-04-01 2011-07-07 엘지이노텍 주식회사 발광 소자 패키지 및 이를 구비한 라이트 유닛
US8674342B2 (en) * 2012-02-27 2014-03-18 International Business Machines Corporation Pad-less gate-all around semiconductor nanowire FETs on bulk semiconductor wafers
US8889562B2 (en) * 2012-07-23 2014-11-18 International Business Machines Corporation Double patterning method
US9368619B2 (en) * 2013-02-08 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for inducing strain in vertical semiconductor columns
US9978863B2 (en) * 2013-08-16 2018-05-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns

Also Published As

Publication number Publication date
CN105140100A (zh) 2015-12-09
TW201544442A (zh) 2015-12-01
US20150348848A1 (en) 2015-12-03
US10163723B2 (en) 2018-12-25
CN105140100B (zh) 2018-07-20
TWI607957B (zh) 2017-12-11
US20170229349A1 (en) 2017-08-10
US20190122936A1 (en) 2019-04-25
US20200083110A1 (en) 2020-03-12
KR20150137025A (ko) 2015-12-08
US10504792B2 (en) 2019-12-10
US9633907B2 (en) 2017-04-25
US10879129B2 (en) 2020-12-29
KR101730709B1 (ko) 2017-04-26

Similar Documents

Publication Publication Date Title
DE102015106581A1 (de) Selbstausrichtende nanodrahtbildung unter verwendung von doppelstrukturierung
DE102014019374B4 (de) Zuletzt geschnittene selbstadjustierende Litho-Ätz Strukturierung
DE102019116395B4 (de) Herstellungsverfahren zum steuern von profilen von ersatz-gates und zugehörige halbleitervorrichtung
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102018115204A1 (de) Strukturierungsverfahren für halbleiter-bauelemente und daraus resultierende strukturen
DE102016123943A1 (de) Halbleiterverfahren und -vorrichtungen
DE102017118345B4 (de) Kontaktöffnungen und verfahren zu deren herstellung
DE102016118062B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einem nichtflüchtigen Speicher und einer Logikschaltung
DE102014019674A1 (de) Selbstjustierte cut-first-strukturierung durch lithografie und ätzen
DE102015117320A1 (de) Halbleitervorrichtung und deren herstellungsverfahren
DE102018218457A1 (de) Verfahren zum Bilden von Austauschgatestrukturen auf Transistorvorrichtungen
DE102017120849B4 (de) Layouts für integrierte Schaltkreise mit Leitungsendverlängerungen
DE102016119019B4 (de) Halbleiterbauelement und Herstellungsverfahren dafür
DE102017117857A1 (de) Integrierte Schaltkreis-Layouts mit Füllelementformen
DE102019204967A1 (de) Angeschrägte Austauschgatestrukturen
DE102015104483B4 (de) Verfahren zur herstellung einer nanodrahtstruktur
DE102013112137A1 (de) Verfahren zum Verarbeiten eines Dies
DE112016006630T5 (de) Verfahren zum Herstellen einer Halbleitereinrichtung
DE102007007696B4 (de) Halbleiterbauelement und Verfahren zum Herstellen eines Halbleiterbauelements
DE102019110004A1 (de) Schlitzkontakte und verfahren zu deren herstellung
DE102019119716B4 (de) Isolation von source/drain-regionen zweier multi-gate-transistoren in dichter anordnung
DE102017127390B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102016114876B4 (de) Verfahren zur Herstellung einer Abstandshalterstruktur
DE102019216291A1 (de) Optische und euv-hybridlithographie
DE102017127124B4 (de) Metallbearbeitung mit flexiblen Zwischenräumen, gebildet unter Verwendung einer Strukturierung mit selbstjustierenden Spacern

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication