CN111316444A - 自对准纳米线 - Google Patents

自对准纳米线 Download PDF

Info

Publication number
CN111316444A
CN111316444A CN201780094181.0A CN201780094181A CN111316444A CN 111316444 A CN111316444 A CN 111316444A CN 201780094181 A CN201780094181 A CN 201780094181A CN 111316444 A CN111316444 A CN 111316444A
Authority
CN
China
Prior art keywords
nanowire
spacer
substrate
region
adjacent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780094181.0A
Other languages
English (en)
Inventor
M.阿姆斯特隆
B.古哈
姜俊成
B.E.比蒂
T.贾尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN111316444A publication Critical patent/CN111316444A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Thin Film Transistor (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)

Abstract

一种方法,包括:形成衬底;在所述衬底上方形成第一纳米线;在所述衬底上方形成第二纳米线;在所述第一和第二纳米线的一部分上方形成栅极;注入掺杂剂,使得在所述栅极下方的所述第一和第二纳米线之间的区域不接纳所述掺杂剂,而远离所述栅极的所述第一和第二纳米线之间的区域接纳所述掺杂剂,其中所述掺杂剂使远离所述栅极的所述第一和第二纳米线之间的所述区域的材料非晶化;以及各向同性地蚀刻远离所述栅极的所述第一和第二纳米线之间的区域。

Description

自对准纳米线
背景技术
当前,基于鳍和平面的硅互补金属氧化物半导体(CMOS)技术被用于制造微电子学(microelectronics)。然而,摩尔定律已经将焦点转到纳米线装置技术上。从大批量生产(HVM)角度来看,在这种介质中的MOS技术被认为是不成熟的。例如,当前的蚀刻技术导致与纳米线相邻的未对准的间隔物(spacer),并且这些未对准的间隔物影响纳米线装置的性能和产量。
附图说明
根据下面给出的详细描述以及根据本公开的各种实施例的附图,将更充分地理解本公开的实施例,然而,所述实施例不应被拿来将本公开限制于特定实施例,而是仅用于解释和理解。
图1图示了根据一些实施例的通过均匀蚀刻牺牲层形成的纳米线装置的三维(3D)视图。
图2图示了根据一些实施例的图1的纳米线装置的截面。
图3A-J图示了示出根据本公开的一些实施例的使用快速均匀蚀刻技术来形成纳米线装置的截面。
图4图示了根据本公开的一些实施例的具有通过各种实施例的蚀刻机制形成的纳米线装置的智能装置或计算机系统或SoC(片上系统)。
具体实施方式
一些实施例描述了一种用于形成自对准腔间隔物和线释放集成方案(wirerelease integration scheme)的方法,该方法减少了纳米线或纳米带晶体管中的蚀刻可变性。在一些实施例中,进行仅在注入区中增加牺牲层的蚀刻速率的注入。在一些实施例中,牺牲层去除(removal)跨叠堆中的所有纳米线或纳米带而对准。在一些实施例中,掩埋的间隔物能精确地与栅极边缘对准,虑及(allow for)增加的驱动和减少的寄生电容。在一些实施例中,改进了纳米线释放蚀刻期间的蚀刻时间裕度(margin)。因此,改进了纳米线/纳米带的性能和产量。根据各种图和实施例,其它技术效果将是明显的。
在以下描述中,讨论了众多细节以提供对本公开的实施例的更透彻的解释。然而,对于本领域技术人员将显而易见的是,可在没有这些特定细节的情况下实践本公开的实施例。在其它实例中,为了避免模糊本公开的实施例,以框图形式示出而不是详细地示出公知的结构和装置。
注意,在实施例的对应附图中,用线来表示信号。一些线可更粗,以指示更多的组成信号路径,和/或在一端或多端具有箭头,以指示主要信息流方向。此类指示不旨在是限制性的。而是,结合一个或多个示例性实施例来使用所述线,以便于更容易地理解电路或逻辑单元。如由设计需要或偏好所决定的,任何所表示的信号实际上可包括可在任一方向上行进并且可通过任何合适类型的信号方案实现的一个或多个信号。
遍及整个说明书以及在权利要求中,术语“连接的”意味着连接的事物之间的直接连接(例如电、机械或磁连接)而没有任何中间装置。术语“耦合的”意味着直接或间接连接,诸如连接的事物之间的直接电、机械或磁连接或通过一个或多个无源或有源中间装置的间接连接。术语“电路”或“模块”可指布置成彼此协作以提供期望功能的一个或多个无源和/或有源部件。术语“信号”可指至少一个电流信号、电压信号、磁信号或数据/时钟信号。“一(a、an)”和“该”的含义包括复数形式。“在……中”的含义包括“在……中”和“在……上”。
术语“缩放”一般指将设计(示意图和布局)从一个工艺技术转换到另一个工艺技术,并且随后减少布局区。术语“缩放”一般还指减小相同技术节点内的装置和布局的尺寸。术语“缩放”还可指相对于另一个参数(例如,电源电平)来调整(例如,减慢或加速-即,分别缩小或放大)信号频率。术语“基本上”、“接近”、“近似地”、“靠近”和“大约”一般指在目标值的+/-10%内。
除非以其它方式指定,否则描述公共对象的序数形容词“第一”、“第二”和“第三”等的使用仅指示正在参考相似对象的不同实例,并且不旨在暗示如此描述的对象必须在时间上、空间上、以排队或以任何其它方式处于给定序列中。
出于本公开的目的,短语“A和/或B”和“A或B”意味着(A)、(B)或(A和B)。出于本公开的目的,短语“A、B和/或C”意味着(A)、(B)、(C)、(A和B)、(A和C)、(B和C)或(A、B和C)。
说明书和权利要求中的术语“左”、“右”、“前”、“后”、“顶部”、“底部”、“在……上方”、“在……下方”以及诸如此类(如果有)被用于描述性目的,并且不一定用于描述固定的相对位置。出于本公开的目的,等效地使用术语“自旋”和“磁矩”。更严格地说,自旋的方向与磁矩的方向相反,并且粒子的电荷是负的(诸如在电子的情况下)。
图1图示了根据一些实施例的通过均匀蚀刻牺牲层而形成的纳米线装置100的3D视图。图1的3D视图是示出了具有在衬底上形成的全围绕栅极的两个纳米线的叠堆的简化图。在该示例中,纳米线装置100包括衬底101、纳米线1021-2、间隔物1031-2、栅极104。
在一些实施例中,衬底101包括硅支撑衬底,在其上外延生长缓冲层。在一些实施例中,支撑衬底还可以是备选材料(其可或可不与硅组合),所述备选材料包括但不限于锗、锑化铟、碲化铅、砷化铟、磷化铟、氮化镓、砷化镓或锑化镓、碳(SiC)和蓝宝石。在一些实施例中,衬底101包括诸如掩埋氧化物(BoX)的电介质层,其可通过将形成纳米线所来自的半导体的一个或多个层转移到衬底101上来形成。
在一些实施例中,纳米线1021-2是具有比多晶材料大得多的长程有序性的晶体(crystalline)。在一些实施例中,由纳米线形成的沟道区域基本上是单晶。本领域技术人员将领会到,虽然单晶纳米线可被称为单晶体,但是低级别的晶体缺陷仍然可作为不完美的外延生长工艺的制品(artifact)而存在。
在一些实施例中,纳米线1021-2包括以下中的一个或多个:Si、Ge、Ga、As、In、N或P。在一些实施例中,纳米线1021-2是n型纳米线或p型纳米线。在一些实施例中,当纳米线1021-2是p型纳米线时,它们包括锗(Ge)。锗具有高空穴迁移率和这样的晶格参数,所述晶格参数与一些III-V族半导体材料充分匹配,以用于Ge层和III-V族半导体层的良好质量外延叠堆。在一些实施例中,p型纳米线1021-2由IV族合金(例如,SiGe)构成或由硅构成。
在一些实施例中,当纳米线1021-2是n型纳米线时,它们由III-V族半导体材料构成。在一些实施例中,当p型纳米线由锗构成时,n型纳米线由GaAs构成。在一些实施例中,n型纳米线1021-2包括以下中的一个或多个:InAs、III-N族(例如,GaN)、InP、包括GaAs的三元合金、包括InAs的三元合金、包括InP的三元合金、或包括III-N族的三元合金、或包括GaAs的四元合金、包括InAs的四元合金、包括InP的四元合金、或包括III-N族的四元合金。在一些实施例中,为了最高的载流子迁移率,p型纳米线和n型纳米线两者中的沟道区域基本上是无掺杂的。为了简单起见,在叠堆中示出了两个纳米线。然而,在一些实施例中,可在叠堆中制造多于两个纳米线,并且在一些实施例中,可针对相同的纳米线装置(或晶体管)并行地形成多个叠堆。
为了简单起见,未示出源极和漏极区域。然而,本领域技术人员将领会到,在间隔物1031-2的任一侧上形成源极和漏极区域。在一些实施例中,源极区域(未示出)包括相应的p型和n型纳米线的沟道区域中存在的相同半导体材料,但是源极和漏极区域进一步包括更高浓度的掺杂剂。在一些实施例中,P型纳米线源极/漏极区域包括高P型杂质(例如,P+掺杂剂),而N型纳米线源极/漏极区域包括高N型杂质(例如,N+掺杂剂)。在一些实施例中,源极和漏极区域维持与纳米线1021-2的沟道区域内相同的单晶性(mono-crystallinity)。在一些实施例中,源极/漏极中的至少一个与欧姆金属(未示出)接触,所述欧姆金属完全同轴地环绕纳米线1021-2以填充纳米线与衬底101之间的间隙。源极/漏极接触件可进一步包括与纳米线1021-2不同成分的外延生长的半导体。例如,隧道结(例如,环绕纳米线的源极区域的p+层)可提供超陡的导通和关断(例如,改进的亚阈值性能)。作为另一示例,为了较低的接触电阻,可完全围绕释放的源极/漏极生长原位(in-situ)掺杂半导体。
在一些实施例中,间隔物1031-2是低K电介质。低K电介质是具有比二氧化硅(SiO2)的介电常数更小的介电常数的材料。在一些实施例中,用于间隔物1031-2的材料包括以下项中的一个或多个:掺氟二氧化硅、掺碳二氧化硅、多孔二氧化硅、多孔掺碳二氧化硅、旋涂(spin-on)有机聚合电介质(例如,聚酰亚胺、聚降冰片烯、苯并环丁烯、PTFE等)或旋涂硅聚合电介质(例如,氢倍半硅氧烷、甲基倍半硅氧烷等)。
在一些实施例中,栅极104包括以下中的一个或多个:Gd、O、Hf、Si、Ta、Al、Ti、W或N。在各种实施例中,栅极104包括环绕纳米线1021-2的栅极导体和电介质。在一些实施例中,栅极导体通过栅极电介质材料与纳米线1021-2电隔离。在一些实施例中,栅极电介质材料可包括本领域已知的要适用于FET栅极电介质(和/或沟道钝化)的任何材料中的一个或多个,并且优选地是高K电介质(例如,具有比氮化硅(Si3N4)的介电常数更大的介电常数),诸如但不限于高K氧化物(诸如氧化钆(Gd2O3)、氧化铪(HfO2))、高K硅酸盐(例如HfSiO、TaSiO、AlSiO)以及高K氮化物(诸如HfON)。
在一些实施例中,栅极导体可以是适用于特定纳米线半导体成分和期望阈值电压和操作模式(例如,增强或耗尽)的栅极电极的本领域已知的任何材料。在一些实施例中,针对p型栅极电介质和n型栅极电介质采用相同的栅极电介质材料。在一些实施例中,栅极导体成分包括功函数金属,所述功函数金属针对p型栅极导体和n型栅极导体中的每个可被选择成是不同的,以获得期望的阈值电压(Vt)(例如,大于0V等)。在一些实施例中,导电栅极材料包括以下中的一个或多个:钨(W)、铝(Al)、钛(Ti)、钽(Ta)、镍(Ni)、钼(Mo)、锗(Ge)、铂(Pt)、金(Au)、钌(Ru)、钯(Pd)、铱(Ir),它们的合金以及其硅化物、碳化物、氮化物、磷化物和碳氮化物。
在一些实施例中,使用减少纳米线或纳米带晶体管中的蚀刻可变性的蚀刻方案来形成自对准腔间隔物1031-2。在一些实施例中,进行仅在注入区中增加牺牲层的蚀刻速率的注入。在一些实施例中,牺牲层去除跨叠堆中的所有纳米线或纳米带而对准。在一些实施例中,改进了纳米线释放蚀刻期间的蚀刻时间裕度。因此,改进了纳米线/纳米带的性能和产量。
图2图示了根据一些实施例的图1的纳米线装置的截面AA' 200。指出的是,具有与任何其它图的元件相同的附图标记(或名称)的图2的那些元件可能以与所描述的方式类似的任何方式(但不限于此类方式)操作或起作用。截面AA'图示了栅极104的一侧上的间隔物1031、1031a和1031b,以及在栅极104的另一侧上的间隔物1032、1032a和1032b。此处,衬底101中的区域2011-3图示了其中可存在使围绕纳米线1021-2的牺牲层区域非晶化的注入物的证据的区域。此处,术语“使……非晶化”一般指以使得蚀刻速率增加的方式完全毁坏注入物材料的晶体结构以及指仅仅严重损坏晶体结构两者。在一些实施例中,当使用Si来使围绕纳米线1021-2的特定区域中的SiGe非晶化时,该硅注入以修改区域2011-3中的Si衬底104的晶体而结束。当将区域2011-3中的Si晶体与硅衬底104中的晶体的剩余部分进行比较时,修改是明显的。在一些实施例中,当使用Ge、Xe或Ar来使围绕纳米线1021-2的特定区域中的SiGe非晶化时,该Ge、Xe或Ar注入在区域2011-3中的Si衬底104中结束。
在一些实施例中,自对准纳米线制造工艺导致间隔物彼此对准,这改进了纳米线装置的产量。例如,间隔物1031、1031a和1031b的侧壁彼此对准,并且间隔物1032、1032a和1032b的侧壁彼此对准。在一些实施例中,掩埋间隔物(例如,1031a、1031b、1032a和1032b)与栅极104的边缘精确对准,允许增加的驱动和减少的寄生电容。
在一些实施例中,第一纳米线1022在衬底101上方,其中第一纳米线1022具有沿衬底101的平面延伸的长度,其中第一纳米线1022的第一和第二侧分别在沿第一纳米线1022的长度的第一和第二边缘上。例如,第一纳米线1022的长度在图2中从左向右延伸,并且其中第一纳米线1022向左和向右的端部是第一纳米线1022的第一和第二边缘。在一些实施例中,提供第一间隔物对(例如,1031a和1032a),其包括与第一纳米线1022的第一侧相邻的第一间隔物1031a和与第一纳米线1022的第二侧相邻的第二间隔物1032a
在一些实施例中,第二纳米线1021部署在衬底101上方,其中第二纳米线1021具有沿衬底101的平面延伸的长度,其中第二纳米线1021的第一和第二侧分别在沿第二纳米线1021的长度的第一和第二边缘上。例如,第二纳米线1021的长度在图2中从左向右延伸,并且其中第二纳米线1021向左和向右的端部是第二纳米线1021的第一和第二边缘。在一些实施例中,第二间隔物对(例如,1031b和1032b)包括与第二纳米线1021的第一侧相邻并且与衬底相邻的第一间隔物1031b,以及与第二纳米线1021的第二侧相邻并且与衬底101相邻的第二间隔物。在一些实施例中,第一纳米线1022的第一间隔物1031a的边缘在第二纳米线1021的第一间隔物1031b的边缘上方直接对准,并且其中第一纳米线1022的第二间隔物1032a的边缘在第二纳米线1021的第二间隔物1032b的边缘上方直接对准。
此处,栅极区域104部署在第一纳米线1022和第二纳米线1021上方。在一些实施例中,提供第三间隔物对(例如,1031-2),其包括与栅极区域104的第一侧相邻的第一间隔物1031和与栅极区域104的第二侧相邻的第二间隔物1032,其中栅极区域104的第一间隔物1031的边缘分别在第一纳米线1022的第一间隔物1031a和第二纳米线1021的第一间隔物1031b的边缘上方直接对准。在一些实施例中,栅极区域104的第二间隔物1032的边缘在第一纳米线1022的第二间隔物1032a和第二纳米线1021的第二间隔物1032b的边缘上方直接对准。
在一些实施例中,提供与第三间隔物对1031-2的第一间隔物1031相邻的源极区域(未示出)。例如,通过间隔物1031和另一绝缘体将耦合到源极区域的源极接触件分离。在一些实施例中,漏极区域(未示出)与第三间隔物对1031-2的第二间隔物1032相邻。在一些实施例中,直接在第二纳米线1021下方的衬底101的晶体结构不同于靠近后端的衬底101的晶体结构。例如,与靠近衬底101的底部边缘(其靠近管芯的后端)的衬底101的晶体结构相比,靠近区域2011-3的衬底101的晶体结构被损坏或者具有微量的其它注入物(例如,Ge)。在一些实施例中,直接在第二纳米线1021下方的衬底101包括微量的Ge或Ar,并且其中靠近后端的衬底101仅包括硅。例如,当Ge或Ar用作注入物来使用于蚀刻的牺牲层非晶化时,则可在区域2011-3中找到微量的Ge或Ar。
在一些实施例中,提供与源极区域和衬底101相邻的第一区域(例如,第一外延覆盖层(epitaxy over-layer)),并且其中第一区域直接与第一、第二和第三间隔物对的第一间隔物(例如,分别为1031b、1031a和1031)相邻。在一些实施例中,第一区域(此处未示出,但是在图3E-J中示出为3511)包括以下中的一个或多个:Si、P、B、Ge、C、In、Ga、As或N。返回参考图2,在一些实施例中,提供与漏极区域和衬底101相邻的第二区域(例如,第二外延覆盖层),并且其中第二区域直接与第一、第二和第三间隔物对的第二间隔物(例如,分别为1032b、1032a和1032)相邻。在一些实施例中,第二区域(此处未示出,但是在图3E-J中示出为3512)包括以下中的一个或多个:Si、P、B、Ge、C、In、Ga、As或N。在一些实施例中,在围绕纳米线1021-2沉积栅极材料104之前,分离纳米线1021-2的区域包括以下中的一个或多个:Gd、O、Hf、Si、Ge、Ta、Al或N。该区域是牺牲区域,然后使用参考各种实施例描述的工艺将其蚀刻掉。
图3A-J分别图示了根据本公开的一些实施例的截面300、320、330、340、350、360、370、380、390和3910,所述截面示出了使用快速均匀蚀刻技术来形成纳米线装置。指出的是,具有与任何其它图的元件相同的附图标记(或名称)的图3A-J的那些元件可能以与所描述的方式类似的任何方式(但不限于此类方式)操作或起作用。
截面300示出了已经使用已知工艺形成的层的叠堆。这些层包括衬底101、纳米线1021-2、纳米线1021-2之间的牺牲层3051-2以及栅极104。在各种实施例中,牺牲层3051-2包括以下中的一个或多个:Si、Ge、In、Al、Ga、As、N或P。在一些实施例中,牺牲层3051-2被用于围绕纳米线1021-2形成栅极区域。在各种实施例中,使用任何已知的各向同性蚀刻技术蚀刻掉牺牲层3051-2
截面320示出了在向叠堆施加注入物321之后的层的叠堆。在各种实施例中,注入物321使牺牲层3051-2的SiGe非晶化。在一些实施例中,注入物321还到达衬底101,并且该注入物使得:与衬底101的剩余部分的Si的晶体结构相比,与SiGe牺牲层3051-2相邻的Si的晶体结构被修改。此处,与SiGe牺牲层3052相邻的区域由虚线区域2011-2示出。根据一些实施例,选择注入条件,使得注入物基本上被栅极材料阻挡。根据一些实施例,在图中示出了垂直取向的注入物,但是实际上可倾斜以实现期望的注入物轮廓(profile)。注入物321改变了暴露区的结晶性,并且因此协助加快暴露区的蚀刻速率。在注入物321改变暴露区的结晶性之后,牺牲层3051-2变成牺牲层3251-2
由于注入物321,SiGe更易受损坏或结晶性改变的一个原因是SiGe具有比例如Si更弱的晶体。在各种实施例中,栅极104保护其正下面的层以使其免受注入物321的影响。可使用任何已知的注入物沉积工艺。在一些实施例中,注入物321是以下项中的一个:Si、Ge、Xe、As或Ar。在一些实施例中,在30摄氏度至150摄氏度的范围中的高温施加注入物321。本领域技术人员将领会到,通常不进行热注入,因为它们导致较低的生产量。各种实施例使用热注入工艺来损坏或改变牺牲层3051-2的结晶性(其然后变成牺牲层3251-2)以减少蚀刻时间。
截面330示出了在牺牲层3251-2的各向同性蚀刻之后的层的叠堆。在一些实施例中,在牺牲层3051-2的结晶性改变或损坏之后(其然后变成牺牲层3251-2),牺牲层3251-2的各向同性蚀刻变得容易。例如,可能以更快和更整齐(cleaner)的方式蚀刻非晶化的牺牲层3251-2而不引起对周围层的损坏。根据一些实施例,牺牲层3251-2的各向同性蚀刻导致栅极104和纳米线1021-2下方的SiGe的垂直对准边缘。
截面340示出了在沉积间隔物1031-2、1031a、1031b、1032a或1032b之后的层的叠堆。沉积间隔物1031-2、1031a、1031b、1032a或1032b和使间隔物1031-2、1031a、1031b、1032a或1032b成形的工艺是公知的。这些间隔物也称为掩埋间隔物,其与栅极104对准以用于纳米线装置的改进的性能和产量。然而,通过提供栅极104和纳米线1021-2下方的SiGe的垂直对准边缘(使用一些实施例的蚀刻工艺),导致与栅极104下方的SiGe相邻的间隔物的侧面彼此整齐地邻接(abut)。
截面350示出了外延(EPI)之后的层的叠堆,该外延导致在间隔物1031-2、1031a、1031b、1032a或1032b的任一侧上沉积晶体覆盖层3511-2。这些晶体覆盖层3511-2也称为EPI层。在该示例中,EPI层3511-2垂直延伸到间隔物1031-2的较低区域。可使用生长EPI层3511-2的任何已知方法。在一些实施例中,EPI层3511-2包括以下项中的一个或多个:Si、SiGe、碳化硅、InGaAs或其它III-V族材料。
截面360示出了沉积接触金属3611-2之后的层的叠堆。在一些实施例中,在EPI层3511-2的表面被抛光之后,沉积接触金属3611-2。在一些实施例中,接触金属3611-2包括任何已知的金属,诸如W、Al、Cu、石墨烯、Co等。
截面370示出了蚀刻掉间隔物1031-2之间的栅极104之后的层的叠堆。任何已知的各向异性蚀刻工艺可用于蚀刻掉栅极104。在一些实施例中,在纳米线1022和栅极104之间沉积某种硬金属以协助蚀刻停止。也可使用用于停止蚀刻工艺的其它技术。
截面380图示了注入物材料381(其可以是与321相同的材料)以使牺牲层3051-2的SiGe[那是要使用的正确术语吗
Figure DEST_PATH_IMAGE002
]非晶化的工艺。在一些实施例中,注入物381也到达衬底101,并且该注入物使得:与衬底101的剩余部分的Si的晶体结构相比,与SiGe牺牲层3051-2相邻的Si的晶体结构被修改。此处,与SiGe牺牲层3052相邻的区域由虚线区域2013示出。注入物381改变了暴露区的结晶性,并且因此协助加快暴露区的蚀刻速率。在注入物381改变暴露区的结晶性之后,牺牲层3051-2变成牺牲层3851-2。在一些实施例中,当Ge用作注入物材料381时,可在衬底101的区域2013中追踪到Ge的残留。
截面390示出了在牺牲层3851-2的各向同性蚀刻之后的层的叠堆。在一些实施例中,在牺牲层3051-2结晶性改变或损坏之后(其然后变成牺牲层3851-2),牺牲层3851-2的各向同性蚀刻变得容易。牺牲层3851-2的各向同性蚀刻导致围绕纳米线1021-2和掩埋间隔物1031a、1031b、1032a和1032b的SiGe的整齐边缘。与蚀刻间隔物1031a、1031b、1032a和1032b之间的SiGe的现有技术方法相比,对保持未接触的Epi层3511-2未进行损坏。牺牲层3851-2的各向同性蚀刻的有效性比现有方法大大改进,因为层3851-2的SiGe的结晶性被损坏,而不损坏掩埋间隔物1031a、1031b、1032a和1032b。因此,与纳米线相邻的所有间隔物保留其完整性,并且彼此完美或接近完美地对准。此外,过蚀刻裕度增加,并且避免了蚀刻掉。
截面3910图示了沉积栅极材料104之后的层的叠堆。栅极材料环绕纳米线1021-2,形成同轴型纳米线结构。由于牺牲层3051-2的整齐且高效的蚀刻,根据各种实施例,栅极材料围绕纳米线1021-2均匀地沉积以改进装置性能和产量。
图4图示了根据本公开的一些实施例的具有通过各种实施例的蚀刻机制形成的纳米线装置的智能装置或计算机系统或SoC(片上系统)。指出的是,具有与任何其它图的元件相同的附图标记(或名称)的图4的那些元件可能以与所描述的方式类似的任何方式(但不限于此类方式)操作或起作用。
出于实施例的目的,此处描述的各种电路和逻辑块中的晶体管是金属氧化物半导体(MOS)晶体管或其衍生物,其中MOS晶体管包括漏极、源极、栅极和体(bulk)端子。晶体管和/或MOS晶体管衍生物还包括三栅极和FinFET晶体管、全围绕栅极(Gate All Around)圆柱形晶体管、纳米线、隧穿FET(TFET)、方形线或矩形带晶体管、铁电FET(FeFET)或像碳纳米管或自旋电子装置的实现晶体管功能性的其它装置。MOSFET对称的源极和漏极端子,即,是等同的端子,并且此处可互换使用。另一方面,TFET装置具有不对称的源极和漏极端子。本领域技术人员将领会到,在不脱离本公开的范围的情况下,可使用其它晶体管,例如双极结晶体管(BJT PNP/NPN)、BiCMOS、CMOS等。
图4图示了其中可使用平坦表面接口连接器的移动装置的一实施例的框图。在一些实施例中,计算装置1600表示移动计算装置,诸如计算平板、移动电话或智能电话、无线使能电子阅读器或其它无线移动装置。将理解到,某些组件被一般地示出,并且并非这样的装置的所有组件在计算装置1600中被示出。
在一些实施例中,计算装置1600包括根据所讨论的一些实施例的具有通过各种实施例的蚀刻机制形成的纳米线装置的第一处理器1610。根据一些实施例,计算装置1600的其它块也可包括通过各种实施例的蚀刻机制形成的纳米线装置。本公开的各种实施例还可包括诸如无线接口的1670内的网络接口,使得系统实施例可结合到无线装置(例如,蜂窝电话或个人数字助理)中。
在一些实施例中,处理器1610(和/或处理器1690)可包括一个或多个物理装置,诸如微处理器、应用处理器、微控制器、可编程逻辑装置或其它处理部件。由处理器1610运行的处理操作包括操作平台或操作系统的执行,在所述操作平台或操作系统上执行应用和/或装置功能。处理操作包括与关于人类用户或关于其它装置的I/O(输入/输出)有关的操作、与功率管理有关的操作和/或与将计算装置1600连接到另一装置有关的操作。处理操作还可包括与音频I/O和/或显示I/O有关的操作。
在一些实施例中,计算装置1600包括音频子系统1620,所述音频子系统1620表示与向计算装置提供音频功能相关联的硬件(例如,音频硬件和音频电路)和软件(例如,驱动程序、编解码器)组件。音频功能可包括扬声器和/或耳机(headphone)输出以及麦克风输入。用于此类功能的装置可集成到计算装置1600中,或者连接到计算装置1600。在一个实施例中,用户通过提供由处理器1610接收和处理的音频命令来与计算装置1600交互。
在一些实施例中,计算装置1600包括显示子系统1630。显示子系统1630表示为用户提供视觉和/或触觉显示以与计算装置1600交互的硬件(例如,显示装置)和软件(例如,驱动程序)组件。显示子系统1630包括显示接口1632,所述显示接口1632包括用来向用户提供显示的特定屏幕或硬件装置。在一个实施例中,显示接口1632包括与处理器1610分离的逻辑,以运行与显示有关的至少一些处理。在一个实施例中,显示子系统1630包括向用户提供输出和输入两者的触摸屏(或触摸板)装置。
在一些实施例中,计算装置1600包括I/O控制器1640。I/O控制器1640表示与和用户的交互有关的硬件装置和软件组件。I/O控制器1640可操作以管理是音频子系统1620和/或显示子系统1630的一部分的硬件。此外,I/O控制器1640图示了用于连接到计算装置1600的附加装置的连接点,用户可通过所述连接点与系统交互。例如,可附连到计算装置1600的装置可包括麦克风装置、扬声器或立体声系统、视频系统或其它显示装置、键盘或小型键盘装置、或供诸如读卡器的特定应用使用的其它I/O装置或其它装置。
如上面提到的,I/O控制器1640可与音频子系统1620和/或显示子系统1630交互。例如,通过麦克风或其它音频装置的输入可提供用于计算装置1600的一个或多个应用或功能的输入或命令。此外,可提供音频输出而不是显示输出,或者除了显示输出之外还提供音频输出。在另一示例中,如果显示子系统1630包括触摸屏,则显示装置还充当输入装置,其可至少部分地由I/O控制器1640管理。在计算装置1600上还可存在用来提供由I/O控制器1640管理的I/O功能的附加的按钮或开关。
在一些实施例中,I/O控制器1640管理诸如加速度计、相机、光传感器或其它环境传感器的装置,或者可包括在计算装置1600中的其它硬件。输入可以是直接用户交互的一部分,以及向系统提供环境输入以影响其操作(诸如,针对噪声的过滤、针对亮度检测调整显示、针对相机施加闪光灯或其它特征)。
在一些实施例中,计算装置1600包括管理电池功率使用、电池的充电以及与功率节省操作有关的特征的功率管理1650。存储器子系统1660包括用于在计算装置1600中存储信息的存储器装置。存储器可包括非易失性(如果中断到存储器装置的功率,则状态不改变)和/或易失性(如果中断到存储器装置的功率,则状态是不确定的)存储器装置。存储器子系统1660可存储应用数据、用户数据、音乐、照片、文档或其它数据,以及与执行计算装置1600的应用和功能相关的系统数据(无论是长期的还是临时的)。
实施例的元件还被提供为用于存储计算机可执行指令(例如,用来实现本文中所讨论的任何其它过程的指令)的机器可读介质(例如,存储器1660)。机器可读介质(例如,存储器1660)可包括但不限于闪速存储器、光盘、CD-ROM、DVD ROM、RAM、EPROM、EEPROM、磁或光卡、相变存储器(PCM)或适用于存储电子或计算机可执行指令的其它类型的机器可读介质。例如,本公开的实施例可下载为计算机程序(例如,BIOS),所述计算机程序可经由通信链路(例如,调制解调器或网络连接)通过数据信号从远程计算机(例如,服务器)转移到请求计算机(例如,客户)。
在一些实施例中,计算装置1600包括连接性1670。连接性1670包括用来使计算装置1600与外部装置通信的硬件装置(例如,无线和/或有线连接器以及通信硬件)和软件组件(例如,驱动程序、协议栈)。计算装置1600可能是单独的装置,诸如其它计算装置、无线接入点或基站,以及外围装置,诸如头戴式耳机(headset)、打印机或其它装置。
连接性1670可包括多个不同类型的连接性。概括来说,计算装置1600被图示有蜂窝连接性1672和无线连接性1674。蜂窝连接性1672一般指由无线载波(carrier)提供的蜂窝网络连接性,诸如经由GSM(全球移动通信系统)或变型或衍生物、CDMA(码分多址)或变型或衍生物、TDM(时分复用)或变型或衍生物、或其它蜂窝服务标准提供的蜂窝网络连接性。无线连接性(或无线接口)1674指不是蜂窝的无线连接性,并且可包括个域网(诸如,蓝牙、近场等)、局域网(诸如,Wi-Fi)和/或广域网(诸如,WiMax)或其它无线通信。
在一些实施例中,计算装置1600包括外围连接1680。外围连接1680包括用来进行外围连接的硬件接口和连接器以及软件组件(例如,驱动程序、协议栈)。将理解到,计算装置1600可能既是到其它计算装置的外围装置(“到”1682),又具有连接到它的外围装置(“从”1684)。出于诸如管理(例如,下载和/或上载、改变、同步)计算装置1600上的内容的目的,计算装置1600通常具有用来连接到其它计算装置的“对接(docking)”连接器。此外,对接连接器可允许计算装置1600连接到某些外围装置,所述外围装置允许计算装置1600控制例如到视听或其它系统的内容输出。
除了专用对接连接器或其它专用连接硬件之外,计算装置1600还可经由公共连接器或基于标准的连接器进行外围连接1680。公共类型可包括通用串行总线(USB)连接器(其可包括多个不同硬件接口中的任何硬件接口)、包括MiniDisplayPort(MDP)的DisplayPort、高清晰度多媒体接口(HDMI)、火线或其它类型。
说明书中对“一实施例”、“一个实施例”、“一些实施例”或“其它实施例”的参考意味着结合实施例描述的特定特征、结构或特性被包括在至少一些实施例中,但不一定被包括在所有实施例中。“一实施例”、“一个实施例”或“一些实施例”的各种出现不一定全部指相同的实施例。如果说明书陈述“可”、“可能”或“能够”包括组件、特征、结构或特性,则不要求包括该特定组件、特征、结构或特性。如果说明书或权利要求提及“一(a或an)”元件,则这不意味着仅存在元件中的一个元件。如果说明书或权利要求提及“一附加”元件,则这不排除存在附加元件中的多于一个附加元件。
此外,特定的特征、结构、功能或特性可以以任何合适的方式组合在一个或多个实施例中。例如,在与两个实施例相关联的特定的特征、结构、功能或特性不是相互排斥的任何情况下,第一实施例可与第二实施例组合。
虽然已经结合本公开的特定实施例描述了本公开,但是依据前面的描述,此类实施例的许多备选方案、修改和变型对本领域普通技术人员将是显而易见的。本公开的实施例旨在包含关于落入所附权利要求的宽泛范围内的所有此类备选方案、修改和变型。
另外,为了说明和讨论的简单起见,并且以便不模糊本公开,在所呈现的图内可或可不示出到集成电路(IC)芯片和其它组件的公知的功率/地连接。此外,可以以框图形式示出布置以便避免模糊本公开,并且还鉴于以下事实示出布置:相对于此类框图布置的实现的详情(specifics)高度地取决于要实现本公开所在的平台(即,此类详情应该完全在本领域技术人员的知识范围(purview)内)。在阐述特定细节(例如,电路)以便描述本公开的示例实施例的情况下,对于本领域技术人员应该显而易见的是,可在没有这些特定细节或者具有这些特定细节的变型的情况下来实践本公开。因此,本描述要被认为是说明性的而不是限制性的。
以下示例涉及进一步的实施例。可在一个或多个实施例中在任何情况下使用示例中的详情。也可相对于方法或过程来实现本文中所描述的设备的所有可选特征。
示例1。一种设备,包括:包括硅的衬底;在所述衬底上方的第一纳米线,其中所述第一纳米线具有沿所述衬底的平面延伸的长度,其中所述第一纳米线的第一和第二侧分别在沿所述第一纳米线的长度的第一和第二边缘上;包括与所述第一纳米线的所述第一侧相邻的第一间隔物以及与所述第一纳米线的所述第二侧相邻的第二间隔物的第一间隔物对;部署在所述衬底上方的第二纳米线,其中所述第二纳米线具有沿所述衬底的平面延伸的长度,其中所述第二纳米线的第一和第二侧分别在沿所述第二纳米线的长度的第一和第二边缘上;以及包括与所述第二纳米线的所述第一侧相邻并且与所述衬底相邻的第一间隔物,以及与所述第二纳米线的所述第二侧相邻并且与所述衬底相邻的第二间隔物的第二间隔物对,其中所述第一纳米线的所述第一间隔物的边缘直接在所述第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述第一纳米线的所述第二间隔物的边缘直接在所述第二纳米线的所述第二间隔物的边缘上方对准。
示例2。示例1的所述设备包括部署在所述第一和第二纳米线上方的栅极区域。
示例3。示例2的所述设备包括第三间隔物对,所述第三间隔物对包括与所述栅极区域的第一侧相邻的第一间隔物,以及与所述栅极区域的第二侧相邻的第二间隔物,其中所述栅极区域的所述第一间隔物的边缘直接在所述第一和第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述栅极区域的所述第二间隔物的边缘直接在所述第一和第二纳米线的所述第二间隔物的边缘上方对准。
示例4。示例2的所述设备,包括:与所述第三间隔物对的所述第一间隔物相邻的源极区域;以及与所述第三间隔物对的所述第二间隔物相邻的漏极区域。
示例5。示例4的所述设备,其中直接在所述第二纳米线下方的所述衬底的晶体结构与靠近后端的所述衬底的晶体结构不同。
示例6。示例4的所述设备,其中直接在所述第二纳米线下方的所述衬底包括微量的Ge、Xe或Ar,并且其中靠近后端的所述衬底仅包括硅。
示例7。示例4的所述设备,包括与所述源极区域和所述衬底相邻的第一区域,并且其中所述第一区域直接与所述第一、第二和第三间隔物对的所述第一间隔物相邻。
示例8。示例7的所述设备,其中所述第一区域包括以下中的一个或多个:Si、Ge、C、In、Ga、As或N。
示例9。示例4的所述设备,包括与所述漏极区域和所述衬底相邻的第二区域,并且其中所述第二区域直接与所述第一、第二和第三间隔物对的所述第二间隔物相邻。
示例10。示例9的所述设备,其中所述第二区域包括以下中的一个或多个:Si、Ge、C、In、Ga、As或N。
示例11。根据示例1至10中任一项的所述设备,其中所述第一和第二纳米线包括以下中的一个或多个:Si、Ge、Ga、As、In、N或P。
示例12。根据示例1至11中任一项的所述设备,其中所述第一和第二纳米线由包括以下中的一个或多个的区域分离:Si、Ge、Gd、O、Hf、Si、Ta、Al或N。
示例13。一种系统,包括:存储器;耦合到所述存储器的处理器,所述处理器包括根据示例1至12中任一项的装置;以及用来允许所述处理器与另一装置通信的无线接口。
示例14。一种方法,包括:形成衬底;在所述衬底上方形成第一纳米线;在所述衬底上方形成第二纳米线;在所述第一和第二纳米线的一部分上方形成栅极;注入掺杂剂,使得在所述栅极下方的所述第一和第二纳米线之间的区域不接纳所述掺杂剂,而远离所述栅极的所述第一和第二纳米线之间的区域接纳所述掺杂剂,其中所述掺杂剂使远离所述栅极的所述第一和第二纳米线之间的所述区域的材料非晶化;以及各向同性地蚀刻远离所述栅极的所述第一和第二纳米线之间的所述区域。
示例15。示例14的所述方法,包括在各向同性地蚀刻所述区域之后,在所述第一和第二纳米线的任一侧上掩埋间隔物。
示例16。根据示例14至15中任一项的所述方法,包括在所述栅极的任一侧上沉积间隔物。
示例17。根据示例14至16中任一项的所述方法,包括在所述间隔物的任一侧上形成源极和漏极区域。
示例18。根据示例14至17中任一项的所述方法,其中所述第一和第二纳米线包括以下中的一个或多个:Si、Ge、Ga、As、In、N或P。
示例19。根据示例14至18中任一项的所述方法,其中直接在所述第二纳米线下方的所述衬底的晶体结构与靠近后端的所述衬底的晶体结构不同。
示例20。示例16的所述方法,其中直接在所述第二纳米线下方的所述衬底包括微量的Ge、Xe或Ar,并且其中靠近后端的所述衬底仅包括硅。
示例21。一种方法,包括:形成包括硅的衬底;在所述衬底上方形成第一纳米线,其中所述第一纳米线具有沿所述衬底的平面延伸的长度,其中所述第一纳米线的第一和第二侧分别在沿所述第一纳米线的长度的第一和第二边缘上;形成第一间隔物对,所述第一间隔物对包括与所述第一纳米线的所述第一侧相邻的第一间隔物,以及与所述第一纳米线的所述第二侧相邻的第二间隔物;在所述衬底上方形成第二纳米线,其中所述第二纳米线具有沿所述衬底的平面延伸的长度,其中所述第二纳米线的第一和第二侧分别在沿所述第二纳米线的长度的第一和第二边缘上;以及形成第二间隔物对,所述第二间隔物对包括与所述第二纳米线的所述第一侧相邻并且与所述衬底相邻的第一间隔物,以及与所述第二纳米线的所述第二侧相邻并且与所述衬底相邻的第二间隔物,其中所述第一纳米线的所述第一间隔物的边缘直接在所述第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述第一纳米线的所述第二间隔物的边缘直接在所述第二纳米线的所述第二间隔物的边缘上方对准。
示例22。示例21的所述方法,包括在所述第一和第二纳米线上方部署栅极区域。
示例23。示例22所述的方法,包括形成第三间隔物对,所述第三间隔物对包括与所述栅极区域的第一侧相邻的第一间隔物,以及与所述栅极区域的第二侧相邻的第二间隔物,其中所述栅极区域的所述第一间隔物的边缘直接在所述第一和第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述栅极区域的所述第二间隔物的边缘直接在所述第一和第二纳米线的所述第二间隔物的边缘上方对准。
示例24。示例22的所述方法,包括:形成与所述第三间隔物对的所述第一间隔物相邻的源极区域;以及形成与所述第三间隔物对的所述第二间隔物相邻的漏极区域。
示例25。示例24所述的方法,其中直接在所述第二纳米线下方的所述衬底的晶体结构与靠近后端的所述衬底的晶体结构不同。
示例26。示例24的所述方法,其中直接在所述第二纳米线下方的所述衬底包括微量的Ge、Xe或Ar,并且其中靠近所述后端的所述衬底仅包括硅。
示例27。示例24的所述方法,包括形成与所述源极区域和所述衬底相邻的第一区域,并且其中所述第一区域直接与所述第一、第二和第三间隔物对的所述第一间隔物相邻。
示例28。示例27的所述方法,其中所述第一区域包括以下中的一个或多个:Si、Ge、C、In、Ga、As或N。
示例29。示例24的所述方法,包括形成与所述漏极区域和所述衬底相邻的第二区域,并且其中所述第二区域直接与所述第一、第二和第三间隔物对的所述第二间隔物相邻。
示例30。示例29的所述方法,其中所述第二区域包括以下中的一个或多个:Si、Ge、C、In、Ga、As或N。
示例31。根据示例21至30中任一项的所述方法,其中所述第一和第二纳米线包括以下中的一个或多个:Si、Ge、Ga、As、In、N或P。
示例32。根据示例21至31中任一项的所述方法,其中所述第一和第二纳米线由包括以下中的一个或多个的区域分离:Gd、O、Hf、Si、Ta、Al或N。
示例33。一种设备,包括:衬底部件;在所述衬底部件上方的第一纳米线部件,其中所述第一纳米线部件具有沿所述衬底部件的平面延伸的长度,其中所述第一纳米线部件的第一和第二侧分别在沿所述第一纳米线部件的长度的第一和第二边缘上;第一间隔物部件对,所述第一间隔物部件对包括与所述第一纳米线部件的所述第一侧相邻的第一间隔物部件,以及与所述第一纳米线部件的所述第二侧相邻的第二间隔物部件;部署在所述衬底部件上方的第二纳米线部件,其中所述第二纳米线部件具有沿所述衬底部件的平面延伸的长度,其中所述第二纳米线部件的第一和第二侧分别在沿所述第二纳米线部件的长度的第一和第二边缘上;以及第二间隔物部件对,所述第二间隔物部件对包括与所述第二纳米线部件的所述第一侧相邻并且与所述衬底部件相邻的第一间隔物部件,以及与所述第二纳米线部件的所述第二侧相邻并且与所述衬底部件相邻的第二间隔物部件,其中所述第一纳米线部件的所述第一间隔物部件的边缘直接在所述第二纳米线部件的所述第一间隔物部件的边缘上方对准,并且其中所述第一纳米线部件的所述第二间隔物部件的边缘直接在所述第二纳米线部件的所述第二间隔物部件的边缘上方对准。
示例34。示例33的所述设备包括部署在所述第一和第二纳米线部件上方的栅极区域。
示例35。示例34所述的设备包括第三间隔物部件对,所述第三间隔物部件对包括与所述栅极区域的第一侧相邻的第一间隔物部件,以及与所述栅极区域的第二侧相邻的第二间隔物部件,其中所述栅极区域的所述第一间隔物部件的边缘直接在所述第一和第二纳米线部件的所述第一间隔物部件的边缘上方对准,并且其中所述栅极区域的所述第二间隔物部件的边缘直接在所述第一和第二纳米线部件的所述第二间隔物部件的边缘上方对准。
提供了将允许读者确定技术公开的本质和要点的摘要。在摘要将不用来限制权利要求的范围或含义的理解的情况下提交摘要。以下权利要求由此结合到详细描述中,其中每个权利要求独立地作为单独的实施例。
权利要求书(按照条约第19条的修改)
1.一种设备,包括:
衬底,所述衬底包括硅;
第一纳米线,所述第一纳米线在所述衬底上方,其中所述第一纳米线具有沿所述衬底的平面延伸的长度,其中所述第一纳米线的第一和第二侧分别在沿所述第一纳米线的长度的第一和第二边缘上;
第一间隔物对,所述第一间隔物对包括与所述第一纳米线的所述第一侧相邻的第一间隔物,以及与所述第一纳米线的所述第二侧相邻的第二间隔物;
第二纳米线,所述第二纳米线部署在所述衬底上方,其中所述第二纳米线具有沿所述衬底的平面延伸的长度,其中所述第二纳米线的第一和第二侧分别在沿所述第二纳米线的长度的第一和第二边缘上;以及
第二间隔物对,所述第二间隔物对包括与所述第二纳米线的所述第一侧相邻并且与所述衬底相邻的第一间隔物,以及与所述第二纳米线的所述第二侧相邻并且与所述衬底相邻的第二间隔物,
其中所述第一纳米线的所述第一间隔物的边缘直接在所述第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述第一纳米线的所述第二间隔物的边缘直接在所述第二纳米线的所述第二间隔物的边缘上方对准。
2.根据权利要求1所述的设备,包括部署在所述第一和第二纳米线上方的栅极区域。
3.根据权利要求2所述的设备,包括第三间隔物对,所述第三间隔物对包括与所述栅极区域的第一侧相邻的第一间隔物,以及与所述栅极区域的第二侧相邻的第二间隔物,其中所述栅极区域的所述第一间隔物的边缘直接在所述第一和第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述栅极区域的所述第二间隔物的边缘直接在所述第一和第二纳米线的所述第二间隔物的边缘上方对准。
4.根据权利要求2所述的设备,包括:
与所述第三间隔物对的所述第一间隔物相邻的源极区域;以及
与所述第三间隔物对的所述第二间隔物相邻的漏极区域。
5.根据权利要求4所述的设备,其中直接在所述第二纳米线下方的所述衬底的晶体结构与靠近后端的所述衬底的晶体结构不同。
6.根据权利要求4所述的设备,其中直接在所述第二纳米线下方的所述衬底包括微量的Ge、Xe或Ar,并且其中靠近后端的所述衬底仅包括硅。
7.根据权利要求4所述的设备,包括与所述源极区域和所述衬底相邻的第一区域,并且其中所述第一区域直接与所述第一、第二和第三间隔物对的所述第一间隔物相邻。
8.根据权利要求7所述的设备,其中所述第一区域包括以下中的一个或多个:Si、Ge、C、In、Ga、As或N。
9.根据权利要求4所述的设备,包括与所述漏极区域和所述衬底相邻的第二区域,并且其中所述第二区域直接与所述第一、第二和第三间隔物对的所述第二间隔物相邻。
10.根据权利要求9所述的设备,其中所述第二区域包括以下中的一个或多个:Si、Ge、C、In、Ga、As或N。
11.根据权利要求1至10中任一项所述的设备,其中所述第一和第二纳米线包括以下中的一个或多个:Si、Ge、Ga、As、In、N或P。
12.根据权利要求1至11中任一项所述的设备,其中所述第一和第二纳米线由包括以下中的一个或多个的区域分离:Si、Ge、Gd、O、Hf、Si、Ta、Al或N。
13.一种系统,包括:
存储器;
处理器,所述处理器耦合到所述存储器,所述处理器包括根据权利要求1至12中任一项的装置;以及
无线接口,所述无线接口用来允许所述处理器与另一装置通信。
14.一种方法,包括:
形成衬底;
在所述衬底上方形成第一纳米线;
在所述衬底上方形成第二纳米线;
在所述第一和第二纳米线的一部分上方形成栅极;
注入掺杂剂,使得在所述栅极下方的所述第一和第二纳米线之间的区域不接纳所述掺杂剂,而远离所述栅极的所述第一和第二纳米线之间的区域接纳所述掺杂剂,其中所述掺杂剂使远离所述栅极的所述第一和第二纳米线之间的所述区域的材料非晶化;以及
各向同性地蚀刻远离所述栅极的所述第一和第二纳米线之间的所述区域。
15.根据权利要求14所述的方法,包括在各向同性地蚀刻所述区域之后,在所述第一和第二纳米线的任一侧上掩埋间隔物。
16.根据权利要求14至15中任一项所述的方法,包括在所述栅极的任一侧上沉积间隔物。
17.根据权利要求14至16中任一项所述的方法,包括在所述间隔物的任一侧上形成源极和漏极区域。
18.根据权利要求14至17中任一项所述的方法,其中所述第一和第二纳米线包括以下中的一个或多个:Si、Ge、Ga、As、In、N或P。
19.根据权利要求14至18中任一项所述的方法,其中直接在所述第二纳米线下方的所述衬底的晶体结构与靠近后端的所述衬底的晶体结构不同。
20.根据权利要求16所述的方法,其中直接在所述第二纳米线下方的所述衬底包括微量的Ge、Xe或Ar,并且其中靠近后端的所述衬底仅包括硅。
21.一种方法,包括:
形成包括硅的衬底;
在所述衬底上方形成第一纳米线,其中所述第一纳米线具有沿所述衬底的平面延伸的长度,其中所述第一纳米线的第一和第二侧分别在沿所述第一纳米线的长度的第一和第二边缘上;
形成第一间隔物对,所述第一间隔物对包括与所述第一纳米线的所述第一侧相邻的第一间隔物以及与所述第一纳米线的所述第二侧相邻的第二间隔物;
在所述衬底上方形成第二纳米线,其中所述第二纳米线具有沿所述衬底的平面延伸的长度,其中所述第二纳米线的第一和第二侧分别在沿所述第二纳米线的长度的第一和第二边缘上;以及
形成第二间隔物对,所述第二间隔物对包括与所述第二纳米线的所述第一侧相邻并且与所述衬底相邻的第一间隔物以及与所述第二纳米线的所述第二侧相邻并且与所述衬底相邻的第二间隔物,
其中所述第一纳米线的所述第一间隔物的边缘直接在所述第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述第一纳米线的所述第二间隔物的边缘直接在所述第二纳米线的所述第二间隔物的边缘上方对准。
22.根据权利要求21所述的方法,包括在所述第一和第二纳米线上方部署栅极区域。
23.根据权利要求22所述的方法,包括形成第三间隔物对,所述第三间隔物对包括与所述栅极区域的第一侧相邻的第一间隔物,以及与所述栅极区域的第二侧相邻的第二间隔物,其中所述栅极区域的所述第一间隔物的边缘直接在所述第一和第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述栅极区域的所述第二间隔物的边缘直接在所述第一和第二纳米线的所述第二间隔物的边缘上方对准。
24.根据权利要求22所述的方法,包括:
形成与所述第三间隔物对的所述第一间隔物相邻的源极区域;以及
形成与所述第三间隔物对的所述第二间隔物相邻的漏极区域。
25.根据权利要求24所述的方法,其中直接在所述第二纳米线下方的所述衬底的晶体结构与靠近后端的所述衬底的晶体结构不同。

Claims (25)

1.一种设备,包括:
衬底,所述衬底包括硅;
第一纳米线,所述第一纳米线在所述衬底上方,其中所述第一纳米线具有沿所述衬底的平面延伸的长度,其中所述第一纳米线的第一和第二侧分别在沿所述第一纳米线的长度的第一和第二边缘上;
第一间隔物对,所述第一间隔物对包括与所述第一纳米线的所述第一侧相邻的第一间隔物,以及与所述第一纳米线的所述第二侧相邻的第二间隔物;
第二纳米线,所述第二纳米线部署在所述衬底上方,其中所述第二纳米线具有沿所述衬底的平面延伸的长度,其中所述第二纳米线的第一和第二侧分别在沿所述第二纳米线的长度的第一和第二边缘上;以及
第二间隔物对,所述第二间隔物对包括与所述第二纳米线的所述第一侧相邻并且与所述衬底相邻的第一间隔物,以及与所述第二纳米线的所述第二侧相邻并且与所述衬底相邻的第二间隔物,
其中所述第一纳米线的所述第一间隔物的边缘直接在所述第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述第一纳米线的所述第二间隔物的边缘直接在所述第二纳米线的所述第二间隔物的边缘上方对准。
2.根据权利要求1所述的设备,包括部署在所述第一和第二纳米线上方的栅极区域。
3.根据权利要求2所述的设备,包括第三间隔物对,所述第三间隔物对包括与所述栅极区域的第一侧相邻的第一间隔物,以及与所述栅极区域的第二侧相邻的第二间隔物,其中所述栅极区域的所述第一间隔物的边缘直接在所述第一和第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述栅极区域的所述第二间隔物的边缘直接在所述第一和第二纳米线的所述第二间隔物的边缘上方对准。
4. 根据权利要求2所述的设备,包括:
与所述第三间隔物对的所述第一间隔物相邻的源极区域;以及
与所述第三间隔物对的所述第二间隔物相邻的漏极区域。
5.根据权利要求4所述的设备,其中直接在所述第二纳米线下方的所述衬底的晶体结构与靠近后端的所述衬底的晶体结构不同。
6.根据权利要求4所述的设备,其中直接在所述第二纳米线下方的所述衬底包括微量的Ge、Xe或Ar,并且其中靠近后端的所述衬底仅包括硅。
7.根据权利要求4所述的设备,包括与所述源极区域和所述衬底相邻的第一区域,并且其中所述第一区域直接与所述第一、第二和第三间隔物对的所述第一间隔物相邻。
8.根据权利要求7所述的设备,其中所述第一区域包括以下中的一个或多个:Si、Ge、C、In、Ga、As或N。
9.根据权利要求4所述的设备,包括与所述漏极区域和所述衬底相邻的第二区域,并且其中所述第二区域直接与所述第一、第二和第三间隔物对的所述第二间隔物相邻。
10.根据权利要求9所述的设备,其中所述第二区域包括以下中的一个或多个:Si、Ge、C、In、Ga、As或N。
11.根据权利要求1至10中任一项所述的设备,其中所述第一和第二纳米线包括以下中的一个或多个:Si、Ge、Ga、As、In、N或P。
12.根据权利要求1至11中任一项所述的设备,其中所述第一和第二纳米线由包括以下中的一个或多个的区域分离:Si、Ge、Gd、O、Hf、Si、Ta、Al或N。
13.一种系统,包括:
存储器;
处理器,所述处理器耦合到所述存储器,所述处理器包括根据权利要求1至12中任一项的装置;
无线接口,所述无线接口用来允许所述处理器与另一装置通信。
14.一种方法,包括:
形成衬底;
在所述衬底上方形成第一纳米线;
在所述衬底上方形成第二纳米线;
在所述第一和第二纳米线的一部分上方形成栅极;
注入掺杂剂,使得在所述栅极下方的所述第一和第二纳米线之间的区域不接纳所述掺杂剂,而远离所述栅极的所述第一和第二纳米线之间的区域接纳所述掺杂剂,其中所述掺杂剂使远离所述栅极的所述第一和第二纳米线之间的所述区域的材料非晶化;以及
各向同性地蚀刻远离所述栅极的所述第一和第二纳米线之间的所述区域。
15.根据权利要求14所述的方法,包括在各向同性地蚀刻所述区域之后,在所述第一和第二纳米线的任一侧上掩埋间隔物。
16.根据权利要求14至15中任一项所述的方法,包括在所述栅极的任一侧上沉积间隔物。
17.根据权利要求14至16中任一项所述的方法,包括在所述间隔物的任一侧上形成源极和漏极区域。
18.根据权利要求14至17中任一项所述的方法,其中所述第一和第二纳米线包括以下中的一个或多个:Si、Ge、Ga、As、In、N或P。
19.根据权利要求14至18中任一项所述的方法,其中直接在所述第二纳米线下方的所述衬底的晶体结构与靠近后端的所述衬底的晶体结构不同。
20.根据权利要求16所述的方法,其中直接在所述第二纳米线下方的所述衬底包括微量的Ge、Xe或Ar,并且其中靠近后端的所述衬底仅包括硅。
21.一种方法,包括:
形成包括硅的衬底;
在所述衬底上方形成第一纳米线,其中所述第一纳米线具有沿所述衬底的平面延伸的长度,其中所述第一纳米线的第一和第二侧分别在沿所述第一纳米线的长度的第一和第二边缘上;
形成第一间隔物对,所述第一间隔物对包括与所述第一纳米线的所述第一侧相邻的第一间隔物,以及与所述第一纳米线的所述第二侧相邻的第二间隔物;
在所述衬底上方形成第二纳米线,其中所述第二纳米线具有沿所述衬底的平面延伸的长度,其中所述第二纳米线的第一和第二侧分别在沿所述第二纳米线的长度的第一和第二边缘上;以及
形成第二间隔物对,所述第二间隔物对包括与所述第二纳米线的所述第一侧相邻并且与所述衬底相邻的第一间隔物,以及与所述第二纳米线的所述第二侧相邻并且与所述衬底相邻的第二间隔物,
其中所述第一纳米线的所述第一间隔物的边缘直接在所述第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述第一纳米线的所述第二间隔物的边缘直接在所述第二纳米线的所述第二间隔物的边缘上方对准。
22.根据权利要求21所述的方法,包括在所述第一和第二纳米线上方部署栅极区域。
23.根据权利要求22所述的方法,包括形成第三间隔物对,所述第三间隔物对包括与所述栅极区域的第一侧相邻的第一间隔物,以及与所述栅极区域的第二侧相邻的第二间隔物,其中所述栅极区域的所述第一间隔物的边缘直接在所述第一和第二纳米线的所述第一间隔物的边缘上方对准,并且其中所述栅极区域的所述第二间隔物的边缘直接在所述第一和第二纳米线的所述第二间隔物的边缘上方对准。
24. 根据权利要求22所述的方法,包括:
形成与所述第三间隔物对的所述第一间隔物相邻的源极区域;以及
形成与所述第三间隔物对的所述第二间隔物相邻的漏极区域。
25.根据权利要求24所述的方法,其中直接在所述第二纳米线下方的所述衬底的晶体结构与靠近后端的所述衬底的晶体结构不同。
CN201780094181.0A 2017-08-21 2017-08-21 自对准纳米线 Pending CN111316444A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2017/047758 WO2019040041A1 (en) 2017-08-21 2017-08-21 NANOFIL SELF-ALIGNED

Publications (1)

Publication Number Publication Date
CN111316444A true CN111316444A (zh) 2020-06-19

Family

ID=65440065

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780094181.0A Pending CN111316444A (zh) 2017-08-21 2017-08-21 自对准纳米线

Country Status (5)

Country Link
US (2) US11205715B2 (zh)
CN (1) CN111316444A (zh)
DE (1) DE112017007991T5 (zh)
TW (2) TWI751357B (zh)
WO (1) WO2019040041A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112018184A (zh) * 2020-09-07 2020-12-01 中国科学院微电子研究所 带铁电或负电容材料的器件及其制造方法及电子设备

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11205715B2 (en) 2017-08-21 2021-12-21 Intel Corporation Self-aligned nanowire
US11107904B2 (en) * 2018-10-23 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer formation in multi-gate transistors
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
US20220352311A1 (en) * 2021-04-29 2022-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices with Counter-Doped Nanostructures

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013095652A1 (en) 2011-12-23 2013-06-27 Intel Corporation Uniaxially strained nanowire structure
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
KR101678405B1 (ko) 2012-07-27 2016-11-22 인텔 코포레이션 나노와이어 트랜지스터 디바이스 및 형성 기법
US9064944B2 (en) * 2013-03-15 2015-06-23 Intel Corporation Nanowire transistor with underlayer etch stops
KR102136234B1 (ko) * 2013-10-03 2020-07-21 인텔 코포레이션 나노와이어 트랜지스터들을 위한 내부 스페이서들 및 그 제조 방법
CN106030815B (zh) * 2014-03-24 2020-01-21 英特尔公司 制造纳米线器件的内部间隔体的集成方法
US9633907B2 (en) * 2014-05-28 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned nanowire formation using double patterning
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US9755034B2 (en) 2015-10-27 2017-09-05 Samsung Electronics Co., Ltd. Semiconductor device having nanowire
US10204985B2 (en) * 2015-11-16 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10074730B2 (en) * 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US11205715B2 (en) * 2017-08-21 2021-12-21 Intel Corporation Self-aligned nanowire

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112018184A (zh) * 2020-09-07 2020-12-01 中国科学院微电子研究所 带铁电或负电容材料的器件及其制造方法及电子设备
WO2022048136A1 (zh) * 2020-09-07 2022-03-10 中国科学院微电子研究所 带铁电或负电容材料的器件及其制造方法及电子设备
CN112018184B (zh) * 2020-09-07 2022-07-08 中国科学院微电子研究所 带铁电或负电容材料的器件及其制造方法及电子设备

Also Published As

Publication number Publication date
TWI751357B (zh) 2022-01-01
DE112017007991T5 (de) 2020-06-04
WO2019040041A1 (en) 2019-02-28
US20220052178A1 (en) 2022-02-17
US11205715B2 (en) 2021-12-21
TW201913868A (zh) 2019-04-01
TW202211469A (zh) 2022-03-16
US20200152767A1 (en) 2020-05-14
US11715787B2 (en) 2023-08-01
TWI788124B (zh) 2022-12-21

Similar Documents

Publication Publication Date Title
US11715787B2 (en) Self-aligned nanowire
CN106847814B (zh) 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现
CN108054084B (zh) 纳米尺度模板结构上的ⅲ族-n晶体管
CN108172548B (zh) 用于形成金属氧化物半导体器件结构的鳍的方法
US11658072B2 (en) Vertically stacked transistors in a fin
US11621354B2 (en) Integrated circuit structures having partitioned source or drain contact structures
TWI692107B (zh) 具有逆行半導體源/汲極之高遷移率的場效電晶體
US11329162B2 (en) Integrated circuit structures having differentiated neighboring partitioned source or drain contact structures
TW201709527A (zh) 高遷移率半導體源極/汲極間隔物
US11935891B2 (en) Non-silicon N-type and P-type stacked transistors for integrated circuit devices
US11557658B2 (en) Transistors with high density channel semiconductor over dielectric material
US20220328697A1 (en) Top-gate doped thin film transistor
US11996404B2 (en) Three-dimensional integrated circuits (3DICs) including bottom gate MOS transistors with monocrystalline channel material
US20240006317A1 (en) Integrated circuit structures having vertical keeper or power gate for backside power delivery
US11094716B2 (en) Source contact and channel interface to reduce body charging from band-to-band tunneling
US20220199615A1 (en) Substrate-less vertical diode integrated circuit structures
US20240006483A1 (en) Integrated circuit structures having raised epitaxy on channel transistor
US20240222276A1 (en) Integrated circuit structures having lookup table decoders for fpgas

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination