DE102018218457A1 - Verfahren zum Bilden von Austauschgatestrukturen auf Transistorvorrichtungen - Google Patents

Verfahren zum Bilden von Austauschgatestrukturen auf Transistorvorrichtungen Download PDF

Info

Publication number
DE102018218457A1
DE102018218457A1 DE102018218457.7A DE102018218457A DE102018218457A1 DE 102018218457 A1 DE102018218457 A1 DE 102018218457A1 DE 102018218457 A DE102018218457 A DE 102018218457A DE 102018218457 A1 DE102018218457 A1 DE 102018218457A1
Authority
DE
Germany
Prior art keywords
gate
insulating
sacrificial gate
opening
sacrificial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018218457.7A
Other languages
English (en)
Inventor
Jiehui SHU
Chang Seo Park
Shimpei Yamaguchi
Tao Han
Yong Mo Yang
Jinping Liu
Hyuck Soo Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102018218457A1 publication Critical patent/DE102018218457A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

Ein hierin beschriebenes anschauliches Verfahren umfasst unter anderem ein Bilden einer Opfergatestruktur über einem Halbleitersubstrat, wobei die Opfergatestruktur eine Opfergateisolationsschicht und ein Opfergateelektrodenmaterial umfasst, ein Durchführen eines ersten Gate-Schnitt-Ätzprozesses, um dadurch eine Öffnung in dem Opfergateelektrodenmaterial zu bilden und einen internen Seitenwandabstandshalter in der Öffnung zu bilden. In diesem Beispiel umfasst das Verfahren auch nach dem Bilden des internen Seitenwandabstandshalters ein Durchführen eines zweiten Gate-Schnitt-Ätzprozesses durch die Öffnung, wobei der zweite Gate-Schnitt-Ätzprozess angepasst ist, um das Opfergateelektrodenmaterial zu bilden, wobei ein oxidierender Ausheizprozess durchgeführt wird und ein isolierendes Material wenigstens in der Öffnung gebildet wird.

Description

  • HINTERGRUND DER ERFINDUNG
  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft die Fertigung von integrierten Schaltungen und insbesondere verschiedene neue Verfahren zum Bilden von Austauschgatestrukturen auf Transistorvorrichtungen und die sich ergebenden neuen Vorrichtungsstrukturen.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • In modernen integrierten Schaltungen, z. B. Mikroprozessoren, Speichervorrichtungen und dergleichen, wird eine sehr große Anzahl von Schaltungselementen, insbesondere Transistoren, auf einer begrenzten Chipfläche bereitgestellt. Feldeffekttransistoren („FETs“) werden in einer Vielzahl von Gestalten und Formen gebildet, z. B. planare Transistoren, FinFET-Transistoren, vertikale Transistoren, Nanodraht-Vorrichtungen usw.
  • Ein bekannter planarer FET stellt eine planare Vorrichtung dar, wobei der gesamte Kanalbereich der Vorrichtung parallel und etwas unter der ebenen oberen Oberfläche des halbleitenden Substrats gebildet ist. Im Gegensatz zu einem planaren FET gibt es sogenannte 3D-Vorrichtungen, z. B. eine anschauliche FinFET-Vorrichtung, die eine dreidimensionale Struktur darstellt. 1 zeigt eine perspektivische Ansicht einer anschaulichen bekannten FinFET-Halbleitervorrichtung 10, die über einem Halbleitersubstrat 12 gebildet ist, wobei die Finnen 14 der Vorrichtung 10 aus dem Material des Substrats 12 gebildet sind, z. B. Silizium. Die Vorrichtung 10 umfasst eine Mehrzahl von Gräben 13 zur Bildung von Finnen, drei anschauliche Finnen 14, eine Gatestruktur 16, einen Seitenwandabstandshalter 18 und eine Gatedeckschicht 20. Der Abstandshalter 18 ist typischerweise aus Siliziumnitrid gebildet, kann in einigen Fällen jedoch aus einem Material gebildet sein, das eine niedrige Dielektrizitätskonstante (k) aufweist, die kleiner ist als die von Siliziumnitrid. Ein isolierendes Material 17, z. B. Siliziumdioxid, stellt eine elektrische Isolation zwischen den Finnen 14 bereit. Die Finnen 14 weisen eine dreidimensionale Konfiguration auf: eine Höhe H, eine Breite W und eine axiale Länge L. Die axiale Länge L entspricht der Gatelänge der Vorrichtung, insbesondere der Richtung des Stromes in der Vorrichtung 10, wenn diese betrieben wird. Die Gatebreite der Vorrichtung 10 ist senkrecht zu der Gatelängsrichtung. Die Abschnitte der Finnen 14, die durch die Gatestruktur 16 bedeckt werden, stellen die Kanalbereiche der FinFET-Vorrichtung 10 dar. Die Abschnitte der Finnen 14, die außerhalb der Abstandshalter 18 angeordnet sind, stellen einen Teil der Source/Drain-Bereiche der Vorrichtung 10 dar.
  • Für viele FET-Vorrichtungen werden die Gatestrukturen anfänglich als durchgehende linienartige Strukturen gebildet, die sich entlang des gesamten Substrat erstrecken, einschließlich quer zu beiden aktiven Gebieten und Isolationsbereichen. In fortschrittlichen integrierten Schaltungs (IC) -Produkten werden die Gatestrukturen für die Transistorvorrichtungen typischerweise unter Verwendung der bekannten Austauschgate (oder „Gate-Last“) - Fertigungstechnik hergestellt. Im Allgemeinen umfasst die Austauschgate-Fertigungstechnik das Bilden einer Opfer (oder „Blind“) -Gatestruktur, die aus einer Opfergateisolationsschicht (z. B. Siliziumdioxid) und einer Schicht aus einem Opfergateelektrodenmaterial (z. B. Polysilizium oder amorphes Silizium) gebildet ist. Mit der angeordneten Opfergatestruktur werden verschiedene Prozesse durchgeführt, z. B. Source/Drain-Implantationsprozesse, die Bildung eines epitaktischen Halbleitermaterials in den Source/Drain-Bereichen der Transistorvorrichtungen usw. An einem Punkt im Herstellungsprozess wird die Opfergatestruktur entfernt, um eine Austauschgateöffnung festzulegen. Daraufhin werden die Materialen für die Austauschgatestruktur in der Austauschgateöffnung gebildet und es wird eine finale Gatekappe über der Austauschgatestruktur gebildet. In fortschrittlichen Vorrichtungen kann eine solche Austauschgatestruktur eine High-k-Gateisolationsschicht (k-Wert von 10 oder mehr) und wenigstens eine metallaufweisende Schicht aus einem Material umfassen, die zusammen als die leitfähige Gateelektrode für die Austauschgatestruktur fungieren.
  • Für viele FET-Vorrichtungen werden die anfänglichen Opfergatestrukturen anfangs als durchgehende linienartige Strukturen gebildet, die sich entlang des gesamten Substrats erstrecken, auch über beiden aktiven Bereichen und Isolationsbereichen. Die langen durchgehenden linienartigen Opfergatestrukturen werden durch Abscheiden der Materialien für die Opfergatestrukturen entlang des gesamten Substrats gebildet, wobei eine strukturierte Gateätzmaske über den abgeschiedenen Opfergatematerialien gebildet wird und wenigstens ein Ätzprozess durch die strukturierte Gateätzmaske durchgeführt wird, um die freiliegenden Abschnitte der Opfergatematerialien zu entfernen. An diesem Punkt wird die Abstandshalterstruktur neben den langen durchgehenden linienartigen Opfergatestrukturen gebildet. Gemäß den obigen Erläuterungen werden Abschnitte der langen durchgehenden linienartigen Opfergatestrukturen irgendwann nach einer anderen Bearbeitung, beispielsweise nach einer Bildung eines epitaktischen Materials in den Source/Drain-Bereichen der Vorrichtungen, entfernt oder „ausgeschnitten“, um einzelne Abschnitte oder Segmente der ursprünglich langen durchgehenden linienartigen Opfergatestrukturen festzulegen, die schließlich entfernt und durch finale Austauschgatestrukturen ersetzt werden. Nach Beendigung des Schneideprozesses ist eine „Gate-Schnitt“-Öffnung oder -Raum zwischen zwei Schnittendflächen der nun getrennten ersten und zweiten Opfergatestrukturen vorhanden. Dies kann manchmal als ein „Scheitel-zu-Scheitel“-Abstand zwischen den einzelnen Opfergatestrukturen bezeichnet werden. Die Gate-Schnitt-Öffnung, die zwischen den Schnittendflächen der Opfergatestrukturen angeordnet ist, wird typischerweise mit einem isolierenden Material gefüllt.
  • Da die Dimensionen von Vorrichtungen weiterhin abnehmen und die Packungsdichte von Transistorvorrichtungen auf einem Substrat weiterhin zunehmen, treten bei der Herstellung von Austauschgatestrukturen auf Transistorvorrichtungen verschiedene Probleme auf. Insbesondere nimmt die vertikale Höhe der Opfergatestrukturen mit der weiteren Skalierung der Vorrichtung zu, während die laterale Breite (insbesondere Gatelänge oder kritische Dimension) der Opfergatestrukturen kleiner wird. Als ein Ergebnis wird das Aspektverhältnis (Höhe/laterale Breite) vergrößert, wodurch das Schneiden der ursprünglich langen durchgehenden linienartigen Opfergatestrukturen in einzelne Opfergatestruktursegmente problematischer wird. Zum Beispiel kann der Schritt des Schneidens der ursprünglich langen durchgehenden linienartigen Opfergatestrukturen angesichts des vergrößerten Aspektverhältnisses der Opfergatestrukturen von weiter fortgeschrittenen Vorrichtungen dahingehend unvollständig sein, dass unerwünschte Restmengen des Opfergatematerials nach Abschluss des Schneideprozesses verbleiben können. Die Gegenwart dieser unerwünschten Restmaterialien der Opfergatestrukturen kann die Bildung von qualitativen Austauschgatestrukturen erschweren und in einigen Fällen einen leitfähigen Pfad zwischen den finalen Austauschgatestrukturen bereitstellen, die für die Transistorvorrichtungen gebildet werden. Insbesondere können die leitfähigen Restmaterialien der Opfergatestruktur, die auf dem Boden der Gateschnittöffnung verbleiben, einen elektrischen Kurzschluss zwischen zwei finalen Austauschgatestrukturen bilden, wenn sie auf dem IC-Produkt gebildet werden, was dadurch zu einem geringeren Leistungsvermögen der Vorrichtung und/oder einem Ausfall der Vorrichtung führen kann.
  • Die vorliegende Erfindung ist auf verschiedene neue Verfahren zum Bilden von Austauschgatestrukturen auf Transistorvorrichtungen und die sich ergebenden neuen Vorrichtungsstrukturen gerichtet, die wenigstens eines der oben identifizierten Probleme wenigstens verringern oder eliminieren können.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Das Folgende stellt eine vereinfachte Zusammenfassung der Erfindung dar, um ein grundsätzliches Verständnis von einigen Aspekten der Erfindung bereitzustellen. Diese Zusammenfassung soll keinen vollständigen Überblick über die Erfindung geben. Es sollen keine Schlüsselelemente oder kritischen Elemente der Erfindung identifiziert werden oder es soll nicht der Rahmen der Erfindung abgegrenzt werden. Der einzige Zweck besteht in der Darstellung von einigen Konzepten in einer vereinfachten Form vorab der detaillierten Beschreibung unten.
  • Im Allgemeinen ist die vorliegende Erfindung auf verschiedene neue Verfahren zum Bilden von Austauschgatestrukturen auf Transistorvorrichtungen und die sich ergebenden neuen Vorrichtungsstrukturen gerichtet. Ein hierin beschriebenes anschauliches Verfahren umfasst unter anderem ein Bilden einer Opfergatestruktur über einem Halbleitersubstrat, wobei die Opfergatestruktur eine Opfergateisolationsschicht und ein Opfergateelektrodenmaterial umfasst, ein Durchführen eines ersten Gate-Schnitt-Ätzprozesses, um dadurch eine Öffnung in dem Opfergateelektrodenmaterial zu bilden, und ein Bilden eines internen Seitenwandabstandshalters in der Öffnung. In diesem Beispiel umfasst das Verfahren auch ein Durchführen eines zweiten Gate-Schnitt-Ätzprozesses durch die Öffnung nach dem Bilden des internen Seitenwandabstandshalters, wobei der zweite Gate-Schnitt-Ätzprozess angepasst wird, um das Opfergateelektrodenmaterial zu entfernen, ein Durchführen eines oxidierenden Ausheizprozesses und ein Bilden eines isolierenden Materials wenigstens in der Öffnung.
  • Ein hierin beschriebenes anschauliches integriertes Schaltungsprodukt umfasst eine erste finale Gatestruktur mit einer ersten Endfläche und eine zweite finale Gatestruktur mit einer zweiten Endfläche. In dieser Ausführungsform umfasst das integrierte Schaltungsprodukt auch eine isolierende Gate-Trennstruktur, die zwischen den ersten und zweiten finalen Gatestrukturen angeordnet ist, wobei die erste Endfläche eine erste Seitenfläche der isolierenden Gate-Trennstruktur kontaktiert und die zweite Endfläche eine zweite Seitenfläche der isolierenden Gate-Trennstruktur kontaktiert. In dieser Ausführungsform weist die isolierende Gate-Trennstruktur eine gestufte Bodenoberfläche mit einer im Wesentlichen horizontal orientierten Bodenmittenfläche auf, die von einer im Wesentlichen horizontal orientierten vertieften Oberfläche umgeben ist, wobei die im Wesentlichen horizontal orientierte Bodenmittenfläche an einer ersten Ebene über dem Substrat angeordnet ist und die im Wesentlichen horizontal orientierte vertiefte Oberfläche an einer zweiten Ebene über der Oberfläche angeordnet ist, wobei die zweite Ebene höher ist als die erste Ebene.
  • Figurenliste
  • Die Erfindung kann mit Bezug auf die folgende Beschreibung zusammen mit den beiliegenden Figuren verstanden werden, in denen ähnliche Bezugszeichen ähnliche Elemente bezeichnen und in denen:
    • 1 eine vereinfachte Darstellung einer anschaulichen bekannten FinFET-Vorrichtung zeigt; und
    • 2-22 verschiedene neue Verfahren, die hierin beschrieben sind, bezüglich der Bildung von Austauschgatestrukturen auf Transistorvorrichtungen und die sich ergebenden Vorrichtungsstrukturen darstellen.
  • Während der hierin beschriebene Gegenstand in verschiedenen Weisen modifiziert und alternativ ausgebildet sein kann, sind spezielle Ausführungsformen davon beispielhaft in den Figuren gezeigt und werden hierin im Detail beschrieben. Die Beschreibung von speziellen Ausführungsformen ist jedoch hierin nicht als die Erfindung auf die speziell beschriebenen Formen beschränkend anzusehen, sondern die Erfindung soll alle Modifizieren, Äquivalente und Alternativen abdecken, die in das Wesen und den Rahmen der Erfindung fallen, wie in den beiliegenden Ansprüchen definiert wird.
  • DETAILLIERTE BESCHREIBUNG
  • Es werden nachfolgend verschiedene anschauliche Ausführungsformen der Erfindung beschrieben. Aufgrund von Klarheit werden nicht alle Merkmale einer tatsächlichen Realisierung in dieser Beschreibung beschrieben. In der Entwicklung von einer beliebigen dieser tatsächlichen Ausführungsformen sind eine Vielzahl von implementierungsspezifischen Entscheidungen zu treffen, um die speziellen Ziele von Entwicklern zu erreichen, wie z. B. eine Übereinstimmung mit systembezogenen und geschäftsbezogenen Bedingungen, die von einer Realisierung zur anderen variieren können. Darüber hinaus kann ein solches Entwicklungsbemühen komplex und zeitaufwendig sein, stellt jedoch für den Fachmann angesichts dieser Beschreibung ein Routineuntemehmen dar.
  • Die vorliegende Erfindung wird nun mit Bezug auf die beiliegenden Figuren beschrieben. Verschiedene Strukturen, Systeme und Vorrichtungen sind in den Figuren zu Erläuterungszwecken dargestellt. Trotzdem sind die beiliegenden Figuren vorgesehen, um anschauliche Beispiele der vorliegenden Erfindung zu beschreiben und zu erklären. Die hierin verwendeten Wörter und Sätze sollen als eine Bedeutung aufweisend verstanden und interpretiert werden, die mit dem Verständnis dieser Wörter und Sätze durch den Fachmann konsistent sind. Es ist keine spezielle Definition eines Terms oder Satzes, insbesondere eine Definition, die sich von der gewöhnlichen und geläufigen Bedeutung unterscheidet, als durch eine konsistente Verwendung des Terms oder Satzes hierin impliziert zu verstehen. In dem Rahmen, in dem ein Termin oder Satz eine spezielle Bedeutung aufweisen soll, insbesondere eine Bedeutung, die sich von dem Verständnis des Fachmanns unterscheidet, wird eine solche spezielle Definition explizit in der Beschreibung in einer definierenden Weise ausgeführt, die die spezielle Definition für den Term oder Satz direkt und unmissverständlich bereitstellt.
  • Die Erfindung ist auf verschiedene neue Verfahren zum Bilden von Austauschgatestrukturen auf Transistorvorrichtungen und die sich ergebenden Vorrichtungsstrukturen gerichtet. Die gegenwärtig beschriebenen Verfahren können bei der Bildung von Transistorvorrichtungen mit verschiedenen unterschiedlichen Konfigurationen eingesetzt werden, z. B. planaren Transistorvorrichtungen, vertikalen Transistorvorrichtungen, FinFET-Vorrichtungen usw. Die anschaulichen Beispiele, die hierin beschrieben und dargestellt sind, umfassen eine Verwendung der hierin beschriebenen Verfahren zur Bildung eines integrierten Schaltungs (IC) - Produkts 100, das aus anschaulichen FinFET-Vorrichtungen gebildet wird. Die gegenwärtig beschriebene Erfindung ist als nicht in einer speziellen Weise beschränkt oder auf eine bestimmte Form von Transistorvorrichtung beschränkt zu verstehen. Darüber hinaus können die hierin beschriebenen Verfahren und Vorrichtungen bei der Bildung einer Vielzahl von Produkten eingesetzt werden, umfassend jedoch nicht beschränkend auf Logikprodukte, Speicherprodukte usw. Mit Bezug auf die beiliegenden Figuren werden nun verschiedene anschauliche Ausführungsformen der hierin offenbarten Verfahren und Vorrichtungen ausführlicher beschrieben.
  • 2 stellt ein IC-Produkt 100 dar, das in und über einem Halbleitersubstrat 102 gebildet wird. Das Substrat 102 kann eine Vielzahl von Konfigurationen aufweisen, z. B. die hierin dargestellte Bulk-Substrat-Konfiguration oder eine semiconductor-on-isolator (SOI) - Konfiguration. Ein solches SOI-Substrat umfasst eine Bulk-Halbleiterschicht eine vergrabende isolierende Schicht, die auf der Bulk-Halbleiterschicht angeordnet ist, und eine aktive Halbleiterschicht, die auf der vergrabenen isolierenden Schicht angeordnet ist, wobei die hierin beschriebenen Transistorvorrichtungen in und über der aktiven Schicht gebildet werden. Die aktive Schicht und/oder die Bulk-Halbleiterschicht können aus Silizium gebildet sein oder können aus Halbleitermaterialien gebildet sein, die sich von Silizium unterscheiden. Sie werden jedoch beide nicht unbedingt aus dem gleichen Halbleitermaterial gebildet. Demzufolge sollten die Begriffe „Substrat“ oder „Halbleitersubstrat“ als alle halbleitenden Materialien und alle Formen dieser Materialien abdeckend verstanden werden. Die finale Gatestruktur für die Transistorvorrichtungen, die hierin beschrieben werden, können unter Durchführung von bekannten Austauschgate-Herstellungstechniken gebildet werden. Zusätzlich sind verschiedene dotierte Bereiche, z. B. Halo-Implantationsbereiche, dotierte Source/Drain-Bereiche, Wannenbereiche und dergleichen, in den Figuren nicht dargestellt. Die hierin dargestellten Transistorvorrichtungen können entweder NMOS- oder PMOS-Transistoren darstellen. Die verschiedenen Komponenten und Strukturen der Transistorvorrichtungen, die hierin beschrieben werden, werden unter Verwendung einer Vielzahl von verschiedenen Materialien unter Durchführung einer Vielzahl von bekannten Techniken gebildet, z. B. einer chemischen Gasphasenabscheidung (CVD), einer Atomlagenabscheidung (ALD), einem thermischen Wachstumsprozess, einer Schleuderbeschichtung, Epi-Wachstum usw. Die Dicken dieser verschiedenen Materialschichten können auch abhängig von der speziellen Anwendung variieren.
  • Gemäß der Darstellung in 2 wurde eine Mehrzahl von Finnen 106 in dem Substrat 102 gebildet. 2 zeigt eine Querschnittansicht des Produkts 100 entlang der Finnen 106 an einer Stelle, an der die Gatestrukturen (nicht dargestellt) für erste und zweite FinFET-Vorrichtungen gebildet werden. Die Querschnittansicht in 2 soll entlang einer Richtung verlaufen, die der Gatebreiten (GW) -Richtung der Transistorvorrichtungen entspricht.
  • Mit weiterem Bezug auf 2 ist jede der anschaulichen FinFET-Vorrichtungen in dem hierin dargestellten Beispiel als zwei anschauliche Finnen 106 umfassend dargestellt. Natürlich können die FinFET-Vorrichtungen aus einer einzelnen Finne 106 oder aus mehr als der zwei hierin dargestellten anschaulichen Finnen 106 gebildet sein. In einem anschaulichen Beispiel wurden die Finnen 106 unter Durchführung von wenigstens einem Ätzprozess, z. B. mittels anisotroper Ätzprozesse, durch eine strukturierte Ätzmaske 104 zur Bildung von Finnen gebildet, um eine Mehrzahl von Gräben 105 zur Bildung von Finnen in dem Substrat 102 zu bilden und dadurch die Mehrzahl von Finnen 106 festzulegen oder zu bilden. Die strukturierte Ätzmaske 104 zur Bildung von Finnen soll von anschaulicher Natur sein, da sie mehrere Materialschichten umfassen kann, z. B. die dargestellte Siliziumdioxid-Schicht 104A und die Siliziumnitrid-Schicht 104B. Demzufolge sollte die spezielle Form und Zusammensetzung der strukturierten Ätzmaske 104 zur Bildung von Finnen nicht als Beschränkung der vorliegend beschriebenen Erfindungen angesehen werden.
  • Die laterale Breite und vertikale Höhe der Finnen 106 kann abhängig von der speziellen Anwendung variieren. Zusätzlich können die gesamte Größe, Gestalt und Konfiguration der Gräben 105 zur Bildung von Finnen und die gesamte Größe, Gestalt und Konfiguration der Finnen 106 abhängig von der speziellen Anwendung variieren. In den anschaulichen Beispielen, die in den beiliegenden Figuren dargestellt sind, sind die Gräben 105 zur Bildung der Finnen und die Finnen 106 alle als eine gleichförmige Größe und Gestalt aufweisend dargestellt. Eine solche Gleichförmigkeit in der Größe und Gestalt der Gräben 105 und der Finnen 106 ist jedoch für die Ausführung von wenigstens einem Aspekt der hierin beschriebenen Erfindungen nicht erforderlich. In den beiliegenden Figuren sind die Gräben 105 zur Bildung der Finnen dargestellt, als ob sie unter Durchführung eines anisotropen Ätzprozesses gebildet wurden, der in den Finnen 106 mit einer schematisch (und einfachen) dargestellten im Allgemeinen rechteckigen Konfiguration resultiert. In einer tatsächlichen echten Vorrichtung können die Seitenwände der Finnen 106 nach außen geneigt sein (insbesondere können die Finnen am Boden breiter sein, als an der Oberseite der Finne), obwohl diese Konfiguration in den beiliegenden Figuren nicht dargestellt ist. Demzufolge sollte die Größe und Konfiguration der Gräben 105 zur Bildung der Finnen und der Finnen 106 und die Weise, in der sie gefertigt werden, nicht als eine Beschränkung der vorliegend offenbarten Erfindungen angesehen werden. Für eine einfache Beschreibung sind lediglich die im Wesentlichen rechteckigen Gräben 105 und die Finnen 106 in den nachfolgenden Figuren dargestellt.
  • 3 stellt das Produkt 100 dar, nachdem mehrere Prozessoperationen durchgeführt wurden. Als erstes wurde eine Schicht aus einem isolierenden Material 108, z. B. Siliziumdioxid, abgeschieden, um die Gräben 105 zu überfüllen, so dass das isolierende Material 108 über der oberseitigen Oberfläche der strukturierten Ätzmaske 104 positioniert wurde. Danach wurde ein CMP-Prozess durchgeführt, um die oberseitige Oberfläche der abgeschiedenen Schicht aus isolierendem Material 108 mit der oberseitigen Oberfläche der strukturierten Ätzmaske 104 einzuebnen. Dann wurde ein Aussparungsätzprozess durchgeführt, um die Schicht aus isolierendem Material 108 zu vertiefen, so dass sie eine vertiefte oberseitige Oberfläche 108R aufweist, die einen gewünschten Abschnitt oder eine gewünschte Menge der vertikalen Höhe der Finnen 106 freilegt. Die Menge der Vertiefung der Schicht aus isolierendem Material 108 kann abhängig von den speziellen Anwendungen variieren. Danach wurde wenigstens ein Ätzprozess durchgeführt, um die strukturierte Ätzmaske 104 relativ zu den umgebenden Materialien zu entfernen.
  • Gemäß oben werden die finalen Gatestrukturen für die Transistorvorrichtungen unter Verwendung eines einzelnen Austauschgate-Herstellungsprozesses hergestellt, der hierin beschrieben ist. Ein Teil des Prozesses zum Bilden von Austauschgatestrukturen umfasst ein Bilden einer Opfergatestruktur 109, die entfernt und durch eine finale Austauschgatestruktur ausgetauscht wurde, nachdem verschiedene Prozessoperationen durchgeführt wurden, um die Transistoren mit der angeordneten Opfergatestruktur 109 herzustellen. Demgemäß umfasst eine solche Opfergatestruktur 109 mit weiterem Bezug auf 3 typischerweise eine Opfergateisolationsschicht 110 und eine Opfergateelektrode 114. Über der Opfergatestruktur 109 wird typischerweise eine Gatekappe 116 angeordnet. Gemäß der Darstellung in 3 wurde ein konformer Abscheidungsprozess, z. B. ein konformer ALD-Prozess, in einem anschaulichen Prozessfluss durchgeführt, um eine konforme Opfergateisolationsschicht 110 auf dem Produkt 100 zu bilden. Alternativ könnte die konforme Opfergateisolationsschicht 110 auch unter Durchführung eines thermischen Wachstumsprozesses gebildet werden. Die Dicke und das Material des Aufbaus für die Opfergateisolationsschicht 110 kann abhängig von der speziellen Anwendung variieren. In einer anschaulichen Ausführungsform kann die Opfergateisolationsschicht 110 aus Siliziumdioxid gebildet sein. In dem dargestellten Beispiel, wobei die anschaulichen Transistorvorrichtung FinFET-Vorrichtungen sind, wurde ein konformer Abscheidungsprozess durchgeführt, um die Opfergateisolationsschicht 110 zu bilden. Die Bildung einer solchen konformen Opfergateisolationsschicht 110 ist jedoch nicht unbedingt in allen Anwendungen erforderlich. Wenn die Transistorvorrichtungen planare Vorrichtungen darstellen, kann die Opfergateisolationsschicht 110 z. B. durch Bilden eines nichtkonformen Abscheidungsprozesses oder eines thermischen Aufwachsprozesses gebildet werden.
  • Gemäß der Darstellung in 3 wird die Opfergateelektrode 114 der Opfergatestruktur 109 auf der Opfergateisolationsschicht 110 gebildet. In einem anschaulichen Beispiel kann die Opfergateelektrode 114 aus einer einzelnen Materialschicht gebildet werden. Die Dicke und das Material der Opfergateelektrode 114 können abhängig von der speziellen Anwendung variieren. In einem anschaulichen Beispiel kann die Opfergateelektrode 114 aus Polysilizium, amorphen Silizium usw. gebildet sein. In einem anschaulichen Prozessfluss wurde ein großflächiger Abscheidungsprozess durchgeführt, um die Opfergateelektrode 114 auf der Opfergateisolationsschicht 110 zu bilden. Obwohl es in den Figuren nicht dargestellt ist, kann seine obere Oberfläche uneben sein, nachdem das Material für die Opfergateelektrode 114 anfänglich abgeschieden wurde, da es dazu tendiert, hohe Punkte an Stellen über den Finnen 106 und niedrige Punkte in Bereichen zwischen den Finnen 106 aufzuweisen, insbesondere umfasst seine obere Oberfläche Scheitel und Täler. Es ist letztlich erwünscht, dass die obere Oberfläche der Opfergateelektrode 114 vor der Durchführung von zusätzlichen Prozessoperationen im Wesentlichen eben sein kann. In einer Ausführungsform kann dies unter Bildung einer relativ dünnen Schicht aus Siliziumdioxid erreicht werden, um die Täler in der oberen Oberfläche der Materialschicht für die Opfergateelektrode 114 zu überfüllen, wobei ein CMP-Prozess durchgeführt wird, um die oberen Oberflächen des Siliziumdioxids und die Opfergateelektrodenmaterialschicht 114 zu planarisieren, wobei danach ein Ätzprozess durchgeführt wird, der bezüglich der Schicht aus Siliziumdioxid und der Materialschicht für die Opfergateelektrode 114 nicht selektiv ist. Dieser Ätzprozess wird durchgeführt, bis das ganze Siliziumdioxidmaterial entfernt wird, wodurch die Materialschicht für die Opfergateelektrode 114 mit der im Wesentlichen planaren oberen Oberfläche verbleibt, die in 3 dargestellt ist. Daraufhin wurde eine Gatedeckmaterialschicht 116 (z. B. Siliziumnitrid) über dem Substrat 102 auf der Materialschicht für die Opfergateelektrode 114 großflächig abgeschieden.
  • In einem anschaulichen Prozessfluss wurden alle Materialschichten 110, 114 und 116 anfänglich über dem gesamten Substrat 102 gebildet. An dem Punkt in dem hierin dargestellten anschaulichen Prozessfluss wurde eine Mehrzahl von Ätzprozessen durchgeführt, um wenigstens die Schichten 116 und 114 zu strukturieren, um eine Mehrzahl von separaten durchgehenden linienartigen Strukturen zu bilden, die sich über dem Substrat 102 erstrecken. 4 stellt eine Querschnittansicht dar, wie in 3 angezeigt ist, die in einer Richtung entsprechend der Gatelängs (Stromtransport) -Richtung der Transistorvorrichtungen verläuft. In einigen Anwendungen kann die Schicht 110 auch an diesem Punkt in dem Prozessfluss strukturiert werden, jedoch ist eine solche Situation in den Figuren nicht dargestellt. Dies kann unter Bildung einer strukturierten Fotolackätzmaske (nicht dargestellt) erreicht werden, die aus einer Mehrzahl von linienartigen Merkmalen über der Gatedeckmaterialschicht 116 gebildet wird, und daraufhin können wenigstens ein Ätzprozess durch die strukturierte Fotolackätzmaske durchgeführt werden, um die freiliegenden Abschnitte der Gatedeckmaterialschicht 116 zu entfernen. Jedes der einzelnen Merkmale der strukturierten Gatedeckmaterialschicht 116 kann als eine Gatekappe für eine der durchgehenden linienartigen Opfergatestrukturen 109 fungieren, die wenigstens aus der Opfergateelektrode 114 nach Abschluss der Ätzprozesse gebildet werden. An diesem Punkt kann die strukturierte Fotolackätzmaske entfernt werden und die strukturierte Gatedeckmaterialschicht 116 kann als eine Ätzmaske dienen, um wenigstens die Materialschicht für die Opfergateelektrode 114 zu strukturieren, um dadurch eine Mehrzahl der durchgehenden linienartigen Opfergatestrukturen 109 zu bilden, wobei jede eine Gatekappe 116 aufweist, die darauf angeordnet ist. Dann, wie in 4 dargestellt ist, kann ein Seitenwandabstandshalter 119 an den Seitenwänden von jeder der durchgehenden linienartigen Opfergatestrukturen 109 unter Durchführung von herkömmlichen Abstandshalter-Herstellungstechniken gebildet werden, insbesondere unter Abscheidung einer konformen Schicht aus einem Abstandshaltermaterial und unter Durchführung eines anisotropen Ätzprozesses. Mit Bezug auf 4 wurde dann eine Schicht aus isolierendem Material 121, z. B. Siliziumdioxid, auf dem Produkt 100 abgeschieden und es wurde ein CMP-Prozess durchgeführt, um die obere Oberfläche der Schicht aus isolierendem Material 121 mit der oberen Oberfläche der Gatekappe 116 zu planarisieren.
  • 5 stellt das Produkt 100 dar, nachdem verschiedene Prozessoperationen durchgeführt wurden. Als erstes wurde eine strukturierte Maskenschicht 117, z.B. eine strukturierte Schicht aus Fotolack, mit einer darin gebildeten Öffnung 117A über der Gatekappe 116 und der Schicht aus isolierendem Material 121 gebildet. Die Öffnung 117A ist an einer Stelle angeordnet, an der sie erwünscht ist, um die Materialien der Opfergatestrukturen 109 zu schneiden oder zu entfernen. Mit weiterem Bezug auf 5 wurde anisotroper Ätzprozess durch die Öffnungen 117A durchgeführt, um freiliegende Abschnitte der Gatekappe 116 freizulegen und eine Öffnung 116A in der Gatekappe 116 festzulegen. Dieser Ätzprozess kann auch die Höhe des Abstandshalters 119 verringern, jedoch ist eine solche Verringerung in der Höhe des Abstandshalters 119 in den Figuren nicht dargestellt. Im Allgemeinen umfasst ein Aspekt von wenigstens einigen der hierin beschriebenen Erfindungen ein Durchführen eines zweistufigen Gateätzprozesses, um wenigstens die Opfergateelektrode 114 der Opfergatestrukturen 109 in gewünschte Segmente unterschiedlicher axialer Längen zu schneiden oder zu separieren. Demgemäß stellt 5 das Produkt an einem Punkt dar, wobei ein erster anisotroper Gate-Schnitt-Ätzprozess durch die Öffnung 116A durchgeführt wurde, um Abschnitte der Opfergateelektrode 114 zu entfernen und dadurch eine Öffnung 118 mit einer lateralen Breite (in der Gatebreiten (GW) -Richtung der Transistorvorrichtungen) festzulegen. Der erste Gate-Schnitt-Ätzprozess wurde relativ gesehen in einem relativ nichtaggressiven Ätzprozess durchgeführt, so dass die Öffnung 118 bei einer ungefähr konstanten Breite 118W von der Oberseite zu dem Boden der Öffnung 118 beibehalten wird und im Wesentlichen planare Seitenwände in einer Querschnittansicht zeigt. Natürlich kann die Öffnung 118 in einer richtigen Vorrichtung zu einem bestimmten Grad geneigt sein, insbesondere kann sie an der Oberseite der Öffnung 118 breiter sein als am Boden der Öffnung 118. In dem Fall, in dem Opfergateelektrode 114 beispielsweise aus Polysilizium oder amorphen Silizium gefertigt ist, kann der erste Gate-Schnitt-Ätzprozess unter Verwendung von wenigstens einem auf Halogen basierenden Material als Ätzmittel durchgeführt werden und der Ätzprozess kann bei einer Leistung von kleiner oder gleich ungefähr 500 W durchgeführt werden. In einer Ausführungsform wird der erste Gate-Schnitt-Ätzprozess durchgeführt, so dass die Öffnung 118 einen Boden aufweist, der innerhalb des Opfergateelektrodenmaterials 114 endet. Insbesondere kann einiges des verbleibenden Materials der Opfergateelektrode 114 am Boden der Öffnung 118 als ein Ergebnis der Durchführung von diesem relativ nichtaggressiven ersten Gate-Schnitt-Ätzprozess vorhanden sein, wie in dem Bereich 123 mit gestrichelter Linie angezeigt wird. Die verbleibende Materialmenge der Opfergateelektrode 114 am Boden der Öffnung 118 kann abhängig von der speziellen Anwendung variieren. Wenn jedoch ein aggressiver Ätzprozess (z. B. unter Verwendung einer Leistung, die auf mehr als 500 W festgelegt ist) in einem Versuch durchgeführt wurde, um sicherzustellen, dass das gesamte Material der Opfergateelektrode 114 entfernt wurde, dann würden die Seitenwände der Öffnung 118 dazu tendieren, nach außen gebogen zu sein, wie durch die gestrichelten Linien 124 angezeigt wird. Diese gebogenen Seitenwände können dahingehend problematisch sein, dass der Abstand 125 zwischen den gebogenen Seitenwänden 124 und der nächsten Finne 106 kleiner sein würde, als der entsprechende Abstand zwischen der Finne 106 und den im Wesentlichen nicht gebogenen Seitenwänden der Öffnung 118, wenn die Öffnung 118 unter Verwendung des oben beschriebenen relativ nichtaggressiven Gate-Schnitt-Ätzprozesses gebildet würde. Der verringerte Abstand 125 bei Bildung der Öffnung 118 mit nach außen gebogenen Seitenwänden 124 kann die Bildung der finalen Gatestrukturen für die Vorrichtungen mehr herausfordernd machen, als der relativ schmale Abstand 125 zwischen den Finnen 106 und einer isolierenden Gate-Trennstruktur 141, die in der Öffnung 118 gebildet wird, wie unten ausführlicher beschrieben wird.
  • 6 stellt die Vorrichtung 100 dar, nachdem einige Prozessoperationen durchgeführt wurden. Als erstes wurde die strukturierte Ätzmaske 117 entfernt. Danach wurde ein konformer Abscheidungsprozess durchgeführt, um eine konforme Schicht aus Abstandshaltermaterial 126 über der Gatekappe 116 und in der Öffnung 118 zu bilden. Die Schicht aus Abstandshaltermaterial 126 kann aus einem beliebigen gewünschten Material gebildet sein, z. B. Siliziumnitrid, Siliziumoxynitrid usw.
  • Die 7 und 8 stellen das Produkt nach Durchführung eines anisotropen Ätzprozesses dar, um die horizontal angeordneten Abschnitte der Schicht aus Abstandshaltermaterial 126 zu entfernen, wodurch ein interner Seitenwandabstandshalter 126A gebildet wird, der auf den Seitenwänden der Öffnung 118 angeordnet ist. 8 zeigt eine Querschnittansicht, wie in 7 in einer Richtung entsprechend der Gatelängs (GL oder Stromtransport) -Richtung der Transistorvorrichtungen angezeigt ist. Die Dicke des internen Abstandshalters 126A (an seinem Boden) kann abhängig von der speziellen Anwendung variieren (z. B. 2-5 nm). Durch Bildung des internen Abstandshalters 126A wird wiederum ein bedeutender Abschnitt des Restabschnitts des Materials für die Opfergateelektrode 114, der am Boden der Öffnung 118 angeordnet ist, innerhalb der Fläche freigelegt, die durch die inneren Oberflächen des Abstandshalters 126A festgelegt wird. Weiterhin ist jedoch darstellungsgemäß ein Abschnitt des Materials für die Opfergateelektrode 114 unter oder als bedeckt durch die internen Abstandshalter 126A angeordnet.
  • Die 9, 10 und 11 stellen das Produkt dar, nachdem ein zweiter anisotroper Gate-Schnitt-Ätzprozess durch die Öffnung 118 durchgeführt wurde, wobei der interne Abstandshalter 126A darin angeordnet ist, um freiliegende Abschnitte der Opfergateelektrode 114 zu entfernen, die nicht durch den internen Abstandshalter 126A bedeckt werden, wobei die Entfernung zu den umgebenden Materialien selektiv ist. 10 stellt eine Querschnittansicht dar, wie in 9 in einer Richtung entsprechend der Gatelängs (Stromtransport) -Richtung der Transistorvorrichtungen angezeigt ist. 11 zeigt eine vergrößerte ebene Ansicht in Ansicht nach unten in der Öffnung 118, wobei der interne Abstandshalter 126A entfernt wird, wobei Pfeile die Gatelängs (GL) und Gatebreiten (GW) -Richtungen der Transistorvorrichtungen anzeigen. In einer anschaulichen Ausführungsform stoppt der zweite anisotrope Gate-Schnitt-Ätzprozess auf der Opfergateisolationsschicht 110 und verlängert effektiv die Tiefe der Öffnung 118. Nach Abschluss des zweiten Gate-Schnitt-Ätzprozesses wird das Material für die Opfergateelektrode 114 darstellungsgemäß im Wesentlichen in einen ersten Abschnitt 114A und einen zweiten Abschnitt 114B geschnitten, abgesehen von dem verbleibenden Abschnitt 114R des Materials der Opfergateelektrode 114, das unter dem internen Abstandshalter 126A angeordnet ist. An diesem Punkt im Prozessfluss kann ein Ätzprozess durchgeführt werden, falls gewünscht, um die freiliegenden Abschnitte der Opfergateisolationsschicht 110 zu entfernen, die am Boden der Öffnung 118 angeordnet sind.
  • 12, 13 und 14 stellen das Produkt dar, nachdem ein oxidierender Ausheizprozess 130 auf dem Produkt durchgeführt wurde, um wenigstens den verbleibenden Abschnitt 114R des Materials für die Opfergateelektrode 114 umzuwandeln, der unter oder als bedeckt durch den internen Abstandshalter 12A in den Siliziumdioxidbereichen 132 angeordnet wurde, wodurch sichergestellt wird, dass kein leitfähiges Material oder kein leitfähiger Pfad zwischen den Gatesegmenten 114A, 114B vorhanden ist. 13 zeigt eine Querschnittansicht, wie in 12 dargestellt ist, in einer Richtung entsprechend der Gatelängs (Stromtransport) - Richtung der Transistorvorrichtungen. 14 zeigt eine vergrößerte ebene Ansicht in der Öffnung 118, wobei der interne Abstandshalter 126A entfernt ist. In einer anschaulichen Ausführungsform kann der oxidierende Ausheizprozess 130 ein Dampfausheizprozess (steam anneal process) sein, der bei einer Temperatur von ungefähr 600° C für eine Dauer von ungefähr 1 Stunde durchgeführt wird, obwohl diese Bearbeitungsdetails abhängig von der Anwendung variieren können. Abhängig von der Dauer und den Parametern des Ausheizprozesses 130 können sich die Bereiche des Siliziumdioxids 132 seitlich um einen Abstand erstrecken, der größer ist als die Breite des Abstandshalters 126A (an seinem Boden), insbesondere können sich die Bereiche des Siliziumdioxidmaterials 132 weiter zu den benachbarten Finnen 106 erstrecken, wie in den vereinfachten Figuren dargestellt ist, die hierin gezeigt sind.
  • 15, 16 und 17 stellen das Produkt dar, nachdem einige Prozessoperationen durchgeführt wurden. 16 zeigt eine Querschnittansicht, die in 15 als in einer Richtung angezeigt wird, die der Gatelängs (Stromtransport) -Richtung der Transistorvorrichtungen entspricht. 17 stellt eine vergrößerte ebene Ansicht in einer Ansicht von unten der Öffnung 118 dar, nachdem verschiedene Materialien darin gebildet wurden. Als erstes wurde ein Abscheidungsprozess durchgeführt, um die Öffnung 118 mit einem isolierenden Material 140 zu überfüllen, beispielsweise Siliziumnitrid. Nachfolgend wurde wenigstens ein CMP-Prozess durchgeführt, der auf der oberen Oberfläche 114U der Opfergateelektrodenmaterialien 114A, 114B stoppt. Diese Prozessoperationen entfernen übermäßige Mengen des isolierenden Materials 140, sowie der Gatekappe 116. Das verbleibende isolierende Material 140, das in der Öffnung 118 angeordnet ist, und die internen Abstandshalter 126A bilden zusammen die oben genannte isolierende Gate-Trennstruktur 141. In einer anschaulichen Ausführungsform können der interne Abstandshalter 126A und das Material 140 aus dem gleichen Material gebildet sein, z. B. Siliziumnitrid. Die Materialien der Opfergatestrukturen 109 auf gegenüberliegenden Seiten der isolierenden Gate-Trennstruktur 140 werden nun zur Entfernung freigelegt.
  • 18 und 19 stellen das Produkt 100 dar, nachdem einige Prozessoperationen durchgeführt wurden, um finale erste und zweite Austauschgatestrukturen 150X, 150Y (gemeinsam unter Verwendung des Bezugszeichen 150 bezeichnet) an gegenüberliegenden Seiten der Isolationsgatetrennstruktur 141 für die Transistorvorrichtungen zu bilden. 19 zeigt eine Querschnittansicht, die durch die finale Gatestruktur 150X verläuft, wie in 18 in einer Richtung entsprechend der Gate-Längs (Stromtransport) -Richtung der Transistorvorrichtungen verläuft. Als erstes wurde eine Mehrzahl von Ätzprozessen durchgeführt, um die freiliegenden Abschnitte des Materials für die Opfergateelektrode 114 und die Opfergateisolationsschicht 110 sequentiell zu entfernen. Diese Prozessoperation definiert eine Mehrzahl von Austauschgatesaussparungen an entgegengesetzten Seiten der isolierenden Gate-Trennstruktur 141. Die Gateaussparungen werden durch die Seitenwandabstandshalter 119 (vgl. 19) seitlich (in der Gatelängsrichtung) begrenzt. Als Nächstes wurden verschiedene Prozessoperationen durchgeführt, um anschauliche und einfach dargestellte Austauschgatestrukturen 150X, 150Y in jeder der Gateaussparungen zu bilden. Im Allgemeinen kann die Austauschgatestruktur 150 aus wenigstens einer Schicht aus isolierendem Material gebildet sein, das (im Ganzen oder teilweise) als die Gateisolationsschicht 150A der finalen Gatestruktur für die Transistorvorrichtungen fungiert, und aus wenigstens einer Schicht aus einem leitfähigen Material gebildet sein, z. B. einem Metall, einer Metalllegierung, Polysilizium, einem austrittsarbeitseinstellendem Metall usw., das (im Ganzen oder teilweise) als leitfähige Gateelektrode 150B der finalen Gatestruktur 150 der Transistorvorrichtungen fungiert. Die Dicke und Zusammensetzung der Materialien für die Austauschgatestruktur 150 kann abhängig von der speziellen Anwendung und der relativen Dicke der Materialien für die Austauschgatestruktur 150 variieren, die in den Figuren nicht maßstabsgetreu gezeigt ist. In einem anschaulichen Beispiel können die gleichen Materialien, die für die Austauschgatestruktur 150 verwendet werden, auch für die Gatestruktur von N-artigen und P-artigen Vorrichtungen verwendet werden. In anderen Anwendungen können die Materialien für die Austauschgatestruktur 150, die für N-artige und P-artige Vorrichtungen verwendet werden, durch die Bildung geeigneter Maskenschichten (nicht dargestellt) verschieden sein. In einer anschaulichen Ausführungsform kann die Schicht aus isolierendem Material 150A aus einem high-k (k-Wert von 10 oder mehr) isolierenden Material gebildet sein, z. B. Hafniumoxid, während die leitfähige Gateelektrode 150B aus einem Metall oder einem Metall aufweisenden Material gebildet sein kann, z. B. Titannitrid (nicht eigens dargestellt), das als eine austrittsarbeitseinstellende Schicht fungiert, und eine Bulk-Schicht aus einem leitfähigen Material gebildet sein, z. B. einem Metall, einer Metallverbindung, Wolfram oder einem dotierten Silizium. Die Austauschgatestruktur 150 soll für jede Art von Gatestruktur repräsentativ sein, die unter Verwendung von Austauschgate-Herstellungstechniken gebildet wird. Die erste finale Gatestruktur 150X weist eine erste Endfläche 150F auf, die eine erste Seitenfläche 141A der isolierenden Gate-Trennstruktur 141 kontaktiert, während die zweite finale Gatestruktur 150Y eine zweite Endfläche 150G aufweist, die eine zweite Seitenfläche 141B der isolierenden Gate-Trennstruktur 141 kontaktiert.
  • In einem anschaulichen Prozessfluss kann ein CMP-Prozess nach Bildung der Materialien für die Austauschgatestruktur 150 durchgeführt werden, um übermäßige Materialien zu entfernen, die über der Schicht aus isolierendem Material 121 angeordnet sind (vgl. 19). An diesem Punkt kann wenigstens ein Ätzprozess durchgeführt werden, der wenigstens eine Aussparung bildet, um Abschnitte von den Materialien der Austauschgatestruktur 150 innerhalb der Gateaussparungen zu entfernen oder zu vertiefen, um Platz für eine finale Gatekappe 152 zu bilden. Die finale Gatekappe 152 (z. B. Siliziumnitrid) kann durch Abscheiden einer Schicht des Gatedeckmaterials gebildet werden, um die Gateaussparungen zu überfüllen, und danach kann ein CMP-Prozess zur Entfernung von übermäßigen Materialien durchgeführt werden.
  • Die 20 und 2 sind vergrößerte Ansichten von einer anschaulichen Ausführungsform der isolierenden Gate-Trennstruktur 141, die hierin beschrieben ist. 20 stellt die Komponententeile der isolierenden Gate-Trennstruktur 141, insbesondere das isolierende Material 140 und die internen Abstandshalter 126A dar und zeigt auch das Siliziumdioxidmaterial 132, auf dem die isolierende Gate-Trennstruktur 141 angeordnet ist. 21 stellt lediglich ein Schema der isolierenden Gate-Trennstruktur 141 dar. Gemäß der Darstellung in 21 weist die isolierende Gate-Trennstruktur 141 eine gestufte Bodenfläche 141S auf, die aus einer Bodenmittenfläche 162 gebildet ist, die von einer vertieften oder eingekerbten Oberfläche 163 umgeben ist. 22 zeigt eine ebene Ansicht, die die gestufte Bodenfläche 141S darstellt. Gemäß der Darstellung ist die im Wesentlichen horizontal orientierte Bodenmittenfläche 162 der gestuften Bodenfläche 141S an einer ersten Höhe über dem Substrat 102 angeordnet und die im Wesentlichen horizontal orientierte vertiefte Oberfläche 162 ist auf einer zweiten Höhe über dem Substrat angeordnet, wobei die zweite Höhe größer ist als die erste Höhe. Der Unterschied zwischen den ersten und zweiten Höhen entspricht ungefähr der vertikalen Dicke des Bereichs des Siliziumdioxidmaterials 132, das unter Durchführung des oben beschriebenen oxidierenden Ausheizprozesses 130 gebildet wurde. Der Bereich des Siliziumdioxidmaterials 132 ist auch neben einer Übergangsfläche 164 zwischen der im Wesentlichen horizontal orientierten Bodenmittenfläche 162 und der im Wesentlichen horizontal orientierten vertieften Oberfläche 163 angeordnet.
  • Die speziellen Ausführungsformen, die oben beschrieben sind, sind lediglich anschaulich, da die Erfindung in verschiedenen jedoch äquivalenten Weisen modifiziert und praktiziert werden kann, die dem Fachmann angesichts der Lehre hierin ersichtlich sind. Die oben dargelegten Prozessschritte können z. B. in einer unterschiedlichen Reihenfolge durchgeführt werden. Weiterhin sind keine Begrenzungen auf die Konstruktionsdetails oder das hierin gezeigte Design beabsichtigt, sofern dies nicht in den Ansprüchen unten anders beschrieben ist. Es ist demzufolge ersichtlich, dass die oben beschriebenen speziellen Ausführungsformen geändert oder modifiziert werden können und dass alle diese Variationen in den Rahmen und das Wesen der Erfindung fallen sollen. Die Verwendung der Terme, z. B. „erste“, „zweite“, „dritte“ oder „vierte“ zur Beschreibung verschiedener Prozesse oder Strukturen in dieser Beschreibung und in den beigefügten Ansprüchen soll lediglich als eine abkürzende Bezugnahme auf diese Schritte/Strukturen verwendet werden und impliziert nicht unbedingt, dass diese Schritte/Strukturen in dieser geordneten Reihenfolge durchgeführt/gebildet werden. Abhängig von der genauen Anspruchsformulierung kann eine geordnete Reihenfolge dieser Prozesse erforderlich sein oder nicht. Der Schutz ist in den Ansprüchen unten dargelegt.

Claims (20)

  1. Verfahren, umfassend: ein Bilden einer Opfergatestruktur über einem Halbleitersubstrat, wobei die Opfergatestruktur eine Opfergateisolationsschicht und ein Opfergateelektrodenmaterial umfasst; ein Durchführen eines ersten Gate-Schnitt-Ätzprozesses, um dadurch eine Öffnung in dem Opfergateelektrodenmaterial zu bilden; ein Bilden eines internen Seitenwandabstandshalters in der Öffnung; nach dem Bilden des internen Seitenwandabstandshalters, ein Durchführen eines zweiten Gate-Schnitt-Ätzprozesses durch die Öffnung, wobei der zweite Gate-Schnitt-Ätzprozess angepasst ist, um das Opfergateelektrodenmaterial zu entfernen; ein Durchführen eines oxidierenden Ausheizprozesses; und ein Bilden eines isolierenden Materials wenigstens in der Öffnung.
  2. Verfahren nach Anspruch 1, wobei das Durchführen des ersten Gate-Schnitt-Ätzprozesses ein Durchführen des ersten Gate-Schnitt-Ätzprozesses umfasst, um dadurch die Öffnung mit einem Boden zu bilden, der innerhalb des Opfergateelektrodenmaterials stoppt, und der zweite Gate-Schnitt-Ätzprozess auf der Opfergateisolationsschicht stoppt und das Opfergateelektrodenmaterial am Boden der Öffnung entfernt.
  3. Verfahren nach Anspruch 1, wobei das Bilden des internen Seitenwandabstandshalters ein Bilden des internen Seitenwandabstandshalters umfasst, so dass ein Abschnitt des Opfergateelektrodenmaterials vertikal unter dem internen Seitenwandabstandshalter angeordnet ist.
  4. Verfahren nach Anspruch 3, wobei der oxidierende Ausheizprozess wenigstens den Abschnitt des Opfergateelektrodenmaterials konvertiert, der vertikal unter dem internen Seitenwandabstandshalter in einem Bereich von Siliziumdioxid angeordnet ist.
  5. Verfahren nach Anspruch 1, wobei das Durchführen des oxidierenden Ausheizprozesses ein Durchführen eines Dampfausheizprozesses umfasst.
  6. Verfahren nach Anspruch 1, wobei das isolierende Material in wenigstens der Öffnung und der internen Seitenwandabstandshalter wenigstens teilweise eine isolierende Gate-Trennstruktur festlegen.
  7. Verfahren nach Anspruch 6, ferner umfassend: ein Entfernen von Materialien der Opfergatestruktur an gegenüberliegenden Seiten der isolierenden Gate-Trennstruktur, um erste und zweite Austauschgateöffnungen an gegenüberliegenden Seiten der isolierenden Gate-Trennstruktur zu bilden; und ein Bilden von ersten und zweiten Austauschgatestrukturen in den entsprechenden ersten und zweiten Austauschgateöffnungen.
  8. Verfahren nach Anspruch 7, wobei die ersten und zweiten Austauschgatestrukturen eine high-k Austauschgateisolationsschicht und eine Austauschgateelektrode umfasst, die wenigstens eine metallaufweisende Materialschicht umfasst.
  9. Verfahren nach Anspruch 1, wobei die Opfergatestruktur für eine FinFET-Vorrichtung, eine planare Transistorvorrichtung oder eine vertikale Transistorvorrichtung gebildet ist, wobei die Opfergateisolationsschicht Siliziumdioxid umfasst und wobei das Opfergateelektrodenmaterial Polysilizium oder amorphes Silizium umfasst.
  10. Verfahren nach Anspruch 9, wobei der erste Gate-Schnitt-Ätzprozess und der zweite Gate-Schnitt-Ätzprozess mit wenigstens einem Material basierend auf Halogen als einem Ätzmaterial durchgeführt wird.
  11. Verfahren nach Anspruch 1, wobei das isolierende Material in wenigstens der Öffnung und der internen Seitenwandabstandshalter beide aus dem gleichen isolierenden Material gebildet sind.
  12. Verfahren, umfassend: ein Bilden einer durchgehenden linienartigen Opfergatestruktur über einem Halbleitersubstrat, wobei die Opfergatestruktur eine Opfergateisolationsschicht und ein Opfergateelektrodenmaterial umfasst; ein Durchführen eines ersten Gate-Schnitt-Ätzprozesses, um dadurch eine Öffnung in dem Opfergateelektrodenmaterial zu bilden, wobei die Öffnung einen Boden aufweist, der innerhalb des Opfergateelektrodenmaterials stoppt; ein Bilden eines internen Seitenwandabstandshalters in der Öffnung, so dass ein Abschnitt des Opfergateelektrodenmaterials vertikal unter dem internen Seitenwandabstandshalter angeordnet ist; nach dem Bilden des internen Seitenwandabstandshalters, ein Durchführen eines zweiten Gate-Schnitt-Ätzprozesses, der auf der Opfergateisolationsschicht stoppt und das Opfergateelektrodenmaterial am Boden der Öffnung entfernt; ein Durchführen eines oxidierenden Ausheizprozesses, um wenigstens einen Abschnitt des Opfergateelektrodenmaterials zu konvertieren, der vertikal unter dem internen Seitenwandabstandshalter in einem Bereich des Siliziumdioxids angeordnet ist; und ein Bilden eines isolierenden Materials in wenigstens der Öffnung.
  13. Verfahren nach Anspruch 12, wobei das Durchführen des oxidierenden Ausheizprozesses ein Durchführen eines Dampfausheizprozesses umfasst.
  14. Verfahren nach Anspruch 12, wobei das isolierende Material in wenigstens der Öffnung und der interne Seitenwandabstandshalter wenigstens teilweise eine isolierende Gate-Trennstruktur festlegen.
  15. Verfahren nach Anspruch 14, ferner umfassend: ein Entfernen von Materialien der Opfergatestruktur an gegenüberliegenden Seiten der isolierenden Gate-Trennstruktur, um erste und zweite Austauschgateöffnungen an gegenüberliegenden Seiten der isolierenden Gate-Trennstruktur zu bilden; und ein Bilden von ersten und zweiten Austauschgatestrukturen in den entsprechenden ersten und zweiten Austauschgateöffnungen.
  16. Integriertes Schaltungsprodukt, das über einem Halbleitersubstrat gebildet ist, wobei das Produkt umfasst: eine erste finale Gatestruktur mit einer ersten Endfläche; eine zweite finale Gatestruktur mit einer zweiten Endfläche; und eine isolierende Gate-Trennstruktur, die zwischen den ersten und zweiten finalen Gatestrukturen angeordnet ist, wobei die isolierende Gate-Trennstruktur erste und zweite Seitenflächen umfasst, die einander gegenüberliegen, wobei die erste Endfläche die erste Seitenfläche der isolierenden Gate-Trennstruktur kontaktiert und die zweite Endfläche die zweite Seitenfläche der isolierenden Gate-Trennstruktur kontaktiert, und wobei die isolierende Gate-Trennstruktur eine erste gestufte Bodenfläche mit einer im Wesentlichen horizontal orientierten Bodenmittenfläche aufweist, die durch eine im Wesentlichen horizontal orientierte vertiefte Fläche umgeben ist, wobei die im Wesentlichen horizontal orientierte Bodenmittenfläche auf einer ersten Höhe über dem Substrat angeordnet ist und die im Wesentlichen horizontal orientierte vertiefte Fläche auf einer zweiten Höhe über dem Substrat angeordnet ist, wobei die zweite Höhe größer ist als die erste Höhe.
  17. Integriertes Schaltungsprodukt nach Anspruch 16, wobei die isolierende Gate-Trennstruktur einen internen Seitenwandabstandshalter und eine Materialschicht umfasst, die innerhalb des internen Seitenwandabstandshalters angeordnet ist.
  18. Integriertes Schaltungsprodukt nach Anspruch 17, ferner umfassend: einen Siliziumdioxidbereich, der vertikal unter der im Wesentlichen horizontal orientierten vertieften Fläche und neben einer Übergangsfläche der isolierenden Gate-Trennstruktur zwischen der im Wesentlichen horizontal orientierten Bodenmittenfläche und der im Wesentlichen horizontal orientierten vertieften Fläche angeordnet ist.
  19. Integriertes Schaltungsprodukt nach Anspruch 17, wobei der interne Seitenwandabstandshalter und die Materialschicht, die innerhalb des interne Seitenwandabstandshalters angeordnet ist, beide Siliziumnitrid umfassen.
  20. Integriertes Schaltungsprodukt nach Anspruch 16, wobei die ersten und zweiten finalen Gatestrukturen eine high-k Austausgateisolationsschicht und eine Austauschgateelektrode umfassen, die wenigstens eine Schicht eines Metall-aufweisenden Materials umfasst.
DE102018218457.7A 2017-10-30 2018-10-29 Verfahren zum Bilden von Austauschgatestrukturen auf Transistorvorrichtungen Pending DE102018218457A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/797,837 US10453936B2 (en) 2017-10-30 2017-10-30 Methods of forming replacement gate structures on transistor devices
US15/797,837 2017-10-30

Publications (1)

Publication Number Publication Date
DE102018218457A1 true DE102018218457A1 (de) 2019-05-02

Family

ID=66137916

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018218457.7A Pending DE102018218457A1 (de) 2017-10-30 2018-10-29 Verfahren zum Bilden von Austauschgatestrukturen auf Transistorvorrichtungen

Country Status (4)

Country Link
US (2) US10453936B2 (de)
CN (1) CN109727873B (de)
DE (1) DE102018218457A1 (de)
TW (1) TWI714853B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020119452A1 (de) 2020-07-08 2022-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Verfahren

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201333870A (zh) 2011-12-21 2013-08-16 艾登工具股份有限公司 決定病人胰島素療法的系統及方法
US11088262B2 (en) * 2018-09-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Radical etching in gate formation
US10566202B1 (en) * 2018-11-29 2020-02-18 Globalfoundries Inc. Gate structures of FinFET semiconductor devices
CN111900088B (zh) * 2019-05-05 2024-03-26 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11621322B2 (en) * 2020-07-30 2023-04-04 Wolfspeed, Inc. Die-to-die isolation structures for packaged transistor devices
KR20220145195A (ko) 2021-04-21 2022-10-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20220399373A1 (en) * 2021-06-15 2022-12-15 Intel Corporation Integrated circuit structures having cut metal gates with dielectric spacer fill

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4897154A (en) * 1986-07-03 1990-01-30 International Business Machines Corporation Post dry-etch cleaning method for restoring wafer properties
KR100663357B1 (ko) 2005-02-22 2007-01-02 삼성전자주식회사 금속 질화막 패턴을 갖는 트랜지스터의 형성방법들
US8361338B2 (en) * 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8373239B2 (en) * 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
US8741778B2 (en) * 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
KR101732023B1 (ko) * 2010-12-23 2017-05-02 삼성전자주식회사 반도체 장치의 형성 방법
US8536040B1 (en) * 2012-04-03 2013-09-17 Globalfoundries Inc. Techniques for using material substitution processes to form replacement metal gate electrodes of semiconductor devices with self-aligned contacts
US8659077B1 (en) 2012-09-13 2014-02-25 International Business Machines Corporation Multi-layer work function metal replacement gate
US9093376B2 (en) 2012-10-24 2015-07-28 International Business Machines Corporation Replacement metal gate FinFET
US9153693B2 (en) * 2013-06-13 2015-10-06 Globalfoundries Inc. FinFET gate with insulated vias and method of making same
US9070635B2 (en) * 2013-08-09 2015-06-30 United Microelectronics Corp. Removing method
US9219153B2 (en) * 2013-08-21 2015-12-22 Globalfoundries Inc. Methods of forming gate structures for FinFET devices and the resulting semiconductor products
US9048262B2 (en) 2013-09-20 2015-06-02 International Business Machines Corporation Multi-fin finFETs with merged-fin source/drains and replacement gates
US9236480B2 (en) 2013-10-02 2016-01-12 Globalfoundries Inc. Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
US9059042B2 (en) 2013-11-13 2015-06-16 Globalfoundries Inc. Methods of forming replacement gate structures and fins on FinFET devices and the resulting devices
US20150214331A1 (en) 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9318582B2 (en) * 2014-03-17 2016-04-19 International Business Machines Corporation Method of preventing epitaxy creeping under the spacer
US9231080B2 (en) 2014-03-24 2016-01-05 International Business Machines Corporation Replacement metal gate
US9064890B1 (en) 2014-03-24 2015-06-23 Globalfoundries Inc. Methods of forming isolation material on FinFET semiconductor devices and the resulting devices
US9147748B1 (en) * 2014-05-01 2015-09-29 Globalfoundries Inc. Methods of forming replacement spacer structures on semiconductor devices
US9508826B2 (en) 2014-06-18 2016-11-29 Globalfoundries Inc. Replacement gate structure for enhancing conductivity
US9318574B2 (en) 2014-06-18 2016-04-19 International Business Machines Corporation Method and structure for enabling high aspect ratio sacrificial gates
US9508642B2 (en) * 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9887129B2 (en) 2014-09-04 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with contact plug
KR102331913B1 (ko) * 2014-09-26 2021-12-01 인텔 코포레이션 반도체 디바이스들에 대한 선택적 게이트 스페이서들
CN105762106B (zh) * 2014-12-18 2021-02-19 联华电子股份有限公司 半导体装置及其制作工艺
KR102224386B1 (ko) * 2014-12-18 2021-03-08 삼성전자주식회사 집적 회로 장치의 제조 방법
US9331074B1 (en) 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102312346B1 (ko) 2015-02-23 2021-10-14 삼성전자주식회사 반도체 소자 형성 방법
KR102376503B1 (ko) 2015-04-23 2022-03-18 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
KR102410146B1 (ko) * 2015-06-26 2022-06-16 삼성전자주식회사 반도체 장치의 제조 방법
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US9659930B1 (en) * 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9761720B2 (en) 2015-11-30 2017-09-12 Globalfoundries Inc. Replacement body FinFET for improved junction profile with gate self-aligned junctions
TWI690080B (zh) * 2016-06-08 2020-04-01 聯華電子股份有限公司 半導體元件
US10297614B2 (en) * 2016-08-09 2019-05-21 International Business Machines Corporation Gate top spacer for FinFET
US10083961B2 (en) * 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US10008601B2 (en) * 2016-10-17 2018-06-26 International Business Machines Corporation Self-aligned gate cut with polysilicon liner oxidation
US10460995B2 (en) * 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US10134604B1 (en) 2017-04-28 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020119452A1 (de) 2020-07-08 2022-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Verfahren
US11495464B2 (en) 2020-07-08 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
DE102020119452B4 (de) 2020-07-08 2023-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit schnitt-metallgate-struktur und verfahren zu deren herstellung

Also Published As

Publication number Publication date
TW201917794A (zh) 2019-05-01
US20190355832A1 (en) 2019-11-21
US11522068B2 (en) 2022-12-06
CN109727873A (zh) 2019-05-07
US20190131429A1 (en) 2019-05-02
US10453936B2 (en) 2019-10-22
TWI714853B (zh) 2021-01-01
CN109727873B (zh) 2022-03-18

Similar Documents

Publication Publication Date Title
DE102018218457A1 (de) Verfahren zum Bilden von Austauschgatestrukturen auf Transistorvorrichtungen
DE102014219912B4 (de) Verfahren zum Bilden von FinFET-Halbleitervorrichtungen unter Verwendung einer Austauschgatetechnik und die resultierenden Vorrichtungen
DE102014119642B4 (de) Finfets mit einem source-/drainüberzug
DE112017000914B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Wrap-Around-Kontakt
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102012217491B4 (de) Transistor, verfahren zur herstellung eines transistors und verfahren zurverringerung der parasitären kapazität in einem multi-gate-feldeffekttransistor
DE112012001220B4 (de) Halbleiterstruktur mit lokaler zu einer Gate-Struktur selbstjustierten Zwischenverbindungsstruktur und statische Speicherzelle diese beinhaltend und Verfahren diese zu bilden
DE102017103674B4 (de) Halbleitervorrichtung mit mehrschicht-kanalstrukturund verfahren zur herstellung
DE102013103470B4 (de) Verfahren für einen Feldeffekttransistor
DE102020107101B3 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102012214077A1 (de) Integrierte Schaltungen mit abstehenden Source- und Drainbereichen und Verfahren zum Bilden integrierter Schaltungen
DE102018218869A1 (de) Verfahren zum Bilden von Kontaktstrukturen auf integrierten Schaltungsprodukten
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102017117795A1 (de) Fets und verfahren zu deren herstellung
DE112012004934T5 (de) FinFET mit verschmolzenen Rippen und vertikalem Silicid
DE102015117320A1 (de) Halbleitervorrichtung und deren herstellungsverfahren
DE102019116998B4 (de) Leitfähiger kontakt mit treppenartigen barriereschichten
DE102017118345B4 (de) Kontaktöffnungen und verfahren zu deren herstellung
DE102012221620A1 (de) Verfahren zum Strukturieren von Merkmalen in einer Struktur unter Verwendung einer Mehrfachseitenwandbildübertragungstechnik
DE102016118062B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einem nichtflüchtigen Speicher und einer Logikschaltung
DE102014019360A1 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102017124145A1 (de) Source-/Drain-Epitaxiegebiete von Finfets und Verfahren zu deren Ausbildung
DE102019210597B4 (de) Verfahren zum Bilden von Abstandhaltern neben Gatestrukturen einer Transistorvorrichtung und integriertes Schaltungsprodukt
DE102021108583A1 (de) IC-Produkt mit einer FinFET-Vorrichtung mit einzelner aktiver Finne und eineelektrisch inaktive Struktur für Finnen zur Verringerung von Verspannung
DE102020114875A1 (de) Finfet-vorrichtung und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication