TWI714853B - 在電晶體裝置上形成取代閘極結構之方法 - Google Patents

在電晶體裝置上形成取代閘極結構之方法 Download PDF

Info

Publication number
TWI714853B
TWI714853B TW107117707A TW107117707A TWI714853B TW I714853 B TWI714853 B TW I714853B TW 107117707 A TW107117707 A TW 107117707A TW 107117707 A TW107117707 A TW 107117707A TW I714853 B TWI714853 B TW I714853B
Authority
TW
Taiwan
Prior art keywords
gate
sacrificial gate
gate electrode
opening
sacrificial
Prior art date
Application number
TW107117707A
Other languages
English (en)
Other versions
TW201917794A (zh
Inventor
舒杰輝
彰緒 朴
山口晋平
韓濤
容模 梁
金平 劉
赫洙 梁
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW201917794A publication Critical patent/TW201917794A/zh
Application granted granted Critical
Publication of TWI714853B publication Critical patent/TWI714853B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本文中所揭示之一種說明性方法格外包括在半導體基板上面形成犧牲閘極結構,該犧牲閘極結構包含犧牲閘極絕緣層及犧牲閘極電極材料,進行第一閘極切口蝕刻程序,從而在該犧牲閘極電極材料中形成開口,以及在該開口中形成內部側壁間隔物。在這項實施例中,該方法亦包括:在形成該內部側壁間隔物之後,穿過該開口進行第二閘極切口蝕刻程序,該第二閘極切口蝕刻程序適於移除該犧牲閘極電極材料,進行氧化退火程序,以及在至少該開口中形成絕緣材料。

Description

在電晶體裝置上形成取代閘極結構之方法
本揭露大體上係關於積體電路的製作,並且更尤指在電晶體裝置上形成取代閘極結構之各種新穎方法以及所產生的新穎裝置結構。
在諸如微處理器、儲存裝置及類似者等現代積體電路中,有限晶片面積上提供非常大量的電路元件,特別是電晶體。場效電晶體(FET)有各種形狀及形式,例如平面型電晶體、FinFET電晶體、垂直電晶體、奈米線裝置等。
習知的平面型FET是一種平面型裝置,其中裝置的整個通道區係平行於且稍低於半導電性基板(substrate)之平面型上表面而形成。與平面型FET相比,有所謂的3D裝置,諸如說明性FinFET裝置,其為一種三維結構。第1圖為半導體材料12上面形成之說明性先前技術FinFET半導體裝置10的透視圖,其中裝置10之鰭片14係由基板12之材料所製成,例如:矽。裝置10包括複數個鰭片形成溝槽13、三個說明性鰭片14、閘極結構16、 側壁間隔物18以及閘極覆蓋層20。間隔物18一般係由氮化矽所製成,但在一些情況下,其可由具有比氮化矽更低介電常數(k)之材料所製成。絕緣材料17(例如:二氧化矽)在諸鰭片14之間提供電隔離。鰭片14具有三維組態:高度H、寬度W及軸向長度L。軸向長度L對應於裝置之閘極長度,亦即裝置10運作時其內電流行進之方向。裝置10之閘極寬度正交於閘極長度方向。鰭片14由閘極結構16所包覆之部分為FinFET裝置10之通道區。鰭片14置於間隔物18外側的部分將會變為裝置10之部分源極/汲極區。
對於許多FET裝置,閘極結構最初係形成為連續線型結構,其跨整個基板延展,包括跨主動區及隔離區延展。在先進積體電路(IC)產品中,電晶體裝置之閘極結構一般係使用眾所周知的取代閘極(或「閘極後製」)製造技巧來製造。大體上,取代閘極製造技巧涉及形成由犧牲閘極絕緣層(例如:二氧化矽)及一層犧牲閘極電極材料(例如:多晶矽或非晶矽)所構成之犧牲(或「虛設」)閘極結構。進行各種程序操作而在原位具有犧牲閘極結構,例如源極/汲極佈植程序,在電晶體裝置之源極/汲極區中形成磊晶半導體材料等。在製造程序中之某製點,將移除犧牲閘極結構以界定取代閘極凹穴(cavity)。之後,將在取代閘極凹穴中形成用於取代閘極結構之材料,並且將在取代閘極結構上方形成最終閘極蓋體。在先進裝置中,此一取代閘極結構可包含高k(k值為10或更大)閘極絕緣層及一 或多個含金屬材料層,其共同作用為用於取代閘極結構之導電閘極電極。
對於許多FET裝置,初始犧牲閘極結構最初係形成為連續線型結構,其跨整個基板延展,包括跨主動區及隔離區延展。長連續線型犧牲閘極結構係藉由以下程序來形成:跨整個基板沉積用於犧牲閘極結構之材料、在所沉積犧牲閘極材料上面形成圖型化閘極蝕刻遮罩、以及透過圖型化閘極蝕刻遮罩進行一或多個蝕刻程序以移除犧牲閘極材料之曝露部分。於該製點,將在與長連續線型犧牲閘極結構相鄰處形成間隔物結構。如上所述,在已發生其它處理之後的某製點,例如在裝置之源極/汲極區中形成磊晶材料之後,長連續線型犧牲閘極結構有部分將遭受移除或「切割」,以便界定原始長連續線型犧牲閘極結構將於最後遭受移除並以最終取代閘極結構取代之個別部分或節段。在切割程序完成之後,現已分離之第一與第二犧牲閘極結構之兩個已切割端面之間有「閘極切割」開口或空間。這有時可稱為介於該等個別犧牲閘極結構之間的「尖部對尖部」間隔。位於該等犧牲閘極結構之該等已切割端面之間的閘極切割開口一般係以絕緣材料填充。
隨著裝置尺寸持續縮減,並且隨著基板上電晶體裝置之堆積密度持續增大,由於涉及在電晶體裝置上製造取代閘極結構而引發各種問題。更具體而言,由於裝置持續比例縮放,犧牲閘極結構之垂直高度已增大,而犧牲閘極結構之橫寬(即閘極長度或關鍵尺寸)已減小。結 果是,深寬比(高度/橫寬)已增大,從而使得將原始長連續線型犧牲閘極結構切割成個別犧牲閘極結構節段更成問題。舉例而言,假定更先進裝置上犧牲閘極結構之深寬比增大,切割原始長連續線型犧牲閘極結構之作用可能不完整,原因在於犧牲閘極材料不理想的殘餘量在切割程序完成後可能留在原位。存在此類出自犧牲閘極結構之不理想的殘餘材料可能使得品質取代閘極結構更加難以形成,而且,在一些情況下,將為了電晶體裝置而形成之該等最終取代閘極結構之間構成導電路徑。亦即,留在閘極切割開口之底端處之犧牲閘極結構之殘餘導電材料可在其於IC產品上形成時,構成介於兩個最終取代閘極結構之間的電氣短路,這可從而導致裝置效能降低及/或裝置完全故障。
本揭露係針對在電晶體裝置上形成取代閘極結構之各種新穎方法以及所產生的新穎裝置結構,其可消除或至少減輕上述問題其中之一或多者。
以下介紹本發明之簡化概要,以便對本發明之一些態樣有基本的了解。本概要並非本發明之詳盡概述。用意不在於指認本發明之重要或關鍵要素,或敍述本發明之範疇。目的僅在於以簡化形式介紹一些概念,作為下文更詳細說明的引言。
大體上,本揭露係針對在電晶體裝置上形成取代閘極結構之各種新穎方法、以及所產生的新穎裝置結構。本文中所揭示之一種說明性方法格外包括在半導體 基板上面形成犧牲閘極結構,該犧牲閘極結構包含犧牲閘極絕緣層及犧牲閘極電極材料,進行第一閘極切口蝕刻程序,從而在該犧牲閘極電極材料中形成開口,以及在該開口中形成內部側壁間隔物。在這項實施例中,該方法亦包括:在形成該內部側壁間隔物之後,穿過該開口進行第二閘極切口蝕刻程序,該第二閘極切口蝕刻程序適於移除該犧牲閘極電極材料,進行氧化退火程序,以及在至少該開口中形成絕緣材料。
本文中所揭示之一種說明性積體電路產品包括具有第一端面之第一最終閘極結構、以及具有第二端面之第二最終閘極結構。在這項具體實施例中,該積體電路產品亦包括置於該等第一與第二最終閘極結構之間的絕緣閘極分離結構,其中該第一端面接觸該絕緣閘極分離結構之第一側表面,而該第二端面接觸該絕緣閘極分離結構之第二側表面。在這項具體實施例中,該絕緣閘極分離結構具有分階底端表面,該分階底端表面具有由實質水平取向已凹陷表面圍繞之實質水平取向底端中央表面,其中該實質水平取向底端中央表面置於該基板上面之第一階,而該實質水平取向已凹陷表面置於該基板上面之第二階,其中該第二階大於該第一階。
10‧‧‧FinFET半導體裝置、裝置、FinFET裝置
12‧‧‧半導體材料、基板
13‧‧‧溝槽
14、106‧‧‧鰭片
16‧‧‧閘極結構
17‧‧‧絕緣材料
18‧‧‧側壁間隔物、間隔物
20‧‧‧閘極覆蓋層
100‧‧‧IC產品
102‧‧‧半導體基板、基板
104‧‧‧圖型化鰭片形成蝕刻遮罩、圖型化蝕刻遮罩
104A‧‧‧二氧化矽層
104B‧‧‧氮化矽層
105‧‧‧鰭片形成溝槽、溝槽
108‧‧‧絕緣材料層、絕緣材料
108R‧‧‧已凹陷上表面
109‧‧‧犧牲閘極結構
110‧‧‧犧牲閘極絕緣層、材料層、層件
114‧‧‧犧牲閘極電極、犧牲閘極電極材料層、材料層、層件、犧牲閘極電極材料
114A‧‧‧第一部分、閘極節段、材料層
114B‧‧‧第二部分、閘極節段、材料層
114R‧‧‧其餘部分
114U‧‧‧上表面
116‧‧‧閘極蓋體、閘極蓋體材料層、材料層、層件
116A、117A、118‧‧‧開口
117‧‧‧圖型化蝕刻遮罩
118W‧‧‧寬
119‧‧‧側壁間隔物、間隔物
121‧‧‧絕緣材料層、絕緣材料
123‧‧‧虛線區域
124‧‧‧翹曲側壁
125‧‧‧間隔
126‧‧‧間隔物材料層
126A‧‧‧內部側壁間隔物、內部間隔物、間隔物
130‧‧‧氧化退火程序、退火程序
132‧‧‧二氧化矽區域、二氧化矽材料區域、二氧化矽材料
140‧‧‧絕緣材料、材料
141‧‧‧絕緣閘極分離結構
141A‧‧‧第一側表面
141B‧‧‧第二側表面
141S‧‧‧分階底端表面
150‧‧‧取代閘極結構、最終閘極結構
150A‧‧‧絕緣材料層
150B‧‧‧導電閘極電極
150F‧‧‧第一端面
150G‧‧‧第二端面
150X‧‧‧第一取代閘極結構、最終閘極結構、取代閘極結構、第一最終閘極結構
150Y‧‧‧第二取代閘極結構、取代閘極結構、第二最終閘極結構
152‧‧‧最終閘極蓋體
162‧‧‧底端中央表面
163‧‧‧表面、已凹陷表面
164‧‧‧移轉表面
H‧‧‧高度
W‧‧‧寬度
L‧‧‧軸向長度
GW‧‧‧閘極寬度
GL‧‧‧閘極長度
本揭露可搭配附圖參照以下說明來了解,其中相似的元件符號表示相似的元件,並且其中: 第1圖為說明性先前技術FinFET裝置的簡圖;以及 第2至22圖繪示本方法所揭示與在電晶體裝置上形成取代閘極結構有關之各種新穎方法、以及所產生的裝置結構。
儘管本文所揭示的專利標的易受各種修改和替代形式所影響,其特定具體實施例仍已藉由圖式中的實施例予以表示並且在本文中予以詳述。然而,應了解的是,本文中特定具體實施例之說明用意不在於將本發明限制於所揭示之特定形式,相反地,如隨附申請專利範圍所界定,用意在於涵蓋落於本發明之精神及範疇內的所有修改、均等例、及替代方案。
下面說明本發明之各項說明性具體實施例。為了澄清,本說明書中並未說明實際實作態樣的所有特徵。當然,將會領會旳是,在開發任何此實際具體實施例時,必須作出許多實作態樣特定決策才能達到開發者的特定目的,例如符合系統有關及業務有關的限制條件,這些限制條件會隨實作態樣不同而變。此外,將會領會的是,此一開發努力可能複雜且耗時,雖然如此,仍會是受益於本揭露之所屬技術領域中具有通常知識者的例行工作。
本專利標的現將參照附圖作說明。各種結構、系統及裝置在圖式中只是為了闡釋而繪示,為的是不要因所屬技術領域中具有通常知識者眾所周知的細節而混淆本揭露。雖然如此,仍將附圖包括進來以說明並闡釋本揭露之說明性實施例。本文中使用的字組及詞組應了解並 詮釋為與所屬技術領域中具有通常知識者了解的字組及詞組具有一致的意義。與所屬技術領域中具有通常知識者了解的通常及慣用意義不同的詞彙或詞組(即定義)之特殊定義,用意不在於藉由本文詞彙或詞組的一致性用法提供暗示。就一詞彙或詞組用意在於具有特殊意義的方面來說,即有別於所屬技術領域中具有通常知識者了解的意義,此一特殊定義將會按照為此詞彙或詞組直接且不含糊地提供此特殊定義的定義方式,在本說明書中明確提出。
本揭露係針對在電晶體裝置上形成取代閘極結構之各種新穎方法、以及所產生的裝置結構。所屬技術領域中具有通常知識者若完整閱讀本申請書將輕易明白的是,本案所揭示之方法可在形成具有各種不同組態之電晶體裝置時運用,例如平面型電晶體裝置、垂直電晶體裝置、FinFET裝置等。本文中所述及所示之說明性實施例涉及使用本文中所揭示之方法來形成由說明性FinFET裝置所構成之積體電路(IC)產品100。然而,本案所揭示之發明不應該視為受限於任何特定類型或形式之電晶體。此外,本文中所揭示之方法及裝置可在形成各種產品時運用,包括但不限於邏輯產品、記憶體產品等。請參閱附圖,現將更詳細地說明本文中所揭示之方法及裝置的各項說明性具體實施例。
第2圖繪示半導體基板102中及上面形成之IC產品100。基板102可具有各種組態,諸如本文中所示之主體基板組態、或上覆半導體絕緣體(SOI)組態。此一 SOI基板包括主體半導體層、置於該主體半導體層上之埋置型絕緣層,及置於該埋置型絕緣層上之主動半導體層,其中本文中所揭示之電晶體裝置是在該主動層中及上面形成。主動層及/或主體半導體層可由矽所製成,或可由除了矽以外之半導體材料所製成,而且兩者都不一定要由相同半導體材料所製成。因此,「基板」或「半導體基板」等詞應了解為涵蓋所有半導電性材料及所有形式之此類材料。應注意的是,本文中所揭示用於電晶體裝置之最終閘極結構可藉由進行眾所周知的取代閘極製造技巧來形成。另外,附圖未繪示各個摻雜區,例如:光暈佈植區、經摻雜源極/汲極區、井區及類似者。本文中所示之電晶體裝置可以是NMOS或PMOS電晶體。本文中所揭示之電晶體裝置之各個組件及結構可使用各種不同材料、及藉由進行各種已知技巧來形成,例如化學氣相沉積(CVD)程序、原子層沉積(ALD)程序、熱生長程序、旋塗技巧、磊晶生長程序等。這些不同材料層之厚度亦可取決於特定應用而變。
如第2圖所示,基板102中已形成複數個鰭片106。第2圖為產品100在將為了第一與第二FinFET裝置而形成閘極結構(圖未示)之位置處穿過鰭片106取看的截面圖。第2圖中的截面圖係順著與電晶體裝置之閘極寬度(GW)方向對應之方向取看。
請繼續參閱第2圖,在本文中所示之實施例中,將各說明性FinFET裝置繪示為包含兩個說明性鰭片106。當然,FinFET裝置可由單一鰭片106或比本文中 所示兩個說明性鰭片106更多所構成。在一項說明性實施例中,鰭片106係透過圖型化鰭片形成蝕刻遮罩104藉由進行一或多個蝕刻程序所形成,例如異向性蝕刻程序,以在基板102中形成複數個鰭片形成溝槽105,並且從而界定或形成複數個鰭片106。圖型化鰭片形成蝕刻遮罩104旨在本質上具有代表性,因為其可由多層材料所構成,例如所示二氧化矽層104A及氮化矽層104B。因此,圖型化鰭片形成蝕刻遮罩104之特定形式及組成不應視為本案所揭示之發明之限制。
鰭片106之橫寬及垂直高度可隨特別應用而變。另外,鰭片形成溝槽105及鰭片106之總體尺寸、形狀及組態可隨特定應用而變。在附圖所示之說明性實施例中,鰭片形成溝槽105及鰭片106全都繪示為具有均勻尺寸及形狀。然而,溝槽105及鰭片106之尺寸及形狀不需要此類均勻性,也能實踐本文中所揭示之發明之至少一些態樣。在附圖中,鰭片形成溝槽105係繪示為已藉由進行異向性蝕刻程序來形成,該異向性蝕刻程序導致鰭片106具有示意性(且簡易性)繪示之大致矩形組態。在實際的現實裝置中,鰭片106之側壁某種程度可向外漸縮(亦即,鰭片在鰭片底端處可比在鰭片頂端處更寬),但附圖未繪示該組態。因此,鰭片形成溝槽105及鰭片106之尺寸和組態、以及其施作方式不應該視為本案所揭示之發明之限制。為了易於揭示,後續圖式中將僅繪示實質矩形溝槽105及鰭片106。
第3圖繪示產品100在進行數個程序操作之後的情況。首先,沉積諸如二氧化矽之絕緣材料層108,以便過量填充溝槽105,使得絕緣材料108係置於圖型化蝕刻遮罩104之上表面上面。之後,進行CMP程序以將所沉積絕緣材料層108之上表面與圖型化蝕刻遮罩104之上表面平坦化。然後,進行凹口蝕刻程序以使絕緣材料層108凹陷,使得其具有使鰭片106之垂直高度之所欲部分或量曝露之已凹陷上表面108R。絕緣材料層108之凹陷量可隨特定應用而變。之後,進行一或多個蝕刻程序以相對於週圍材料移除圖型化蝕刻遮罩104。
如上所述,用於電晶體裝置之最終閘極結構將使用本文中所揭示之獨特取代閘極製造程序來製造。形成取代閘極結構之程序有部分涉及形成犧牲閘極結構109,其在進行各個程序操作之後,遭受移除並以最終取代閘極結構取代,以製作原位具有犧牲閘極結構109之電晶體。因此,仍請參考第3圖,此一犧牲閘極結構109一般包含犧牲閘極絕緣層110及犧牲閘極電極114。閘極蓋體116一般置於犧牲閘極結構109上面。如第3圖所示,在一個說明性程序流程中,進行保形沉積程序,例如保形ALD程序,以在產品100上形成保形犧牲閘極絕緣層110。或者,保形犧牲閘極絕緣層110亦可藉由進行熱生長程序來形成。犧牲閘極絕緣層110之構成厚度與材料可隨特定應用而變。在一項說明性具體實施例中,犧牲閘極絕緣層110可由二氧化矽所構成。在所示實施例中,其中說明性 電晶體裝置為FinFET裝置,進行保形沉積程序以形成犧牲閘極絕緣層110。然而,可能不是所有應用中都需要形成此一保形犧牲閘極絕緣層110。舉例而言,當電晶體裝置為平面型裝置時,犧牲閘極絕緣層110可藉由形成非保形沉積程序或熱生長程序來形成。
第3圖亦展示如犧牲閘極絕緣層110上所形成之犧牲閘極結構109之犧牲閘極電極114。在一項說明性實施例中,犧牲閘極電極114可由單一材料層所構成。犧牲閘極電極114之構成厚度與材料可隨特定應用而變。在一項說明性實施例中,犧牲閘極電極114可由多晶矽、非晶矽等所構成。在一個說明性程序流程中,進行毯覆式沉積程序以在犧牲閘極絕緣層110上形成犧牲閘極電極114。雖然圖式中並未繪示,初始沉積用於犧牲閘極電極114之材料之後,其上表面可能不均勻,因為其將傾向於在鰭片106上面之位置具有高點,並且在諸鰭片106之間的區域中具有低點,亦即其上表面將包含峰部與谷部。最後,希望在進行附加程序操作前,犧牲閘極電極114之上表面實質平坦。在一具體實施例中,這可藉由以下程序來達成:形成相對薄之二氧化矽層以便過量填充用於犧牲閘極電極114之材料層之上表面中之谷部,進行CMP程序以將二氧化矽與犧牲閘極電極材料層114之上表面平坦化,並在之後進行相對於二氧化矽層、及用於犧牲閘極電極114之材料層不具有選擇性之蝕刻程序。進行此蝕刻程序,直到二氧化矽材料全部遭受移除,從而留下第3圖所示之 用於具有實質平面型上表面之犧牲閘極電極114之材料層。之後,在用於犧牲閘極電極114之材料層上跨基板102毯覆式沉積閘極蓋體材料層116(例如:氮化矽)。
在一個說明性程序流程中,材料層110、114及116最初全都是在整個基板102上面形成。於此製點,在本文中所示說明性程序流程裡,進行複數個蝕刻程序以至少將層件116與114圖型化,以形成跨基板102延展之複數個單獨連續線型結構。第4圖為順著與電晶體裝置之閘極長度(電流輸送)方向對應之方向在第3圖所示處取看的截面圖。在一些應用中,程序流程中亦可在此製點將層件110圖型化,但圖式中並未繪示此一情況。這可藉由以下程序來達成:在閘極蓋體材料層116上面形成由複數個線型特徵所構成之圖型化光阻蝕刻遮罩(圖未示),並在之後穿過圖型化光阻蝕刻遮罩進行一或多個蝕刻程序以移除閘極蓋體材料層116之曝露部分。在完成蝕刻程序之後,圖型化閘極蓋體材料層116之諸個別單獨特徵各可作用為閘極蓋體,用於至少由犧牲閘極電極114所構成之諸連續線型犧牲閘極結構109其中一者。於此製點,可移除圖型化光阻蝕刻遮罩,並且圖型化閘極蓋體材料層116可作用為蝕刻遮罩,至少將用於犧牲閘極電極114之材料層圖型化,從而形成複數個上面各安置有閘極蓋體116之連續線型犧牲閘極結構109。然後,如第4圖所示,可藉由進行傳統間隔物製造技巧,亦即,沉積間隔物材料之保形層並進行異向性蝕刻程序,在與各連續線型犧牲閘極結構109 之側壁相鄰處形成側壁間隔物119。請參閱第4圖,接著在產品100上沉積例如二氧化矽之絕緣材料層121,並且進行CMP程序以將絕緣材料層121之上表面與閘極蓋體116之上表面平坦化。
第5圖繪示產品100在進行數個程序操作之後的情況。首先,閘極蓋體116及絕緣材料層121上面形成圖型化遮罩層117,例如內有形成開口117A之圖型化光阻層。開口117A置於希望將犧牲閘極結構109之材料切割或移除之位置。請繼續參閱第5圖,穿過開口117A進行異向性蝕刻程序,以移除閘極蓋體116之曝露部分,並且在閘極蓋體116中界定開口116A。此蝕刻程序亦可縮減間隔物119之高度,但圖式中並未繪示間隔物119之此類高度縮減。大體上,本文中所揭示之諸發明中,至少一些發明之一項態樣涉及進行兩步驟閘極蝕刻程序,以至少將犧牲閘極結構109之犧牲閘極電極114切割或分離成不同軸向長度之所欲節段。因此,第5圖繪示該產品在一製點的情況,其中穿過開口116A進行第一異向性閘極切口蝕刻程序以移除犧牲閘極電極114之諸部分,並且從而界定具有橫寬(順著電晶體裝置之閘極寬度(GW)方向)之開口118。相對而言,第一閘極切口蝕刻程序是一種相對非侵襲性蝕刻程序,使得開口118從開口118之頂端至底端維持大約等寬118W,並且在截面中檢視時呈現實質平坦之側壁。當然,在現實裝置中,開口118可漸縮至某程度,亦即,其在開口118之頂端處可比在開口118之底端處更寬。 舉例而言,在犧牲閘極電極114由多晶矽或非晶矽所製成之情況下,可使用至少一種鹵基材料作為蝕刻劑來進行第一閘極切口蝕刻程序,並且可在小於或等於約500W之功率下進行該蝕刻程序。在一項具體實施例中,進行第一閘極切口蝕刻程序,使得開口118具有在犧牲閘極電極材料114內終止之底端。亦即,由於進行此相對非侵襲性第一閘極切口蝕刻程序,開口118之底端處可有犧牲閘極電極114之一些剩餘材料,如虛線區域123中所示。開口118之底端處犧牲閘極電極114之材料剩餘量可隨特定應用而變。然而,如果進行更具侵襲性之蝕刻程序(例如使用大於500W之功率設定來進行)以試圖確保犧牲閘極電極114之材料全都遭受移除,則開口118之側壁將傾向於向外翹曲,如虛線124所示。此類翹曲側壁會有問題,原因在於當使用上述相對非侵襲性第一閘極切口蝕刻程序形成開口118時,翹曲側壁124與最近處鰭片106之間的間隔125將小於鰭片106與開口118之實質非翹曲側壁之間的對應間隔。當開口118形成有向外翹曲側壁124時,已縮減的間隔125可使形成用於裝置之最終閘極結構更具挑戰性的給予在鰭片106與將在開口118中形成之絕緣閘極分離結構141之間相對較小的間隔125,下面有更完整的說明。
第6圖繪示產品100在進行數個程序操作之後的情況。首先,移除圖型化蝕刻遮罩117。之後,進行保形沉積程序以在閘極蓋體116上面、及開口118中形成保形間隔物材料層126。間隔物材料層126可由任何所 欲材料所製成,例如氮化矽、氮氧化矽等。
第7及8圖繪示該產品在進行以下程序之後的情況:進行異向性蝕刻程序將間隔物材料層126之水平安置部分移除,從而形成置於開口118之側壁上之內部側壁間隔物126A。第8圖為順著與電晶體裝置之閘極長度(GL或電流輸送)方向對應之方向在第7圖所示處取看的截面圖。內部間隔物126A之厚度(在其底座處)可隨特定應用而變(例如:2nm至5nm)。藉由形成內部間隔物126A,使置於開口118之底端處用於犧牲閘極電極114之材料之殘餘部分之重要部分在由間隔物126A之內表面所界定之區域內再次曝露。然而,如圖所示,用於犧牲閘極電極114之材料有一部分仍置於內部間隔物126A底下或受其包覆。
第9、10及11圖繪示該產品在進行以下程序之後的情況:穿過內有安置內部間隔物126A之開口118進行第二異向性閘極切口蝕刻程序,以移除未遭由相對於週圍材料具有選擇性之內部間隔物126A包覆之犧牲閘極電極114之曝露部分。第10圖為順著與電晶體裝置之閘極長度(電流輸送)方向對應之方向在第9圖所示處取看的截面圖。第11圖為向下看入開口118在已移除內部間隔物126A情況下的放大平面圖,其中箭頭指出電晶體裝置之閘極長度(GL)與閘極寬度(GW)方向。在一項說明性具體實施例中,第二異向性閘極切口蝕刻程序在犧牲閘極絕緣層110上終止,並且有效地使開口118之深度延展。如圖所 示,在完成第二閘極切口蝕刻程序之後,將用於犧牲閘極電極114之材料實質切割成第一部分114A與第二部分114B,犧牲閘極電極114之材料置於內部間隔物126A底下之剩餘部分114R除外。在程序流程中之此製點,必要時,可進行蝕刻程序以移除犧牲閘極絕緣層110置於開口118之底端處之曝露部分。
第12、13及14圖繪示進行以下程序之後的情況:該產品在其上進行氧化退火程序130,以至少將用於犧牲閘極電極114之材料置於內部間隔物126A底下或受其包覆之剩餘部分114R轉換成二氧化矽區域132,從而確保諸閘極節段114A、114B之間沒有導電材料或導電路徑。第13圖為順著與電晶體裝置之閘極長度(電流輸送)方向對應之方向在第12圖所示處取看的截面圖。第14圖為向下看入開口118在已移除內部間隔物126A情況下的放大平面圖。在一項說明性具體實施例中,氧化退火程序130可以是在大約600℃之溫度下進行約一小時持續時間之蒸汽退火程序,但此類處理細節可隨應用而變。取決於退火程序130之持續時間及參數,二氧化矽區域132可橫向延展比間隔物126A之寬度(在其底座處)更大之距離,亦即,二氧化矽材料區域132可以朝向相鄰鰭片106延展到比本文中所示簡圖中所示更遠處。
第15、16及17圖繪示該產品在進行數個程序操作後的情況。第16圖為順著與電晶體裝置之閘極長度(電流輸送)方向對應之方向在第15圖所示處取看的截面 圖。第17圖為開口118處在其內形成各種材料之後向下看的放大平面圖。首先,進行沉積程序而以絕緣材料140(例如氮化矽)過量填充開口118。之後,進行在犧牲閘極電極材料層114A、114B之上表面114U上終止之一或多個CMP程序操作。這些程序操作移除過量之絕緣材料140以及閘極蓋體116。置於開口118中之剩餘絕緣材料140、及內部間隔物126A共同構成上述絕緣閘極分離結構141。在一項說明性具體實施例中,內部間隔物126A及材料140可由相同材料所構成,例如:氮化矽。請注意,絕緣閘極分離結構141之對立面上犧牲閘極結構109之材料現已曝露供移除用。
第18及19圖繪示產品100在進行數個程序操作之後的情況,該等程序操作在用於電晶體裝置之絕緣閘極分離結構141之對立面上形成最終第一與第二取代閘極結構150X、150Y(使用參考元件符號150來共同參考)。第19圖為順著與電晶體裝置之閘極長度(電流傳輸)方向對應之方向在第18圖所示處穿過最終閘極結構150X取看的截面圖。首先,進行複數個蝕刻程序以循序移除用於犧牲閘極電極114、及犧牲閘極絕緣層110之材料之曝露部分。此程序操作在絕緣閘極分離結構141之對立面上界定複數個取代閘極凹穴。閘極凹穴遭由側壁間隔物119(順著閘極長度方向)橫向約束(請參閱第19圖)。其次,進行各個程序操作以在各該閘極凹穴中形成說明性且簡易繪示之取代閘極結構150X、150Y。大體上,取代閘極結構150可由 一或多個絕緣材料層所構成,其(全部或部分)作用為用於電晶體裝置之最終閘極結構之閘極絕緣層150A,並且由一或多個導電層所構成,例如金屬、金屬合金、多晶矽、功函數調整金屬等,其(全部或部分)作用為電晶體裝置之最終閘極結構150之導電閘極電極150B。用於取代閘極結構150之材料之厚度與組成可隨特定應用而變,而且附圖中所示用於取代閘極結構150之材料之相對厚度並未按照比例。在一項說明性實施例中,用於取代閘極結構150之相同材料可用於N型與P型裝置兩者之閘極結構。在其它應用中,藉由形成適當之遮罩層(圖未示),用於N型與P型裝置之取代閘極結構150之材料可不同。在一項說明性具體實施例中,絕緣材料層150A可由諸如氧化鉿之高k(k值為10或更大)絕緣材料所製成,而導電閘極電極150B則可由諸如氮化鈦(未分別表示)作用為功函數調整層之金屬或含金屬材料、及諸如金屬、金屬合金、鎢或經摻雜多晶矽之導電材料主體層所構成。如所屬技術領域中具有通常知識者在完整閱讀本申請書後將領會的是,取代閘極結構150旨在代表可使用取代閘極製造技巧來形成之任何類型之閘極結構。應注意的是,第一最終閘極結構150X具有與絕緣閘極分離結構141之第一側表面141A接觸之第一端面150F,而第二最終閘極結構150Y具有與絕緣閘極分離結構141之第二側表面141B接觸之第二端面150G。
在一個說明性程序流程中,形成用於取代閘極結構150之材料之後,可進行CMP程序以移除置於絕 緣材料層121上面之過量材料(請參閱第19圖)。於此製點,可進行一或多個凹口蝕刻程序以移除閘極凹穴內取代閘極結構150之材料之諸部分、或使該等材料凹陷,為最終閘極蓋體152騰出空間。最終閘極蓋體152(例如氮化矽)可藉由沉積一層閘極蓋體材料來形成,以便過量填充閘極凹穴,並在之後進行CMP程序以移除過量材料。
第20及21圖為本文中所揭示之絕緣閘極分離結構141之一項說明性具體實施例的放大圖。第20圖繪示絕緣閘極分離結構141之組件部分,即絕緣材料140與內部間隔物126A,並且亦展示上有安置絕緣閘極分離結構141之二氧化矽材料132。第21圖僅繪示絕緣閘極分離結構141之輪廓。如第21圖所示,絕緣閘極分離結構141具有由底端中央表面162所構成之分階底端表面141S,該底端中央表面遭由已凹陷或已刻表面163圍繞。第22圖為展示分階底端表面141S的平面圖。如圖所示,分階底端表面141S之實質水平取向底端中央表面162係安置在基板102上面之第一階,而實質水平取向已凹陷表面163係安置在基板上面之第二階,其中該第二階比該第一階更大。第一與第二階之間的差異大約對應於藉由進行上述氧化退火程序130所形成之二氧化矽材料區域132之垂直厚度。二氧化矽材料區域132亦安置在實質水平取向底端中央表面162與實質水平取向已凹陷表面163之間與移轉表面164相鄰處。
以上所揭示的特殊具體實施例僅屬說明性, 正如本發明可用所屬技術領域中具有通常知識者所明顯知道的不同但均等方式予以修改並且實踐而具有本文的指導效益。舉例而言,以上所提出的程序步驟可按照不同順序來進行。再者,除了如下文申請專利範圍中所述除外,未意圖限制於本文所示構造或設計的細節。因此,證實可改變或修改以上揭示之特定具體實施例,而且所有此類變例全都視為在本發明的範疇及精神內。要注意的是,本說明書及所附申請專利範圍中如「第一」、「第二」、第三」或「第四」之類用以說明各個程序或結構的術語,僅當作此些步驟/結構節略參考,並且不必然暗喻此些步驟/結構的進行/形成序列。當然,取決於精準申請專利範圍的語言,可需要或可不需要此些程序之排列順序。因此,本文尋求的保護係如以下申請專利範圍中所提。
100‧‧‧IC產品
102‧‧‧半導體基板、基板
105‧‧‧鰭片形成溝槽、溝槽
106‧‧‧鰭片
108‧‧‧絕緣材料層、絕緣材料
108R‧‧‧已凹陷上表面
110‧‧‧犧牲閘極絕緣層、材料層、層件
126A‧‧‧內部側壁間隔物、內部間隔物、間隔物
132‧‧‧二氧化矽區域、二氧化矽材料區域、二氧化矽材料
140‧‧‧絕緣材料、材料
141‧‧‧絕緣閘極分離結構
141A‧‧‧第一側表面
141B‧‧‧第二側表面
150A‧‧‧絕緣材料層
150B‧‧‧導電閘極電極
150F‧‧‧第一端面
150G‧‧‧第二端面
150X‧‧‧第一取代閘極結構、最終閘極結構、取代閘極結構、第一最終閘極結構
150Y‧‧‧第二取代閘極結構、取代閘極結構、第二最終閘極結構
152‧‧‧最終閘極蓋體
GW‧‧‧閘極寬度

Claims (20)

  1. 一種製造積體電路之方法,該方法包含:在半導體基板上面形成犧牲閘極結構,該犧牲閘極結構包含犧牲閘極絕緣層及犧牲閘極電極材料;進行第一閘極切口蝕刻程序,從而在該犧牲閘極電極材料中形成開口,其中,該開口將該犧牲閘極電極材料的上部分橫向分成第一上犧牲閘極電極部分及第二上犧牲閘極電極部分,並且該開口曝露該第一上犧牲閘極電極部分之第一端面及該第二上犧牲閘極電極部分之第二端面;在形成該開口之後,在該開口中形成內部側壁間隔物,以包覆所曝露之該第一端面及該第二端面;在形成該內部側壁間隔物之後,穿過該開口進行第二閘極切口蝕刻程序,該第二閘極切口蝕刻程序適於移除該犧牲閘極電極材料;進行氧化退火程序;以及在至少該開口中形成絕緣材料。
  2. 如申請專利範圍第1項所述之方法,其中,進行該第一閘極切口蝕刻程序包括進行該第一閘極切口蝕刻程序,從而形成具有在該犧牲閘極電極材料內終止之底端的該開口,以及該第二閘極切口蝕刻程序終止在該犧牲閘極絕緣層上並移除在該開口的該底端之該犧牲閘極電極材料。
  3. 如申請專利範圍第1項所述之方法,其中,形成該內部 側壁間隔物包含形成該內部側壁間隔物而使得該犧牲閘極電極材料之一部分垂直置於該內部側壁間隔物下面。
  4. 如申請專利範圍第3項所述之方法,其中,該氧化退火程序將垂直置於該內部側壁間隔物下面之該犧牲閘極電極材料之至少該部分轉換成二氧化矽區域。
  5. 如申請專利範圍第1項所述之方法,其中,進行該氧化退火程序包含進行蒸汽退火程序。
  6. 如申請專利範圍第1項所述之方法,其中,至少該開口中之該絕緣材料以及該內部側壁間隔物至少部分界定絕緣閘極分離結構。
  7. 如申請專利範圍第6項所述之方法,更包含:移除該絕緣閘極分離結構之對立面上該犧牲閘極結構之材料,以在該絕緣閘極分離結構之對立面上形成第一與第二取代閘極凹穴;以及分別在該第一與第二取代閘極凹穴中形成第一與第二取代閘極結構。
  8. 如申請專利範圍第7項所述之方法,其中,該第一與第二取代閘極結構包含高k取代閘極絕緣層以及包含至少一個含金屬材料層之取代閘極電極。
  9. 如申請專利範圍第1項所述之方法,其中,該犧牲閘極結構是為了FinFET裝置、平面型電晶體裝置或垂直電晶體裝置其中一者而形成,其中,該犧牲閘極絕緣層包含二氧化矽,並且其中,該犧牲閘極電極材料包含多晶 矽或非晶矽。
  10. 如申請專利範圍第9項所述之方法,其中,該第一閘極切口蝕刻程序及該第二閘極切口蝕刻程序係以至少一種鹵基材料作為蝕刻劑材料來進行。
  11. 如申請專利範圍第1項所述之方法,其中,至少該開口中之該絕緣材料以及該內部側壁間隔物兩者都是由相同絕緣材料所構成。
  12. 一種製造積體電路之方法,該方法包含:在半導體基板上面形成連續線型犧牲閘極結構,該犧牲閘極結構包含犧牲閘極絕緣層及犧牲閘極電極材料;進行第一閘極切口蝕刻程序,從而在該犧牲閘極電極材料中形成開口,該開口具有在該犧牲閘極電極材料內終止之底端,其中,該開口將該犧牲閘極電極材料的上部分橫向分成第一上犧牲閘極電極部分及第二上犧牲閘極電極部分,曝露該第一上犧牲閘極電極部分之第一端面,並且曝露該第二上犧牲閘極電極部分之第二端面;在形成該開口之後,在該開口中形成內部側壁間隔物,以包覆所曝露之該第一端面及該第二端面,使得該犧牲閘極電極材料之下部分垂直置於該內部側壁間隔物下面;在形成該內部側壁間隔物之後,進行在該犧牲閘極絕緣層上終止且在該開口之該底端處將該犧牲閘極電極材料移除之第二閘極切口蝕刻程序;進行氧化退火程序,以將垂直置於該內部側壁間隔 物下面之該犧牲閘極電極材料之至少該部分轉換成二氧化矽區域;以及在至少該開口中形成絕緣材料。
  13. 如申請專利範圍第12項所述之方法,其中,進行該氧化退火程序包含進行蒸汽退火程序。
  14. 如申請專利範圍第12項所述之方法,其中,至少該開口中之該絕緣材料以及該內部側壁間隔物至少部分界定絕緣閘極分離結構。
  15. 如申請專利範圍第14項所述之方法,更包含:移除該絕緣閘極分離結構之對立面上該犧牲閘極結構之材料,以在該絕緣閘極分離結構之對立面上形成第一與第二取代閘極凹穴;以及分別在該第一與第二取代閘極凹穴中形成第一與第二取代閘極結構。
  16. 一種在半導體基板上面形成之積體電路產品,該產品包含:具有第一端面之第一最終閘極結構;具有第二端面之第二最終閘極結構;以及置於該第一最終閘極結構與該第二最終閘極結構之間的絕緣閘極分離結構,該絕緣閘極分離結構包含彼此對立之第一側表面與第二側表面,其中,該第一端面接觸該絕緣閘極分離結構之該第一側表面,並且該第二端面接觸該絕緣閘極分離結構之該第二側表面,以及其中,該絕緣閘極分離結構具有分階底端表面,該分階底 端表面具有由實質水平取向已凹陷表面圍繞之實質水平取向底端中央表面,其中,該實質水平取向底端中央表面置於該半導體基板上面之第一階,而該實質水平取向已凹陷表面置於該半導體基板上面之第二階,其中,該第二階大於該第一階。
  17. 如申請專利範圍第16項所述之積體電路產品,其中,該絕緣閘極分離結構包含內部側壁間隔物以及置於該內部側壁間隔物內之材料層。
  18. 如申請專利範圍第17項所述之積體電路產品,更包含二氧化矽區域,該二氧化矽區域垂直置於該實質水平取向已凹陷表面下面,並且相鄰介於該實質水平取向底端中央表面與該實質水平取向已凹陷表面之間的該絕緣閘極分離結構之移轉表面。
  19. 如申請專利範圍第17項所述之積體電路產品,其中,該內部側壁間隔物以及置於該內部側壁間隔物內之該材料層兩者都包含氮化矽。
  20. 如申請專利範圍第16項所述之積體電路產品,其中,該第一最終閘極結構與該第二最終閘極結構包含高k取代閘極絕緣層以及包含至少一個含金屬材料層之取代閘極電極。
TW107117707A 2017-10-30 2018-05-24 在電晶體裝置上形成取代閘極結構之方法 TWI714853B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/797,837 US10453936B2 (en) 2017-10-30 2017-10-30 Methods of forming replacement gate structures on transistor devices
US15/797,837 2017-10-30

Publications (2)

Publication Number Publication Date
TW201917794A TW201917794A (zh) 2019-05-01
TWI714853B true TWI714853B (zh) 2021-01-01

Family

ID=66137916

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107117707A TWI714853B (zh) 2017-10-30 2018-05-24 在電晶體裝置上形成取代閘極結構之方法

Country Status (4)

Country Link
US (2) US10453936B2 (zh)
CN (1) CN109727873B (zh)
DE (1) DE102018218457A1 (zh)
TW (1) TWI714853B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201333870A (zh) 2011-12-21 2013-08-16 艾登工具股份有限公司 決定病人胰島素療法的系統及方法
US11088262B2 (en) * 2018-09-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Radical etching in gate formation
US10566202B1 (en) * 2018-11-29 2020-02-18 Globalfoundries Inc. Gate structures of FinFET semiconductor devices
CN111900088B (zh) * 2019-05-05 2024-03-26 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11495464B2 (en) 2020-07-08 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11621322B2 (en) * 2020-07-30 2023-04-04 Wolfspeed, Inc. Die-to-die isolation structures for packaged transistor devices
KR20220145195A (ko) 2021-04-21 2022-10-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20220399373A1 (en) * 2021-06-15 2022-12-15 Intel Corporation Integrated circuit structures having cut metal gates with dielectric spacer fill

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4897154A (en) * 1986-07-03 1990-01-30 International Business Machines Corporation Post dry-etch cleaning method for restoring wafer properties
US20140367803A1 (en) * 2013-06-13 2014-12-18 GlobalFoundries, Inc. Finfet gate with insulated vias and method of making same
US20160380082A1 (en) * 2015-06-26 2016-12-29 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US9704993B2 (en) * 2014-03-17 2017-07-11 International Business Machines Corporation Method of preventing epitaxy creeping under the spacer

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100663357B1 (ko) 2005-02-22 2007-01-02 삼성전자주식회사 금속 질화막 패턴을 갖는 트랜지스터의 형성방법들
US8361338B2 (en) * 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8373239B2 (en) * 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
US8741778B2 (en) * 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
KR101732023B1 (ko) * 2010-12-23 2017-05-02 삼성전자주식회사 반도체 장치의 형성 방법
US8536040B1 (en) * 2012-04-03 2013-09-17 Globalfoundries Inc. Techniques for using material substitution processes to form replacement metal gate electrodes of semiconductor devices with self-aligned contacts
US8659077B1 (en) 2012-09-13 2014-02-25 International Business Machines Corporation Multi-layer work function metal replacement gate
US9093376B2 (en) 2012-10-24 2015-07-28 International Business Machines Corporation Replacement metal gate FinFET
US9070635B2 (en) * 2013-08-09 2015-06-30 United Microelectronics Corp. Removing method
US9219153B2 (en) * 2013-08-21 2015-12-22 Globalfoundries Inc. Methods of forming gate structures for FinFET devices and the resulting semiconductor products
US9048262B2 (en) 2013-09-20 2015-06-02 International Business Machines Corporation Multi-fin finFETs with merged-fin source/drains and replacement gates
US9236480B2 (en) 2013-10-02 2016-01-12 Globalfoundries Inc. Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
US9059042B2 (en) 2013-11-13 2015-06-16 Globalfoundries Inc. Methods of forming replacement gate structures and fins on FinFET devices and the resulting devices
US20150214331A1 (en) 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9064890B1 (en) 2014-03-24 2015-06-23 Globalfoundries Inc. Methods of forming isolation material on FinFET semiconductor devices and the resulting devices
US9231080B2 (en) 2014-03-24 2016-01-05 International Business Machines Corporation Replacement metal gate
US9147748B1 (en) * 2014-05-01 2015-09-29 Globalfoundries Inc. Methods of forming replacement spacer structures on semiconductor devices
US9508826B2 (en) 2014-06-18 2016-11-29 Globalfoundries Inc. Replacement gate structure for enhancing conductivity
US9318574B2 (en) 2014-06-18 2016-04-19 International Business Machines Corporation Method and structure for enabling high aspect ratio sacrificial gates
US9508642B2 (en) * 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9887129B2 (en) 2014-09-04 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with contact plug
JP6604596B2 (ja) * 2014-09-26 2019-11-13 インテル・コーポレーション 半導体デバイス用の選択的ゲートスペーサ
KR102224386B1 (ko) * 2014-12-18 2021-03-08 삼성전자주식회사 집적 회로 장치의 제조 방법
CN105762106B (zh) * 2014-12-18 2021-02-19 联华电子股份有限公司 半导体装置及其制作工艺
US9331074B1 (en) 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102312346B1 (ko) 2015-02-23 2021-10-14 삼성전자주식회사 반도체 소자 형성 방법
KR102376503B1 (ko) 2015-04-23 2022-03-18 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US9659930B1 (en) * 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9761720B2 (en) 2015-11-30 2017-09-12 Globalfoundries Inc. Replacement body FinFET for improved junction profile with gate self-aligned junctions
TWI690080B (zh) * 2016-06-08 2020-04-01 聯華電子股份有限公司 半導體元件
US10297614B2 (en) * 2016-08-09 2019-05-21 International Business Machines Corporation Gate top spacer for FinFET
US10083961B2 (en) * 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US10008601B2 (en) * 2016-10-17 2018-06-26 International Business Machines Corporation Self-aligned gate cut with polysilicon liner oxidation
US10460995B2 (en) * 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US10134604B1 (en) 2017-04-28 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4897154A (en) * 1986-07-03 1990-01-30 International Business Machines Corporation Post dry-etch cleaning method for restoring wafer properties
US20140367803A1 (en) * 2013-06-13 2014-12-18 GlobalFoundries, Inc. Finfet gate with insulated vias and method of making same
US9704993B2 (en) * 2014-03-17 2017-07-11 International Business Machines Corporation Method of preventing epitaxy creeping under the spacer
US20160380082A1 (en) * 2015-06-26 2016-12-29 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
DE102018218457A1 (de) 2019-05-02
US10453936B2 (en) 2019-10-22
US20190355832A1 (en) 2019-11-21
TW201917794A (zh) 2019-05-01
US20190131429A1 (en) 2019-05-02
CN109727873A (zh) 2019-05-07
US11522068B2 (en) 2022-12-06
CN109727873B (zh) 2022-03-18

Similar Documents

Publication Publication Date Title
TWI714853B (zh) 在電晶體裝置上形成取代閘極結構之方法
US9431306B2 (en) Methods of forming fin isolation regions on FinFET semiconductor devices using an oxidation-blocking layer of material and by performing a fin-trimming process
US8541274B1 (en) Methods of forming 3-D semiconductor devices with a nanowire gate structure wherein the nanowire gate structure is formed after source/drain formation
US9147765B2 (en) FinFET semiconductor devices with improved source/drain resistance and methods of making same
KR102193493B1 (ko) 반도체 장치 및 그 제조 방법
US8846477B2 (en) Methods of forming 3-D semiconductor devices using a replacement gate technique and a novel 3-D device
US11349013B2 (en) IC product comprising a novel insulating gate separation structure for transistor devices
US8609480B2 (en) Methods of forming isolation structures on FinFET semiconductor devices
TWI677029B (zh) 在包括finfet裝置的ic產品的隔離區上形成保護層的方法
TWI584471B (zh) 於半導體裝置上形成取代閘極結構的方法
US20160163604A1 (en) Methods of forming diffusion breaks on integrated circuit products comprised of finfet devices and the resulting products
US10700173B2 (en) FinFET device with a wrap-around silicide source/drain contact structure
TW201818453A (zh) 形成垂直電晶體裝置之方法
TWI618146B (zh) 在鰭式電晶體裝置上形成取代閘極結構之方法及其裝置
US8580634B1 (en) Methods of forming 3-D semiconductor devices with a nanowire gate structure wherein the nanowire gate structure is formed prior to source/drain formation
US10431499B2 (en) Insulating gate separation structure
TWI576898B (zh) 形成具有閘極環繞通道組構的奈米線裝置的方法及該奈米線裝置
US7883965B2 (en) Semiconductor device and method for fabricating the same
US20130302954A1 (en) Methods of forming fins for a finfet device without performing a cmp process
TWI650864B (zh) 半導體元件結構及其製造方法
TWI705564B (zh) 具有在升高隔離結構上之閘極結構之積體電路產品及其形成方法
US10755982B1 (en) Methods of forming gate structures for transistor devices on an IC product
US9793169B1 (en) Methods for forming mask layers using a flowable carbon-containing silicon dioxide material
US20200273953A1 (en) Methods of forming short-channel and long-channel transistor devices with different heights of work function metal and the resulting ic products
US20160133720A1 (en) Methods of forming replacement gate structures on finfet devices and the resulting devices