DE102020114875A1 - Finfet-vorrichtung und verfahren - Google Patents

Finfet-vorrichtung und verfahren Download PDF

Info

Publication number
DE102020114875A1
DE102020114875A1 DE102020114875.5A DE102020114875A DE102020114875A1 DE 102020114875 A1 DE102020114875 A1 DE 102020114875A1 DE 102020114875 A DE102020114875 A DE 102020114875A DE 102020114875 A1 DE102020114875 A1 DE 102020114875A1
Authority
DE
Germany
Prior art keywords
ild
region
dielectric layer
spacer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102020114875.5A
Other languages
English (en)
Other versions
DE102020114875B4 (de
Inventor
Su-Hao LIU
Kuo-Ju Chen
Kai-Hsuan LEE
l-Hsieh Wong
Cheng-Yu Yang
Liang-Yin Chen
Huicheng Chang
Yee-Chia Yeo
Syun-Ming Jang
Meng-Han Chou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/879,894 external-priority patent/US11456383B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020114875A1 publication Critical patent/DE102020114875A1/de
Application granted granted Critical
Publication of DE102020114875B4 publication Critical patent/DE102020114875B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Eine Vorrichtung weist auf: eine Finne, die sich von einem Halbleitersubstrat erstreckt; einen Gatestapel über der Finne; einen ersten Abstandhalter an einer Seitenwand des Gatestapels; eine Source/Drain-Region in der Finne neben dem ersten Abstandhalter; eine Zwischenschichtdielektrikumschicht, ILD-Schicht, die sich über den Gatestapel, den ersten Abstandhalter und die Source/Drain-Region erstreckt, wobei die ILD einen ersten Abschnitt und einen zweiten Abschnitt aufweist, wobei der zweite Abschnitt der ILD näher an dem Gatestapel liegt als der erste Abschnitt der ILD; einen Kontaktstopfen, der sich durch die ILD erstreckt und die Source/Drain-Region kontaktiert; einen zweiten Abstandhalter an einer Seitenwand des Kontaktstopfens; und einen Luftspalt zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter, wobei sich der erste Abschnitt der ILD über den Luftspalt hinweg erstreckt und den zweiten Abstandhalter physisch kontaktiert, wobei der erste Abschnitt der ILD den Luftspalt verschließt.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der am Freitag, 30. August 2019 eingereichten vorläufigen US-Anmeldung mit der Anmeldenummer 62/894,006, die hiermit durch Bezugnahme vollumfänglich hierin aufgenommen wird.
  • HINTERGRUND
  • Halbleitervorrichtungen werden in einer Vielzahl von elektronischen Anwendungen eingesetzt, wie zum Beispiel in Personalcomputern, Handys, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden in der Regel hergestellt, indem isolierende oder dielektrische Schichten, leitfähige Schichten und Halbleiterschichten aus Material über einem Halbleitersubstrat nacheinander abgeschieden werden und die verschiedenen Materialschichten mittels Lithographie strukturiert werden, um Schaltungskomponenten und Elemente darauf zu bilden.
  • Die Halbleiterindustrie verbessert weiterhin die Integrationsdichte verschiedener elektronischer Komponenten (zum Beispiel Transistoren, Dioden, Widerstände, Kondensatoren usw.) durch kontinuierliche Reduzierung der kleinstmöglichen Merkmalsgröße, wodurch mehr Komponenten auf einer bestimmten Fläche integriert werden können. In dem Maße aber, wie die kleinstmöglichen Merkmalsgrößen reduziert werden, entstehen zusätzliche Probleme, die gelöst werden müssen.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden ausführlichen Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstanden. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 veranschaulicht ein Beispiel eines FinFET in einer dreidimensionalen Ansicht gemäß einigen Ausführungsformen.
    • 2, 3, 4, 5, 6, 7, 8A, 8B, 9A, 9B, 10A, 10B, 10C, 10D, 11A, 11B, 12A, 12B, 13A, 13B, 14A, 14B, 14C, 15A und 15B sind Querschnittsansichten von Zwischenstufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen.
    • 16, 17, 18, 19, 20, 21, 22 und 23 sind Querschnittsansichten von Zwischenstufen bei der Herstellung von FinFETs mit Luftspalten gemäß einigen Ausführungsformen.
    • 24 zeigt experimentelle Daten eines Dotandenkonzentrationsprofils gemäß einigen Ausführungsformen.
    • 25 zeigt experimentelle Daten von gemessenen Dicken eines Zwischenschichtdielektrikums mit einer darüberliegenden Deckschicht gemäß einigen Ausführungsformen.
    • 26, 27A, 27B und 28 sind Querschnittsansichten von Zwischenstufen bei der Herstellung von FinFETs mit Luftspalten gemäß einigen Ausführungsformen.
    • 29 zeigt experimentelle Daten von gemessenen Dicken eines Zwischenschichtdielektrikums ohne eine darüberliegende Deckschicht gemäß einigen Ausführungsformen.
    • 30A, 30B, 31A, 31B, 32A, 32B, 33A und 33B sind Querschnittsansichten von Zwischenstufen bei der Herstellung von FinFETs mit Luftspalten gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Im Folgenden werden konkrete Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und dienen nicht der Einschränkung. Zum Beispiel kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, bei denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht unbedingt in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Des Weiteren können räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, im vorliegenden Text zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den Zeichnungen veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen auch andere Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb neben der in den Zeichnungen gezeigten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet sein (um 90 Grad gedreht, oder sonstige Ausrichtungen), und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Gemäß einigen Ausführungsformen werden Luftspalte um Kontakte zu den Source/Drain-Epitaxialregionen einer FinFET-Vorrichtung herum gebildet. Die niedrige Dielektrizitätskonstante (k-Wert) der Luftspalte kann die Kapazität zwischen dem Gatestapel und den Kontakten der FinFET-Vorrichtung verringern, was den Betrieb des FinFETs mit höheren Geschwindigkeiten (zum Beispiel „AC“) verbessern kann. In einigen Ausführungsformen wird ein Implantierungsprozess durchgeführt, um Dotanden in eine benachbarte Zwischenschichtdielektrikumschicht (ILD-Schicht, ILD) zu implantieren, wodurch sich die ILD-Schicht ausdehnt und obere Regionen der Luftspalte verschließt. In einigen Ausführungen kann das Vorhandensein einer zusätzlichen dielektrischen Schicht (zum Beispiel einer Ätzstoppschicht) über der ILD-Schicht während der Implantierung eine stärkere seitliche Ausdehnung der ILD-Schicht und eine geringere vertikale Ausdehnung der ILD-Schicht bewirken. Durch Verschließen der Luftspalte wird die Möglichkeit, dass anschließend abgeschiedenes leitfähiges Material in die Luftspalte eindringt, verringert oder beseitigt. Dementsprechend wird das Risiko der Entstehung elektrischer Kurzschlüsse aufgrund des Vorhandenseins von leitfähigem Material in den Luftspalten reduziert oder beseitigt.
  • 1 veranschaulicht ein Beispiel eines FinFET in einer dreidimensionalen Ansicht gemäß einigen Ausführungsformen. Der FinFET umfasst eine Finne 52 auf einem Substrat 50 (zum Beispiel einem Halbleitersubstrat). Isolierregionen 56 sind in dem Substrat 50 angeordnet, und die Finne 52 ragt über und zwischen benachbarten Isolierregionen 56 heraus. Obwohl die Isolierregionen 56 als von dem Substrat 50 getrennt beschrieben/veranschaulicht sind, kann der Begriff „Substrat“ im Sinne des vorliegenden Textes so verwendet werden, dass er sich entweder nur auf das Halbleitersubstrat oder auf ein Halbleitersubstrat einschließlich der Isolierregionen bezieht. Obgleich die Finne 52 als ein einzelnes, kontinuierliches Material als das Substrat 50 veranschaulicht ist, können die Finne 52 und/oder das Substrat 50 zusätzlich auch ein einzelnes Material oder mehrere Materialien umfassen. In diesem Zusammenhang bezieht sich die Finne 52 auf den Abschnitt, der sich zwischen den benachbarten Isolationsregionen 56 erstreckt.
  • Eine Gate-Dielektrikumschicht 92 befindet sich entlang der Seitenwände und über einer Oberseite der Finne 52, und eine Gate-Elektrode 94 befindet sich über der Gate-Dielektrikumschicht 92. Die Source/Drain-Regionen 82 sind auf gegenüberliegenden Seiten der Finne 52 in Bezug auf die Gate-Dielektrikumschicht 92 und die Gate-Elektrode 94 angeordnet. 1 veranschaulicht des Weiteren die Referenzquerschnitte, die in späteren Zeichnungen verwendet werden. Der Querschnitt A-A verläuft entlang einer Längsachse der Gate-Elektrode 94 und beispielsweise in einer Richtung senkrecht zur Richtung des Stromflusses zwischen den Source/Drain-Regionen 82 des FinFET. Der Querschnitt B-B verläuft senkrecht zum Querschnitt A-A und verläuft entlang einer Längsachse der Finne 52 und beispielsweise in einer Richtung eines Stromflusses zwischen den Source/Drain-Regionen 82 des FinFET. Der Querschnitt C-C verläuft parallel zum Querschnitt A-A und erstreckt sich durch eine Source/Drain-Region des FinFET hindurch. Die anschließenden Zeichnungen beziehen sich zur Verdeutlichung auf diese Referenzquerschnitte.
  • Einige der im vorliegenden Text besprochenen Ausführungsformen werden im Kontext von FinFETs besprochen, die mit einem Gate-Last-Prozess gebildet werden. In anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Des Weiteren erwägen einige Ausführungsformen Aspekte, die in planaren Vorrichtungen verwendet werden, wie zum Beispiel planaren FETs.
  • 2 bis 33B enthalten Querschnittsansichten von Zwischenstufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen. 2 bis 7 veranschaulichen den in 1 veranschaulichten Referenzquerschnitt A-A, mit Ausnahme mehrerer Finnen/FinFETs. 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A, 30A, 31A, 32A und 33A sind entlang des in 1 veranschaulichten Referenzquerschnitts A-A veranschaulicht, und 8B, 9B, 10B, 11B, 12B, 13B, 14B, 14C, 15B, 16, 17, 18, 19, 21, 22, 23, 26, 27A, 27B, 28, 30B, 31B, 32B und 33B sind entlang eines ähnlichen, in 1 veranschaulichten Querschnitts B-B veranschaulicht, mit Ausnahme mehrerer Finnen/FinFETs. 10C und 10D sind entlang des in 1 veranschaulichten Referenzquerschnitts C-C veranschaulicht, mit Ausnahme mehrerer Finnen/FinFETs.
  • In 2 wird ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat, wie zum Beispiel ein Volumenhalbleiter, ein Halbleiter-auf-Isolator (SOI)-Substrat oder dergleichen sein, das dotiert (zum Beispiel mit einem Dotanden vom p-Typ oder vom n-Typ) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie zum Beispiel ein Silizium-Wafer. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolatorschicht gebildet wird. Die Isolatorschicht kann beispielsweise eine vergrabene Oxid (BOX)-Schicht, eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht ist auf einem Substrat, in der Regel einem Siliziumsubstrat oder einem Glassubstrat, angeordnet. Andere Substrate, wie zum Beispiel ein mehrschichtiges oder Gradientensubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium, Germanium, einen Verbundhalbleiter, einschließlich Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid, einen Legierungshalbleiter, einschließlich Silizium-Germanium, Gallium-Arsenidphosphid, Aluminium-Indiumarsenid, Aluminium-Galliumarsenid, Gallium-Indiumarsenid, Gallium-Indiumphosphid und/oder Gallium-Indiumarsenidphosphid oder Kombinationen davon umfassen.
  • Das Substrat 50 weist eine Region 50N und eine Region 50P auf. Die Region 50N kann zum Bilden von n-Vorrichtungen, wie zum Beispiel NMOS-Transistoren, zum Beispiel n-FinFETs, verwendet werden. Die Region 50P kann zum Bilden von p-Vorrichtungen, wie zum Beispiel PMOS-Transistoren, zum Beispiel p-FinFETs, verwendet werden. Die Region 50N kann physisch von der Region 50P getrennt sein (wie durch den Teiler 51 veranschaulicht), und es können beliebig viele Vorrichtungsmerkmale (zum Beispiel andere aktive Bauelemente, dotierte Regionen, Isolationsstrukturen usw.) zwischen der Region 50N und der Region 50P angeordnet sein.
  • In 3 werden in dem Substrat 50 Finnen 52 gebildet. Die Finnen 52 sind Halbleiterstreifen. In einigen Ausführungsformen können die Finnen 52 in dem Substrat 50 durch Ätzen von Gräben in dem Substrat 50 ausgebildet werden. Das Ätzen kann jeder akzeptable Ätzprozess sein, wie zum Beispiel ein reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE), dergleichen, oder eine Kombination davon. Das Ätzen kann anisotrop sein.
  • Die Finnen können mit jedem geeigneten Verfahren strukturiert werden. So können beispielsweise die Finnen mit einem oder mehreren Fotolithografieprozessen, einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithografie- und selbstausrichtende Prozesse, wodurch Strukturen erzeugt werden können, die zum Beispiel Abstände haben, die kleiner sind als die, die ansonsten durch einen einzelnen, direkten Photolithografieprozess erhalten werden können. Zum Beispiel wird in einer Ausführungsform eine Opferschicht über einem Substrat ausgebildet und durch einen Photolithografieprozess strukturiert. Abstandhalter werden entlang der strukturierten Opferschicht durch einen selbstausrichtenden Prozess ausgebildet. Die Opferschicht wird dann entfernt, und die verbleibenden Abstandhalter können dann zum Strukturieren der Finnen verwendet werden. In einigen Ausführungen kann die Maske (oder eine andere Schicht) auf den Finnen 52 verbleiben.
  • In 4 wird ein Isoliermaterial 54 über dem Substrat 50 und zwischen benachbarten Finnen 52 gebildet. Das Isoliermaterial 54 kann ein Oxid, wie zum Beispiel Siliziumoxid, ein Nitrid, dergleichen, oder eine Kombination davon sein und kann durch eine chemische Hochdichteplasma-Aufdampfung (HDP-CVD), eine fließfähige CVD (FCVD) (zum Beispiel eine CVD-basierte Materialabscheidung in einem räumlich abgesetzten Plasmasystem und Nach-Brennen, um es zu einem Material umzuwandeln, wie zum Beispiel einem Oxid), dergleichen, oder eine Kombination davon ausgebildet werden. Es können auch andere Isoliermaterialien verwendet werden, die durch einen beliebigen akzeptablen Prozess hergestellt werden. In der veranschaulichten Ausführungsform ist das Isoliermaterial 54 Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Ein Temperungsprozess kann ausgeführt werden, sobald das Isoliermaterial gebildet wurde. In einer Ausführungsform wird das Isoliermaterial 54 so ausgebildet, dass überschüssiges Isoliermaterial 54 die Finnen 52 bedeckt. Obwohl das Isoliermaterial 54 als eine einzelne Schicht veranschaulicht ist, können einige Ausführungsformen mehrere Schichten verwenden. Beispielsweise kann in einigen Ausführungsformen zunächst eine Auskleidung (nicht gezeigt) entlang einer Fläche des Substrats 50 und der Finnen 52 ausgebildet werden. Danach kann ein Füllmaterial, wie zum Beispiel jene, die oben besprochen wurden, über der Auskleidung ausgebildet werden.
  • In 5 wird ein Abtragsprozess auf das Isoliermaterial 54 angewendet, um überschüssiges Isoliermaterial 54 über den Finnen 52 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie zum Beispiel ein chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Der Planarisierungsprozess legt die Finnen 52 frei, dergestalt, dass die Oberseiten der Finnen 52 und des Isoliermaterials 54 nach Abschluss des Planarisierungsprozesses bündig abschlie-ßen. In Ausführungen, bei denen eine Maske auf den Finnen 52 verbleibt, kann der Planarisierungsprozess die Maske freilegen oder die Maske entfernen, dergestalt, dass die Oberseiten der Maske bzw. der Finnen 52 und des Isoliermaterials 54 nach Abschluss des Planarisierungsprozesses bündig abschließen.
  • In 6 wird das Isoliermaterial 54 ausgespart, um Flachgrabenisolierungs (STI)-Regionen 56 zu bilden. Das Isoliermaterial 54 wird so ausgespart, dass obere Abschnitte der Finnen 52 in der Region 50N und in der Region 50P zwischen benachbarten STI-Regionen 56 hervorstehen. Des Weiteren können die Oberseiten der STI-Regionen 56 eine flache Oberfläche, wie veranschaulicht, eine konvexe Oberfläche, eine konkave Oberfläche (zum Beispiel napfförmig) oder eine Kombination davon aufweisen. Die Oberseiten der STI-Regionen 56 können durch ein geeignetes Ätzen flach, konvex und/oder konkav geformt werden. Die STI-Regionen 56 können mit einem akzeptablen Ätzprozess ausgespart werden, wie zum Beispiel einem, der für das Material des Isoliermaterials 54 selektiv ist (zum Beispiel das Material des Isoliermaterials 54 mit einer schnelleren Rate ätzt als das Material der Finnen 52). Zum Beispiel kann ein Oxidabtrag beispielsweise mittels verdünnter Flusssäure (dHF) verwendet werden.
  • Der mit Bezug auf 2 bis 6 beschriebene Prozess ist nur ein Beispiel dafür, wie die Finnen 52 ausgebildet werden können. In einigen Ausführungsformen können die Finnen durch einen epitaxialen Wachstumsprozess ausgebildet werden. Beispielsweise kann eine dielektrische Schicht über einer Oberseite des Substrats 50 ausgebildet sind, und Gräben können durch die dielektrische Schicht geätzt werden, um das darunterliegende Substrat 50 freizulegen. Homoepitaxiale Strukturen können epitaxial in den Gräben gezüchtet werden, und die dielektrische Schicht kann so ausgespart werden, dass die homoepitaxialen Strukturen von der dielektrischen Schicht hervorstehen und Finnen bilden. Zusätzlich können in einigen Ausführungsformen heteroepitaxiale Strukturen für die Finnen 52 verwendet werden. Zum Beispiel können die Finnen 52 in 5 ausgespart werden, und ein anderes Material als das der Finnen 52 kann epitaxial über den ausgesparten Finnen 52 gezüchtet werden. In solchen Ausführungsformen umfassen die Finnen 52 das ausgesparte Material sowie das epitaxial gezüchtete Material, das über dem ausgesparten Material angeordnet ist. In einer weiteren Ausführungsform kann eine dielektrische Schicht über einer Oberseite des Substrats 50 ausgebildet werden, und Gräben können durch die dielektrische Schicht geätzt werden. Heteroepitaxiale Strukturen können dann epitaxial in den Gräben unter Verwendung eines von dem Substrat 50 verschiedenen Materials gezüchtet werden, und die dielektrische Schicht kann so ausgespart werden, dass die heteroepitaxialen Strukturen von der dielektrischen Schicht hervorstehen, um die Finnen 52 zu bilden. In einigen Ausführungsformen, in denen homoepitaxiale oder heteroepitaxiale Strukturen epitaxial gezüchtet werden, können die gezüchteten Materialien während des Wachstums in situ dotiert werden, was vorherige und nachfolgende Implantierungen vermeiden kann, obwohl in-situ- und Implantierungsdotierung auch zusammen verwendet werden können.
  • Darüber hinaus kann es vorteilhaft sein, in der Region 50N (zum Beispiel einer NMOS-Region) epitaxial ein Material zu züchten, das sich von dem Material in der Region 50P (zum Beispiel einer PMOS-Region) unterscheidet. In verschiedenen Ausführungsformen können obere Abschnitte der Finnen 52 aus Silizium-Germanium (SixGe1-x, wobei x im Bereich von 0 bis 1 liegen kann), Siliziumcarbid, reinem oder im Wesentlichen reinem Germanium, einem III-V-Verbundhalbleiter, einem II-VI-Verbundhalbleiter oder dergleichen gebildet werden. Zu den verfügbaren Materialien für die Bildung von III-V-Verbundhalbleitern gehören beispielsweise Indiumarsenid, Aluminiumarsenid, Galliumarsenid, Indiumphosphid, Galliumnitrid, Indiumgalliumarsenid, Indiumaluminiumarsenid, Galliumantimonid, Aluminiumantimonid, Aluminiumphosphid, Galliumphosphid und dergleichen.
  • Des Weiteren können in 6 geeignete Mulden (nicht gezeigt) in den Finnen 52 und/oder dem Substrat 50 ausgebildet werden. In einigen Ausführungsformen kann ein P-Mulde in der Region 50N ausgebildet werden, und eine N-Mulde kann in der Region 50P ausgebildet werden. In einigen Ausführungsformen wird sowohl in der Region 50N als auch in der Region 50P eine P- oder eine N-Mulde gebildet.
  • In den Ausführungsformen mit verschiedenen Muldentypen können die verschiedenen Implantierungsschritte für die Region 50N und die Region 50P unter Verwendung eines Photoresists oder anderer Masken (nicht veranschaulicht) bewerkstelligt werden. Beispielsweise kann ein Photoresist über den Finnen 52 und den STI-Regionen 56 in der Region 50N ausgebildet werden. Der Photoresist wird so strukturiert, dass die Region 50P des Substrats 50, wie zum Beispiel eine PMOS-Region, frei liegt. Der Photoresist kann mit Hilfe einer Aufschleudertechnik gebildet werden und kann mit geeigneten Fotolithografietechniken strukturiert werden. Sobald der Photoresist strukturiert ist, wird eine Implantierung von n-Störatomen in der Region 50P ausgeführt, und der Photoresist kann als eine Maske fungieren, um im Wesentlichen zu verhindern, dass n-Störatome in die Region 50N, wie zum Beispiel eine NMOS-Region, implantiert werden. Die n-Störatome können Phosphor, Arsen, Antimon oder dergleichen sein, die in die Region auf eine Konzentration von maximal 10 18 cm-3 implantiert werden, wie zum Beispiel zwischen etwa 1016 cm-3 und etwa 10 18 cm-3. Nach der Implantierung wird der Photoresist entfernt, zum Beispiel durch einen akzeptablen Ashing-Prozess.
  • Nach der Implantierung der Region 50P wird über den Finnen 52 und den STI-Regionen 56 in der Region 50P ein Photoresist ausgebildet. Der Photoresist wird so strukturiert, dass die Region 50N des Substrats 50, wie zum Beispiel die NMOS-Region, frei liegt. Der Photoresist kann mit Hilfe einer Aufschleudertechnik gebildet werden und kann mit geeigneten Fotolithografietechniken strukturiert werden. Sobald der Photoresist strukturiert ist, kann eine Implantierung von p-Störatomen in der Region 50N ausgeführt werden, und der Photoresist kann als eine Maske fungieren, um im Wesentlichen zu verhindern, dass p-Störatome in die Region 50P, wie zum Beispiel die PMOS-Region, implantiert werden. Die p-Störatome können Bor, Borfluorid, Indium oder dergleichen sein, die in die Region auf eine Konzentration von maximal 10 18 cm-3 implantiert werden, wie zum Beispiel zwischen etwa 10 16 cm-3 und etwa 10 18 cm-3. Nach der Implantierung kann der Photoresist entfernt werden, zum Beispiel durch einen akzeptablen Ashing-Prozess.
  • Nach den Implantierungen der Region 50N und der Region 50P kann ein Tempern ausgeführt werden, um Implantierungsschäden zu reparieren und die p- und/oder n-Störatome, die implantiert wurden, zu aktivieren. In einigen Ausführungsformen können die gezüchteten Materialien von epitaxialen Finnen während des Wachstums in situ dotiert werden, was die Implantierungen vermeiden kann, obgleich in-situ- und Implantierungsdotierung zusammen verwendet werden können.
  • In 7 wird auf den Finnen 52 eine Dummy-Dielektrikumschicht 60 ausgebildet. Die Dummy-Dielektrikumschicht 60 kann beispielsweise Siliziumoxid, Siliziumnitrid, eine Kombination davon oder dergleichen sein und kann nach akzeptablen Techniken abgeschieden oder thermisch gezüchtet werden. Über der Dummy-Dielektrikumschicht 60 wird eine Dummy-Gate-Schicht 62 gebildet, und über der Dummy-Gate-Schicht 62 wird eine Maskenschicht 64 gebildet. Die Dummy-Gate-Schicht 62 kann über der Dummy-Dielektrikumschicht 60 abgeschieden und dann planarisiert werden, beispielsweise durch ein CMP. Die Maskenschicht 64 kann über der Dummy-Gate-Schicht 62 abgeschieden werden. Die Dummy-Gate-Schicht 62 kann ein leitfähiges oder nicht-leitfähiges Material sein und kann aus einer Gruppe ausgewählt werden, die amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Silizium-Germanium (Poly-SiGe), Metallnitride, Metallsilicide, Metalloxide und Metalle umfasst. Die Dummy-Gate-Schicht 62 kann durch physikalische Aufdampfung (PVD), CVD, Sputterabscheidung oder andere im Stand der Technik bekannte und verwendete Techniken zum Abscheiden des ausgewählten Materials abgeschieden werden. Die Dummy-Gate-Schicht 62 kann aus anderen Materialien hergestellt werden, die eine hohe Ätzselektivität aus dem Ätzen von Isolierregionen aufweisen. Die Maskenschicht 64 kann beispielsweise Siliziumnitrid, Siliziumoxynitrid oder dergleichen enthalten. In diesem Beispiel werden eine einzelne Dummy-Gate-Schicht 62 und eine einzelne Maskenschicht 64 über der Region 50N und der Region 50P gebildet. Es ist anzumerken, dass die Dummy-Dielektrikumschicht 60 allein zur Veranschaulichung so gezeigt ist, dass sie nur die Finnen 52 bedeckt. In einigen Ausführungsformen kann die Dummy-Dielektrikumschicht 60 so abgeschieden werden, dass die Dummy-Dielektrikumschicht 60 die STI-Regionen 56 bedeckt und sich dabei zwischen der Gateschicht 62 und den STI-Regionen 56 erstreckt.
  • FIG. und 8A bis 15B veranschaulichen verschiedene zusätzliche Schritte bei der Herstellung von Ausführungsvorrichtungen. 8A bis 15B veranschaulichen Merkmale in einer der Region 50N und der Region 50P. Zum Beispiel können die in 8A bis 15B veranschaulichten Strukturen sowohl für die Region 50N als auch für den Region 50P anwendbar sein. Unterschiede (falls vorhanden) in den Strukturen der Region 50N und der Region 50P sind im begleitenden Text zu jeder FIG. beschrieben.
  • In 8A und 8B kann die Maskenschicht 64 (siehe 7) mittels akzeptabler Photolithographie- und Ätztechniken strukturiert werden, um Masken 74 zu bilden. Die Struktur der Masken 74 kann dann zu der Dummy-Gate-Schicht 62 übertragen werden. In einigen Ausführungsformen (nicht veranschaulicht) kann die Struktur der Masken 74 auch durch eine akzeptable Ätztechnik zu der Dummy-Dielektrikumschicht 60 übertragen werden, um Dummy-Gates 72 zu bilden. Die Dummy-Gates 72 bedecken jeweilige Kanalregionen 58 der Finnen 52. Die Struktur der Masken 74 kann verwendet werden, um jedes der Dummy-Gates 72 physisch von benachbarten Dummy-Gates zu trennen. Die Dummy-Gates 72 können auch eine Längsrichtung aufweisen, die im Wesentlichen senkrecht zur Längsrichtung jeweiliger epitaxialer Finnen 52 verläuft.
  • Des Weiteren können in 8A und 8B Gate-Dichtungs-Abstandhalter 80 auf freiliegenden Flächen der Dummy-Gates 72, der Masken 74 und/oder der Finnen 52 gebildet werden. Eine thermische Oxidation oder eine Abscheidung mit anschließendem anisotropem Ätzen kann die Gate-Dichtungs-Abstandhalter 80 bilden. Die Gate-Dichtungs-Abstandhalter 80 können Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen enthalten.
  • Nach der Bildung der Gate-Dichtungs-Abstandhalter 80 können Implantierungen für schwach dotierte Source/Drain (LDD)-Regionen (nicht explizit veranschaulicht) ausgeführt werden. In den Ausführungsformen mit verschiedenen Vorrichtungstypen, ähnlich den oben in 6 besprochenen Implantierungen, kann über der Region 50N eine Maske, wie zum Beispiel ein Photoresist, ausgebildet werden, während die Region 50P frei liegt, und es können Störatome eines geeignetes Typs (zum Beispiel p-Typ) in die freiliegenden Finnen 52 in der Region 50P implantiert werden. Die Maske kann dann entfernt werden. Anschließend kann eine Maske, wie beispielsweise ein Photoresist, über der Region 50P gebildet werden, während die Region 50N frei liegt, und es können Störatome eines geeignetes Typs (zum Beispiel n-Typ) in die freiliegenden Finnen 52 in der Region 50N implantiert werden. Die Maske kann dann entfernt werden. Die n-Störatome können beliebige der zuvor besprochenen n-Störatome sein, und die p-Störatome können beliebige der zuvor besprochenen p-Störatome sein. Die schwach dotierten Source/Drain-Regionen können eine Konzentration von Störatomen aufweisen, die von etwa 1015 cm-3 bis etwa 1019 cm-3 reicht. Ein Tempern kann zum Reparieren von Implantierungsschäden und zum Aktivieren der implantierten Störatome verwendet werden.
  • In 9A und 9B werden an den Gate-Dichtungs-Abstandhaltern 80 entlang Seitenwänden der Dummy-Gates 72 und der Masken 74 Gate-Abstandhalter 86 ausgebildet. Die Gate-Abstandhalter 86 können durch konforme Abscheidung eines Isoliermaterials und anschließendes anisotropes Ätzen des Isoliermaterials gebildet werden. Das Isoliermaterial der Gate-Abstandhalter 86 kann Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbonitrid, eine Kombination davon oder dergleichen sein.
  • Es ist anzumerken, dass die obige Offenbarung allgemein einen Prozess des Bildens von Abstandhaltern und LDD-Regionen beschreibt. Es können auch andere Prozesse und Abfolgen verwendet werden. So können zum Beispiel weniger oder zusätzliche Abstandhalter verwendet werden, oder eine andere Abfolge von Schritten kann verwendet werden; zum Beispiel brauchen die Gate-Dichtungs-Abstandhalter 80 vor dem Bilden der Gate-Abstandhalter 86 nicht geätzt zu werden, wodurch „L-förmige“ Gate-Dichtungs-Abstandhalter entstehen, Abstandhalter können gebildet und entfernt werden, und/oder dergleichen. Darüber hinaus können die n- und p-Vorrichtungen mittels anderer Strukturen und Schritte gebildet werden. Zum Beispiel können LDD-Regionen für n-Vorrichtungen vor dem Bilden der Gate-Dichtungs-Abstandhalter 80 gebildet werden, während die LDD-Regionen für p-Vorrichtungen nach dem Bilden der Gate-Dichtungs-Abstandhalter 80 gebildet werden können.
  • In 10A und 10B werden gemäß einigen Ausführungsformen epitaxiale Source/Drain-Regionen 82 in den Finnen 52 gebildet. In einigen Fällen können die epitaxialen Source/Drain-Regionen 82 so gebildet werden, dass in den jeweiligen Kanalregionen 58 eine Verspannung ausgeübt wird, wodurch die Leistung verbessert wird. Die epitaxialen Source/Drain-Regionen 82 werden in den Finnen 52 so ausgebildet, dass jedes Dummy-Gate 72 zwischen jeweiligen benachbarten Paaren der epitaxialen Source/Drain-Regionen 82 angeordnet ist. In einigen Ausführungen können sich die epitaxialen Source/Drain-Regionen 82 in die Finnen 52 hinein erstrecken und auch durch sie hindurch verlaufen. In einigen Ausführungsformen werden die Gate-Abstandhalter 86 verwendet, um die epitaxialen Source/Drain-Regionen 82 von den Dummy-Gates 72 um eine zweckmäßige seitliche Distanz zu trennen, so dass die epitaxialen Source/Drain-Regionen 82 nicht die nachfolgend gebildeten Gates der resultierenden FinFETs kurzschließen.
  • Die epitaxialen Source/Drain-Regionen 82 in der Region 50N, zum Beispiel der NMOS-Region, können durch Maskieren der Region 50P, zum Beispiel der PMOS-Region, und Ätzen von Source/Drain-Regionen der Finnen 52 in der Region 50N gebildet werden, um Aussparungen in den Finnen 52 zu bilden. Anschließend werden die epitaxialen Source/Drain-Regionen 82 in der Region 50N epitaxial in den Aussparungen gezüchtet. Die epitaxialen Source/Drain-Regionen 82 können jedes akzeptable Material enthalten, das für n-FinFETs geeignet ist. Wenn zum Beispiel die Finne 52 Silizium enthält, so können die epitaxialen Source/Drain-Regionen 82 in der Region 50N Materialien enthalten, die eine Zugbelastung in der Kanalregion 58 ausüben, wie zum Beispiel Silizium, Siliziumcarbid, phosphordotiertes Siliziumcarbid, Siliziumphosphid oder dergleichen. Die epitaxialen Source/Drain-Regionen 82 in der Region 50N können Flächen aufweisen, die von jeweiligen Flächen der Finnen 52 aus erhöht sind, und können Facetten aufweisen.
  • Die epitaxialen Source/Drain-Regionen 82 in der Region 50P, zum Beispiel der PMOS-Region, können durch Maskieren der Region 50N, zum Beispiel der NMOS-Region, gebildet werden, und die Source/Drain-Regionen der Finnen 52 in der Region 50P werden geätzt, um Aussparungen in den Finnen 52 zu bilden. Anschließend werden die epitaxialen Source/Drain-Regionen 82 in der Region 50P epitaxial in den Aussparungen gezüchtet. Die epitaxialen Source/Drain-Regionen 82 können jedes akzeptable Material enthalten, das für p-FinFETs geeignet ist. Wenn zum Beispiel die Finne 52 Silizium enthält, so können die epitaxialen Source/Drain-Regionen 82 in der Region 50P Materialien umfassen, die eine Druckspannung in der Kanalregion 58 ausüben, wie zum Beispiel Silizium-Germanium, bordotiertes Silizium-Germanium, Germanium, Germanium-Zinn oder dergleichen. Die epitaxialen Source/Drain-Regionen 82 in der Region 50P können ebenfalls Flächen aufweisen, die von jeweiligen Flächen der Finnen 52 aus erhöht sind, und können Facetten aufweisen.
  • Die epitaxialen Source/Drain-Regionen 82 und/oder die Finnen 52 können mit Dotanden implantiert werden, um Source/Drain-Regionen zu bilden, ähnlich dem Prozess, der zuvor für die Bildung schwach dotierter Source/Drain-Regionen beschrieben wurde, gefolgt von einem Tempern. Die Source/Drain-Regionen können eine Störatomkonzentration zwischen etwa 10 19 cm-3 und etwa 10 21 cm-3 aufweisen. Die Störatome vom n-Typ und/oder vom p-Typ für Source/Drain-Regionen können beliebige der zuvor besprochenen Störatome sein. In einigen Ausführungsformen können die epitaxialen Source/Drain-Regionen 82 während des Wachstums in situ dotiert werden.
  • Im Ergebnis der Epitaxieprozesse, die zur Bildung der epitaxialen Source/Drain-Regionen 82 in der Region 50N und der Region 50P verwendet werden, weisen Oberseiten der epitaxialen Source/Drain-Regionen Facetten auf, die sich seitlich nach außen über Seitenwände der Finnen 52 hinaus erstrecken. In einigen Ausführungsformen bewirken diese Facetten, dass benachbarte Source/Drain-Regionen 82 desselben FinFET verschmelzen, wie in 10C veranschaulicht. In anderen Ausführungsformen bleiben benachbarte Source/Drain-Regionen 82 getrennt, nachdem der Epitaxieprozess abgeschlossen ist, wie durch 10D veranschaulicht. In den Ausführungsformen, die in 10C und 10D veranschaulicht sind, werden Gate-Abstandhalter 86 gebildet, die einen Abschnitt der Seitenwände der Finnen 52 bedecken, die sich über die STI-Regionen 56 hinaus erstrecken und dadurch das epitaxiale Wachstum blockieren. In einigen anderen Ausführungen kann die zum Bilden der Gate-Abstandhalter 86 verwendete Abstandhalter-Ätzung so justiert werden, dass das Abstandhaltermaterial entfernt wird, damit sich die epitaxial gezüchtete Region bis zur Fläche der STI-Region 56 erstrecken kann.
  • In 11A und 11B wird gemäß einigen Ausführungsformen ein erstes Zwischenschichtdielektrikum (Interlayer Dielectric, ILD) 88 über der in 10A und 10B veranschaulichten Struktur abgeschieden. Das erste ILD 88 kann aus einem dielektrischen Material gebildet werden und kann durch jedes geeignete Verfahren, wie zum Beispiel CVD, plasmaunterstützte CVD (PECVD) oder FCVD, abgeschieden werden. Zu den dielektrischen Materialien können Phosphosilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen gehören. Es können auch andere Isoliermaterialien verwendet werden, die durch einen beliebigen akzeptablen Prozess hergestellt werden. In einigen Ausführungsformen wird eine Kontaktätzstoppschicht (Contact Etch Stop Layer, CESL) 87 zwischen dem ersten ILD 88 und den epitaxialen Source/Drain-Regionen 82, der Maske 74 und den Gate-Abstandhaltern 86 angeordnet. Die CESL 87 kann ein dielektrisches Material wie zum Beispiel Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen umfassen, und kann eine geringere Ätzrate als das Material des darüberliegenden ersten ILD 88 aufweisen. In einigen Ausführungsformen kann die CESL 87 mit einer Dicke zwischen etwa 2 nm und etwa 5 nm, wie zum Beispiel etwa 3 nm, gebildet werden. In einigen Fällen kann durch die Steuerung der Dicke der CESL 87 die Größe (zum Beispiel Breite oder Höhe) der Source/Drain-Kontakte 118 und/oder die Größe (zum Beispiel Breite oder Höhe) der anschließend gebildeten Luftspalte 120 (siehe 26) gesteuert werden.
  • In 12A und 12B kann ein Planarisierungsprozess, wie zum Beispiel ein CMP, ausgeführt werden, um die Oberseite des ersten ILD 88 bündig mit der Oberseite der Dummy-Gates 72 oder der Masken 74 abschließen zu lassen. Der Planarisierungsprozess kann auch die Masken 74 auf den Dummy-Gates 72 und Abschnitte der Gate-Dichtungs-Abstandhalter 80 und der Gate-Abstandhalter 86 entlang Seitenwänden der Masken 74 entfernen. Nach dem Planarisierungsprozess sind die Oberseiten der Dummy-Gates 72, der Gate-Dichtungs-Abstandhalter 80, der Gate-Abstandhalter 86 und des ersten ILD 88 bündig. Dementsprechend werden die Oberseiten der Dummy-Gates 72 durch das erste ILD 88 hindurch freigelegt. In einigen Ausführungsformen können die Masken 74 bleiben; in diesem Fall wird durch den Planarisierungsprozess die Oberseite des ersten ILD 88 mit den Oberseiten der Oberseite der Masken 74 bündig gemacht.
  • In 13A und 13B werden die Dummy-Gates 72 und die Masken 74, falls vorhanden, in einem oder mehreren Ätzschritten entfernt, so dass Aussparungen 90 entstehen. Abschnitte der dielektrischen Dummy-Schicht 60 in den Aussparungen 90 können ebenfalls entfernt werden. In einigen Ausführungsformen werden nur die Dummy-Gates 72 entfernt, und die Dummy-Dielektrikumschicht 60 bleibt und wird durch die Aussparungen 90 hindurch freigelegt. In einigen Ausführungen wird die Dummy-Dielektrikumschicht 60 aus den Aussparungen 90 in einer ersten Region eines Dies (zum Beispiel einer logischen Kernregion) entfernt und verbleibt in Aussparungen 90 in einer zweiten Region des Dies (zum Beispiel einer Eingangs-/Ausgangsregion). In einigen Ausführungsformen werden die Dummy-Gates 72 durch einen anisotropen Trockenätzprozess entfernt. Beispielsweise kann der Ätzprozesse einen Trockenätzprozess unter Verwendung eines oder mehrerer Reaktionsgase umfassen, die die Dummy-Gates 72 selektiv ätzen, ohne das erste ILD 88, die Gate-Abstandhalter 86 oder die CESL 87 zu ätzen. Jede Aussparung 90 legt eine Kanalregion 58 einer jeweiligen Finne 52 frei und/oder liegt über ihr. Jede Kanalregion 58 ist zwischen benachbarten Paaren der epitaxialen Source/Drain-Regionen 82 angeordnet. Während des Entfernens kann die Dummy-Dielektrikumschicht 60 als eine Ätzstoppschicht verwendet werden, wenn die Dummy-Gates 72 geätzt werden. Die Dummy-Dielektrikumschicht 60 kann dann nach dem Entfernen der Dummy-Gates 72 optional entfernt werden.
  • In 14A und 14B werden Gate-Dielektrikumschichten 92 und Gate-Elektroden 94 für Ersatz-Gates gebildet. 14C veranschaulicht eine detaillierte Ansicht der Region 89 in 14B. Gate-Dielektrikumschichten 92 werden konform in den Aussparungen 90 abgeschieden, wie zum Beispiel auf den Oberseiten und den Seitenwänden der Finnen 52 und an Seitenwänden der Gate-Dichtungs-Abstandhalter 80/Gate-Abstandhalter 86. Die Gate-Dielektrikumschichten 92 können auch auf der Oberseite des ersten ILD 88 gebildet werden. Gemäß einigen Ausführungsformen umfassen die Gate-Dielektrikumschichten 92 Siliziumoxid, Siliziumnitrid oder Mehrfachschichten davon. In einigen Ausführungsformen enthalten die Gate-Dielektrikumschichten 92 ein dielektrisches Material mit hohem k-Wert, und in diesen Ausführungsformen können die Gate-Dielektrikumschichten 92 einen k-Wert von mehr als etwa 7,0 aufweisen und können ein Metalloxid oder ein Silikat von Hafnium, Aluminium, Zirkonium, Lanthan, Mangan, Barium, Titan, Blei und Kombinationen davon enthalten. Die Bildungsverfahren der Gate-Dielektrikumschichten 92 können Molekularstrahlabscheidung (Molecular-Beam Deposition, MBD), ALD, PECVD und dergleichen umfassen. In Ausführungsformen, in denen Abschnitte des Dummy-Gate-Dielektrikums 60 in den Aussparungen 90 verbleiben, enthalten die Gate-Dielektrikumschichten 92 ein Material des Dummy-Gate-Dielektrikums 60 (zum Beispiel Siliziumoxid).
  • Die Gate-Elektroden 94 werden jeweils über den Gate-Dielektrikumschichten 92 abgeschieden und füllen die restlichen Abschnitte der Aussparungen 90. Die Gate-Elektroden 94 können ein metallhaltiges Material wie zum Beispiel Titannitrid, Titanoxid, Tantalnitrid, Tantalcarbid, Kobalt, Ruthenium, Aluminium, Wolfram, Kombinationen davon oder Mehrfachschichten davon enthalten. Obgleich in 14B eine einschichtige Gate-Elektrode 94 veranschaulicht ist, kann die Gate-Elektrode 94 beispielsweise auch jede beliebige Anzahl von Auskleidungsschichten 94A, jede beliebige Anzahl von Austrittsarbeitsabstimmschichten 94B und ein Füllmaterial 94C umfassen, wie in 14C veranschaulicht. Nach dem Füllen der Aussparungen 90 kann ein Planarisierungsprozess, wie zum Beispiel ein CMP, ausgeführt werden, um die überschüssigen Abschnitte der Gate-Dielektrikumschichten 92 und des Materials der Gate-Elektroden 94, wobei diese überschüssigen Abschnitte über der Oberseite der ILD 88 liegen, zu entfernen. Die restlichen Materialabschnitte der Gate-Elektroden 94 und der Gate-Dielektrikumschichten 92 bilden somit Ersatz-Gates der resultierenden FinFETs. Die Gate-Elektroden 94 und die Gate-Dielektrikumschichten 92 können zusammen als „Gatestapel“ bezeichnet werden. Das Gate und die Gatestapel können sich entlang der Seitenwände einer Kanalregion 58 der Finnen 52 erstrecken.
  • Die Bildung der Gate-Dielektrikumschichten 92 in der Region 50N und in der Region 50P kann gleichzeitig erfolgen, so dass die Gate-Dielektrikumschichten 92 in jeder Region aus den gleichen Materialien gebildet werden, und die Bildung der Gate-Elektroden 94 kann gleichzeitig erfolgen, so dass die Gate-Elektroden 94 in jeder Region aus den gleichen Materialien gebildet werden. In einigen Ausführungsformen können die Gate-Dielektrikumschichten 92 in jeder Region durch eigenständige Prozesse gebildet werden, so dass die Gate-Dielektrikumschichten 92 unterschiedliche Materialien sein können, und/oder die Gate-Elektroden 94 in jeder Region können durch eigenständige Prozesse gebildet werden, so dass die Gate-Elektroden 94 unterschiedliche Materialien sein können. Verschiedene Maskierungsschritte können verwendet werden, um zweckmäßige Regionen zu maskieren und freizulegen, wenn eigenständige Prozesse verwendet werden.
  • In 15A und 15B wird gemäß einigen Ausführungsformen ein zweites ILD 108 über dem ersten ILD 88 abgeschieden. In einigen Ausführungsformen ist das zweite ILD 108 ein fließfähiger Film, der durch ein fließfähiges CVD-Verfahren gebildet wird. In einigen Ausführungsformen wird das zweite ILD 108 aus einem dielektrischen Material wie zum Beispiel PSG, BSG, BPSG, USG, Siliziumoxid oder dergleichen gebildet und kann durch jedes geeignete Verfahren, wie zum Beispiel CVD, PECVD oder dergleichen, abgeschieden werden. Ein Planarisierungsprozess, wie zum Beispiel ein CMP, kann durchgeführt werden, um eine Oberfläche des zweiten ILD 108 zu planarisieren. In einigen Ausführungsformen kann das zweite ILD 108 mit einer Dicke zwischen etwa 10 nm und etwa 30 nm, wie zum Beispiel etwa 15 nm, gebildet werden. Durch Steuern der Dicke und der Breite des zweiten ILD 108 kann auch die Größe der ausgedehnten Regionen 130 gesteuert werden, die die Luftspalte 120 verschließen, was unten in Bezug auf 26 beschrieben wird.
  • Gemäß einigen Ausführungsformen wird vor dem Abscheiden des zweiten ILD 108 eine Hartmaske 96 über der Struktur abgeschieden. Die Hartmaske 96 kann eine oder mehrere Schichten dielektrischen Materials wie zum Beispiel Siliziumnitrid, Siliziumoxynitrid oder dergleichen umfassen und kann eine andere Ätzrate aufweisen als das Material des darüberliegenden zweiten ILD 108. In einigen Ausführungsformen kann die Hartmaske 96 mit einer Dicke zwischen etwa 2 nm und etwa 4 nm, wie zum Beispiel etwa 3 nm, gebildet werden. In einigen Ausführungen wird die Hartmaske 96 aus dem gleichen Material wie die CESL 87 gebildet, oder wird mit etwa der gleichen Dicke wie die CESL 87 gebildet. Die anschließend gebildeten Source/Drain-Kontakte 118 (siehe 26) durchdringen die Hartmaske 96 und die CESL 87, um eine Oberseite der epitaxialen Source/Drain-Regionen 82 zu kontaktieren, und die Gate-Kontakte 132 (siehe 31A und 31B) durchdringen die Hartmaske 96, um eine Oberseite der Gate-Elektrode 94 zu kontaktieren.
  • 16 bis 26 veranschaulichen Zwischenschritte bei der Bildung von Source/Drain-Kontakten 118 mit Luftspalten 120 (siehe 26) gemäß einigen Ausführungsformen. Die Source/Drain-Kontakte 118 kontaktieren physisch und elektrisch die epitaxialen Source/Drain-Regionen 82. Die Source/Drain-Kontakte 118 können auch als „Kontakte 118“ oder „Kontaktstopfen 118“ bezeichnet werden. Zur Verdeutlichung sind 16 bis 24 als eine Detailansicht der Region 111 von 15B gezeigt. 16 veranschaulicht die Region 111 derselben in 15B gezeigten Struktur.
  • In 17 werden gemäß einigen Ausführungsformen in der ersten ILD 88 und der zweiten ILD 108 Öffnungen 110 gebildet, um die epitaxialen Source/Drain-Regionen 82 freizulegen. Die Öffnungen 110 können mittels geeigneter Photolithografie- und Ätztechniken gebildet werden. Zum Beispiel kann über dem zweiten ILD 108 ein Photoresist (zum Beispiel eine Einzelschicht- oder Mehrschicht-Photoresiststruktur) gebildet werden. Der Photoresist kann dann strukturiert werden, um das zweite ILD 108 in Regionen freizulegen, die den Öffnungen 110 entsprechen. Anschließend können ein oder mehrere geeignete Ätzprozesse durchgeführt werden, um die Öffnungen 110 zu ätzen, wobei der strukturierte Photoresist als eine Ätzmaske verwendet wird. Der eine oder die mehreren Ätzprozesse können Nassätz- und/oder Trockenätzprozesse umfassen. In einigen Ausführungsformen können die CESL 87 und/oder die Hartmaske 96 als eine Ätzstoppschicht bei der Bildung der Öffnungen 110 verwendet werden. Wie in 17 gezeigt, können auch Abschnitte der CESL 87, die sich über die epitaxialen Source/Drain-Regionen 82 erstrecken, entfernt werden. In einigen Ausführungen können sich die Öffnungen 110 unter eine Oberseite der epitaxialen Source/Drain-Regionen 82 und in die epitaxialen Source/Drain-Regionen 82 hinein erstrecken. In einigen Ausführungen können der eine oder die mehreren Ätzprozesse das Material des ersten ILD 88 entfernen, um die CESL 87 freizulegen. Die Öffnungen 110 können konisch zulaufende Seitenwände haben, wie in 17 gezeigt, oder können Seitenwände mit einem anderen Profil (zum Beispiel vertikale Seitenwände) haben. In einigen Ausführungen können die Öffnungen 110 eine Breite W1 haben, die zwischen etwa 10 nm und etwa 30 nm beträgt. Die Breite W1 kann über die Oberseite der Öffnungen 110 hinweg, über die Unterseite der Öffnungen 110 hinweg oder über die Öffnungen 110 an jeder anderen Stelle hinweg gemessen werden. In einigen Fällen kann durch Steuern der Breite W1 die Größe der Source/Drain-Kontakte 118 und/oder die Größe der anschließend gebildeten Luftspalte 120 (siehe 26) gesteuert werden.
  • In 18 wird gemäß einigen Ausführungsformen über den Öffnungen 110 eine Dummy-Abstandhalterschicht 112 gebildet. Die Dummy-Abstandhalterschicht 112 kann in einigen Ausführungsformen als eine Deckschicht ausgebildet werden, die sich über das zweite ILD 108, die CESL 87 und die epitaxialen Source/Drain-Regionen 82 erstreckt. Die Dummy-Abstandhalterschicht 112 kann ein Material wie zum Beispiel Silizium, Polysilizium, amorphes Silizium, dergleichen, oder eine Kombination davon umfassen. In einigen Ausführungsformen ist die Dummy-Abstandhalterschicht 112 ein Material, das mit einer hohen Selektivität im Vergleich zu anderen Schichten geätzt werden kann, wie zum Beispiel das zweite ILD 108, die CESL 87 oder die Kontakt-Abstandhalterschicht 114 (unten beschrieben). Die Dummy-Abstandhalterschicht 112 kann durch PVD, CVD, ALD oder dergleichen abgeschieden werden. In einigen Ausführungsformen kann die Dummy-Abstandhalterschicht 112 mit einer Dicke zwischen etwa 3 nm und etwa 9 nm, wie zum Beispiel etwa 6 nm, gebildet werden. Bei einigen Ausführungsformen entspricht die Dicke der Dummy-Abstandhalterschicht 112 etwa der Breite W2 der anschließend gebildeten Luftspalte 120 (siehe 21).
  • In 19 wird gemäß einigen Ausführungsformen auf der Dummy-Abstandhalterschicht 112 eine Kontakt-Abstandhalterschicht 114 gebildet. Vor der Bildung der Kontakt-Abstandhalterschicht 114 kann ein geeigneter anisotroper Trockenätzprozess durchgeführt werden, um Regionen der Dummy-Abstandhalterschicht 112 zu entfernen, die sich seitlich über das zweite ILD 108 und die epitaxialen Source/Drain-Regionen 82 erstrecken. Aufgrund der Anisotropie des Trockenätzprozesses bleiben Regionen der Dummy-Abstandhalterschicht 112, die sich entlang Seitenwänden der Öffnungen 110 erstrecken, zurück. In einigen Ausführungsformen kann der anisotrope Trockenätzprozess auch das Material der epitaxialen Source/Drain-Regionen 82 ätzen und so die Öffnungen 110 weiter in die epitaxialen Source/Drain-Regionen 82 hinein ausdehnen.
  • Die Kontakt-Abstandhalterschicht 114 kann in einigen Ausführungsformen als eine Deckschicht ausgebildet werden, die sich über das zweite ILD 108, die Dummy-Abstandhalterschicht 112 und die epitaxialen Source/Drain-Regionen 82 erstreckt. Die Kontakt-Abstandhalterschicht 114 kann eine oder mehrere Schichten aus Materialien wie zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbonitrid oder eine Kombination davon umfassen. Die Kontakt-Abstandhalterschicht 114 kann durch PVD, CVD, ALD oder dergleichen abgeschieden werden. In einigen Ausführungsformen kann die Kontakt-Abstandhalterschicht 114 mit einer Dicke zwischen etwa 2 nm und etwa 5 nm, wie zum Beispiel etwa 3 nm, gebildet werden. Nach der Bildung der Kontakt-Abstandhalterschicht 114 kann ein geeigneter anisotroper Trockenätzprozess durchgeführt werden, um Regionen der Kontakt-Abstandhalterschicht 114 zu entfernen, die sich seitlich über das zweite ILD 108, die Dummy-Abstandhalterschicht 112 und die epitaxialen Source/Drain-Regionen 82 erstrecken. Aufgrund der Anisotropie des Trockenätzprozesses bleiben Regionen der Kontakt-Abstandhalterschicht 114, die sich entlang Seitenwänden der Öffnungen 110 erstrecken (zum Beispiel entlang der Dummy-Abstandhalterschicht 112), zurück. In einigen Fällen kann durch Steuern der Dicke der Kontakt-Abstandhalterschicht 114 die Größe der Source/Drain-Kontakte 118 und/oder die Größe der anschließend gebildeten Luftspalte 120 (siehe 26) gesteuert werden.
  • Wie nun in 20 zu sehen, werden gemäß einigen Ausführungsformen in den Öffnungen 110 ein oder mehrere leitende Materialien abgeschieden, die Source/Drain-Kontakte 118 bilden. In einigen Ausführungsformen umfassen die leitfähigen Materialien der Source/Drain-Kontakte 118 eine Auskleidung (nicht separat gezeigt), die konform auf Oberflächen der Öffnungen 110 (zum Beispiel auf der Kontakt-Abstandhalterschicht 114) abgeschieden wird, und ein leitfähiges Füllmaterial, das auf der Auskleidung abgeschieden wird, um die Öffnungen 110 zu füllen. In einigen Ausführungsformen umfasst die Auskleidung Titan, Kobalt, Nickel, Titannitrid, Titanoxid, Tantalnitrid, Tantaloxid und dergleichen oder eine Kombination davon. In einigen Ausführungsformen umfasst das leitende Füllmaterial Kobalt, Wolfram, Kupfer, Aluminium, Gold, Silber, Legierungen davon, dergleichen oder Kombinationen davon. Die Auskleidung oder das leitende Füllmaterial kann unter Verwendung eines oder mehrerer geeigneter Prozesse, wie zum Beispiel CVD, PVD, ALD, Sputtern, Plattieren oder dergleichen, abgeschieden werden.
  • In einigen Ausführungsformen können Silicidregionen 116 auch auf oberen Abschnitten der epitaxialen Source/Drain-Regionen 82 gebildet werden, um die elektrische Verbindung zwischen den epitaxialen Source/Drain-Regionen 82 und den Source/Drain-Kontakten 118 zu verbessern. In einigen Ausführungsformen können Silicidregionen 116 durch Reagieren der oberen Abschnitte der epitaxialen Source/Drain-Regionen 82 mit der Auskleidung gebildet werden. In einigen Ausführungsformen kann ein separates Material auf den epitaxialen Source/Drain-Regionen 82 abgeschieden werden, das mit den epitaxialen Source/Drain-Regionen 82 zur Reaktion gebracht wird, um die Silicidregionen 116 zu bilden. Die Silicidregionen 116 können ein Titansilicid, ein Nickelsilicid, dergleichen oder eine Kombination davon umfassen. In einigen Ausführungsformen werden ein oder mehrere Temperungsprozesse durchgeführt, um die Silicidbildungsreaktion zu erleichtern. Nach dem Abscheiden des leitfähigen Füllmaterials für die Source/Drain-Kontakte 118 kann überschüssiges Material durch ein Planarisierungsverfahren, wie zum Beispiel ein CMP, entfernt werden, um die Oberseiten der Source/Drain-Kontakte 118 koplanar mit der Oberseite des zweiten ILD 108 auszubilden.
  • Wie nun in 21 zu sehen, wird gemäß einigen Ausführungsformen das Material der Dummy-Abstandhalterschicht 112 entfernt, um Luftspalte 120 zu bilden. Das Material der Dummy-Abstandhalterschicht 112 kann mittels eines geeigneten Ätzprozesses, wie zum Beispiel eines Trockenätzprozesses, entfernt werden. Der Ätzprozess kann für das Material der Dummy-Abstandhalterschicht 112 relativ zu dem Material des zweiten ILD 108, der CESL 87 oder der Kontakt-Abstandhalterschicht 114 selektiv sein. Zum Beispiel kann in einer Ausführungsform, in der die Dummy-Abstandhalterschicht 112 Silizium umfasst und die Kontakt-Abstandhalterschicht 114 Siliziumnitrid umfasst, der Ätzprozess die Verwendung von HBr, O2, He, CH3F, H2 oder Kombinationen davon als Prozessgase in einem Plasmaätzprozess umfassen, der selektiv das Silizium der Dummy-Abstandhalterschicht 112 ätzt. Es sind auch andere Materialien oder Ätzprozesse möglich.
  • In einigen Ausführungsformen können die Luftspalte 120 mit einer Breite W2 zwischen etwa 0,5 nm und etwa 4 nm, wie zum Beispiel etwa 2,5 nm, gebildet werden. In einigen Fällen kann die Bildung der Luftspalte 120 mit einer größeren Breite W2 zu einer Verringerung der Kapazität und einer verbesserten Leistung des Vorrichtung führen, was unten noch ausführlicher beschrieben wird. Die Luftspalte 120 können eine im Wesentlichen gleichmäßige Breite haben, oder die Breite kann entlang ihrer vertikalen Länge variieren (zum Beispiel die Länge, die sich von dem Substrat 50 weg erstreckt). Zum Beispiel kann die Breite der Luftspalte 120 in der Nähe der Unterseite (zum Beispiel in der Nähe der epitaxialen Source/Drain-Regionen 82) kleiner sein als in der Nähe der Oberseite (zum Beispiel in der Nähe des zweiten ILD 108). In einigen Ausführungsformen kann sich die Unterseite der Luftspalte 120 in die epitaxialen Source/Drain-Regionen 82 hinein erstrecken (wie in 21 gezeigt), oder die Luftspalte 120 können eine Unterseite an oder oberhalb einer Oberseite der epitaxialen Source/Drain-Regionen 82 aufweisen. Die Luftspalte 120 können sich in einem Winkel relativ zu einer vertikalen Achse erstrecken, wie in 21 gezeigt, oder können sich im Wesentlichen entlang einer vertikalen Achse erstrecken.
  • In einigen Fällen kann durch die Bildung der Luftspalte 120 zwischen dem Source/Drain-Kontakt 118 und dem Gatestapel 92/94 die Kapazität zwischen dem Source/Drain-Kontakt 118 und dem Gatestapel 92/94 verringert werden. Die Kapazität kann auf diese Weise aufgrund der niedrigeren Dielektrizitätskonstante (k-Wert) von Luft, etwa k=1, im Vergleich zu anderen Abstandhaltermaterialien wie zum Beispiel Siliziumoxide, Siliziumnitride oder dergleichen verringert werden. Durch Verringern der Kapazität unter Verwendung der Luftspalte 120 kann die FinFET-Vorrichtung eine schnellere Ansprechgeschwindigkeit und eine verbesserte Leistung beim Betrieb mit höheren Frequenzen aufweisen.
  • In 22 wird nun eine Deckschicht 122 über dem zweiten ILD 108, den Source/Drain-Kontakten 118 und über den Luftspalten 120 gebildet. Die Deckschicht 122 kann als eine sich über die Luftspalte 120 hinweg erstreckende Deckschicht ausgebildet werden, dergestalt, dass die Luftspalte 120 umschlossen sind. In einigen Ausführungsformen kann sich ein Teil des Materials der Deckschicht 122 teilweise in die Luftspalte 120 hinein erstrecken (wie in 22 gezeigt), aber in anderen Ausführungsformen bleiben die Luftspalte 120 frei von dem Material der Deckschicht 122. Auf diese Weise werden die Luftspalte 120 nicht durch die Deckschicht 122 ausgefüllt, sondern sind umschlossene Luftregionen neben den Source/Drain-Kontakten 118. In einigen Ausführungsformen wird die Deckschicht 122 anschließend als eine Ätzstoppschicht zum Bilden leitfähiger Merkmale 136 auf den Source/Drain-Kontakten 118 verwendet, was unten in 32A und 32B beschrieben sind.
  • Die Deckschicht 122 kann eine oder mehrere Schichten aus Materialien wie zum Beispiel Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbonitrid, Siliziumoxycarbonitrid oder eine Kombination davon umfassen Die Deckschicht 122 kann durch PVD, CVD, ALD oder dergleichen abgeschieden werden. In einigen Ausführungsformen kann die Deckschicht 122 mit einer Dicke zwischen etwa 6 nm und etwa 16 nm, wie zum Beispiel etwa 11 nm, gebildet werden. In einigen Fällen kann eine dickere Deckschicht 122 den Betrag der vertikalen Ausdehnung des zweiten ILD 108 aus dem Implantierungsprozess 124 verringern, wie unten in 23 beschrieben wird. In einigen Fällen kann die Implantierungstiefe der implantierten Dotanden durch Steuern der Dicke der Deckschicht gesteuert werden, wie ebenfalls unten in 23 beschrieben wird.
  • In 23 wird gemäß einigen Ausführungsformen ein Implantierungsprozess 124 durch die Deckschicht 122 hindurch ausgeführt, um das zweite ILD 108 mit Dotanden zu implantieren, um die Luftspalte 120 zu verschließen. Bei einigen Ausführungsformen bewirken die implantierten Dotanden eine Volumenvergrößerung der implantierten Regionen 126 des zweiten ILD 108 im Vergleich zu den nicht-implantierten Regionen des zweiten ILD 108. Die Luftspalte 120 bilden ein Volumen, in das hinein sich benachbarte implantierte Regionen 126 ausdehnen können. Abschnitte der implantierten Regionen 126, die sich in die Luftspalte 120 hinein ausgedehnt haben, werden hier als ausgedehnte Regionen 130 bezeichnet. In einigen Ausführungsformen kann der Implantierungsprozess 124 so gesteuert werden, dass sich die ausgedehnten Regionen 130 vollständig über die Breite der Luftspalte 120 hinweg erstrecken und die Luftspalte 120 verschließen. Beispielsweise können die Dosis, die Implantierungstiefe, die Dotandenspezies, der Winkel, die Implantierungsenergie oder andere Merkmale des Implantierungsprozesses 124 gesteuert werden, um die Ausdehnung der implantierten Regionen 126 zu steuern, was im Folgenden noch ausführlicher beschrieben wird. Obere Regionen der Luftspalte 120 können durch die ausgedehnten Regionen 130 begrenzt werden, und/oder untere Regionen der Luftspalte 120 können durch die Source/Drain-Regionen 82 begrenzt werden.
  • Durch die Bildung ausgedehnter Regionen 130 zum Verschließen der Luftspalte 120 auf diese Weise kann verhindert werden, dass anschließend abgeschiedenes Material in die Luftspalte 120 eindringt. In einigen Fällen kann anschließend abgeschiedenes Material, das in einen Luftspalt 120 eindringt, Prozessdefekte oder Vorrichtungsausfälle verursachen. Beispielsweise kann die Deckschicht 122 anschließend geätzt und leitfähiges Material abgeschieden werden, um leitfähige Merkmale 136 (siehe 32B) zu bilden, und die ausgedehnten Regionen 130 verhindern, dass das leitfähige Material innerhalb eines Luftspalts 120 abgeschieden wird und möglicherweise einen elektrischen Kurzschluss verursacht. Zusätzlich kann in einigen Fällen das Material des zweiten ILD 108 ein Siliziumoxid mit einem niedrigeren k-Wert als andere Materialien sein, wie zum Beispiel ein Siliziumnitrid, das zum Verschließen der Luftspalte 120 verwendet werden kann. Auf diese Weise kann die Verwendung des Materials des zweiten ILD 108 zum Verschließen der Luftspalte 120 die Kapazität im Vergleich zum Verschließen der Luftspalte 120 mittels eines anderen Materials verringern.
  • In einigen Ausführungsformen enthält die durch das Implantierungsverfahren 124 implantierte Dotandenspezies Ge, Ar, Xe, Si, dergleichen oder eine Kombination davon. In einigen Ausführungsformen sind die implantierten Dotanden eine atomische Spezies mit einem größeren Atomradius als Silizium. Zum Beispiel kann im Fall des Implantierens in eine zweite ILD 108, die Silizium umfasst, die größere Größe der implantierten Dotanden dazu führen, dass die implantierten Regionen 126 des zweiten ILD 108 an Volumen zunehmen und ausgedehnte Regionen 130 bilden. In einigen Ausführungsformen umfasst der Implantierungsprozess 124 das Implantieren der Dotanden in einem Winkel von einer vertikalen Achse, der zwischen etwa 0 Grad und etwa 60 Grad beträgt. In einigen Fällen kann das Steuern des Implantierungswinkels je nach der Anwendung oder der Vorrichtungsgeometrie von Vorteil sein. Zum Beispiel kann der Implantierungsprozess 124 in einem Winkel ausgeführt werden, um Straggle-Effekte zu reduzieren. In einigen Ausführungsformen kann die implantierte Dosis von Dotanden zwischen etwa 1014 Atomen/cm2 und etwa 1016 Atomen/cm2 liegen. In einigen Ausführungsformen können die Dotanden auf eine Konzentration von maximal etwa 1022 cm-3 implantiert werden, wie zum Beispiel zwischen etwa 1019 cm-3 und etwa 1022 cm-3. Eine Erhöhung der implantierten Dosis oder eine Erhöhung der Konzentration von Dotanden innerhalb der implantierten Regionen 126 kann die Ausdehnung der implantierten Regionen 126 erhöhen. In einigen Ausführungsformen liegt die Implantierungstemperatur in einem Bereich von etwa -100°C bis etwa 450°C.
  • Wir bleiben bei 23, wo die Implantierungstiefe D1 die Tiefe der größten Konzentration von Dotanden innerhalb des zweiten ILD 108 angibt, von der Oberseite des zweiten ILD 108 aus gemessen. In einigen Ausführungsformen kann die Implantierungstiefe D1 zwischen etwa 0 nm und etwa 20 nm betragen, zum Beispiel etwa 5 nm. In einigen Fällen entspricht die Implantierungstiefe D1 ungefähr der Lage der implantierten Regionen 126 mit der größten Ausdehnung. Dementsprechend kann durch Steuern der Implantierungstiefe D1 die Lage der ausgedehnten Regionen 130 gesteuert werden. Es wurde beobachtet, dass eine Implantierungstiefe D1 oberhalb des zweiten ILD 108 zu einem unvollständigen Verschluss der Luftspalte 120 führen kann. Es wurde beobachtet, dass eine Implantierungstiefe D1 von mehr als etwa 10 nm zu größeren Dotierungsregionen 128 führen kann, was im Folgenden noch näher beschrieben wird. In einigen Ausführungsformen kann die Implantierungstiefe D1 durch die Parameter des Implantierungsprozesses 124 und durch die Dicke der Deckschicht 122 bestimmt werden. Zum Beispiel kann durch Erhöhung der Implantierungsenergie die Implantierungstiefe D1 erhöht werden. In einigen Ausführungsformen werden die Dotanden mit einer Implantierungsenergie zwischen etwa 2 keV und etwa 30 keV, zum Beispiel etwa 20 keV, implantiert. Als ein weiteres Beispiel müssen durch die Erhöhung der Dicke der Deckschicht 122 die Dotanden einen längeren Weg durch die Deckschicht 122 zurücklegen, bevor sie das zweite ILD 108 erreichen, wodurch die Implantierungstiefe D1 verringert wird. Auf diese Weise kann die Implantierungstiefe D1 durch Steuern der Implantierungsenergie und/oder der Dicke der Deckschicht 122 gesteuert werden.
  • In 24 ist ein Beispiel für das Dotandenkonzentrationsprofil 200 gemäß einigen Ausführungsformen gezeigt. Das Profil 200 zeigt experimentelle Daten der Konzentration implantierter Dotanden, von der Oberfläche der Deckschicht 122 aus gemessen. Das Diagramm 202A zeigt ein erstes Konzentrationsprofil, das sich aus einer ersten implantierten Dosis ergibt, und das Diagramm 202B zeigt ein zweites Konzentrationsprofil, das sich aus einer zweiten implantierten Dosis ergibt, die höher als die erste implantierte Dosis ist. Sowohl das Diagramm 202A als auch das Diagramm 202B entsprechen einer Implantierung mit etwa der gleichen Implantierungsenergie. Das Diagramm 202B, das der zweiten implantierten Dosis entspricht, zeigt eine höhere Dotandenkonzentration innerhalb des zweiten ILD 108 als das Diagramm 202A, das der ersten implantierten Dosis entspricht. Wie zum Beispiel aus dem Profil 200 hervorgeht, liegt die größte Konzentration an implantierten Dotanden innerhalb des zweiten ILD 108 auf einer Implantierungstiefe D1. Für das Profil 200 beträgt die Implantierungstiefe D1 etwa 5 nm, obgleich die Implantierungstiefe D1 in anderen Fällen eine andere sein kann. Weil das Diagramm 202A und das Diagramm 202B Implantierungen mit ungefähr der gleichen Implantierungsenergie entsprechen, ist die Implantierungstiefe D1 für die beiden Diagramme 202A und 202B ungefähr gleich.
  • In einigen Ausführungsformen wird die Deckschicht 122 über dem zweiten ILD 108 vor der Durchführung des Implantierungsprozesses 124 gebildet, um die seitliche Ausdehnung der implantierten Regionen 126 zu verstärken und die vertikale Ausdehnung der implantierten Regionen 126 zu unterdrücken. Zum Beispiel kann das Vorhandensein einer Deckschicht 122 über dem zweiten ILD 108 verhindern, dass sich das zweite ILD 108 in einer vertikalen Richtung ausdehnt. Auf diese Weise kann die Ausdehnung des zweiten ILD 108 auf eine seitliche Ausdehnung in die Luftspalte 120 hinein beschränkt werden. Durch Verstärken der seitlichen Ausdehnung der ILD 108 durch Bilden der Deckschicht 122 vor dem Implantierungsprozess 124 können die ausgedehnten Regionen 130 gleichmäßiger ausgebildet werden und die Luftspalte 120 vollständiger verschließen. Zusätzlich können die Luftspalte 120 durch die ausgedehnten Regionen 130 mittels eines Implantierungsprozesses 124 mit einer geringeren Implantierungsdosis verschlossen werden, wodurch Implantierungsschäden verringert werden können.
  • In einigen Fällen kann eine darüberliegende Deckschicht 122 die vertikale Ausdehnung des zweiten ILD 108 verringern. 25 zeigt zum Beispiel experimentelle Daten 300 von gemessenen Dicken des zweiten ILD 108 mit einer darüberliegenden Deckschicht 122. Die Daten 300 zeigen die Dicke des zweiten ILD vor dem Implantierungsprozess 124 und nach der Durchführung des Implantierungsprozesses 124. Der bei „Ref“ angegebene Punkt zeigt die Dicke eines zweiten ILD 108 vor dem Implantierungsprozess 124, und die übrigen Punkte zeigen die Dicke eines zweiten ILD 108 nach dem Implantierungsprozess 124. Wie in 25 gezeigt, kann eine darüberliegende Deckschicht 122 eine allenfalls geringe vertikale Ausdehnung des zweiten ILD 108 bewirken, und die Dicke des zweiten ILD 108 kann im Wesentlichen gleich bleiben. Auf diese Weise kann die Verwendung einer Deckschicht 122 nach Durchführung des Implantierungsprozesses 124 ebenere Oberseiten des zweiten ILD 108 und/oder der Deckschicht 122 ermöglichen. Eine ebenere zweite ILD 108 oder Deckschicht 122 kann die Gleichmäßigkeit und Ausrichtung anschließender Verarbeitungsschritte verbessern. In einigen Ausführungsformen kann eine dickere Deckschicht 122 einen größeren Betrag an vertikaler Ausdehnung unterdrücken als eine dünnere Deckschicht 122. In einigen Ausführungsformen kann eine dünnere Deckschicht 122 (zum Beispiel dünner als etwa 6 nm) die vertikale Ausdehnung teilweise so unterdrücken, dass die vertikale Ausdehnung geringer ist, als wenn keine Deckschicht 122 vorhanden wäre. Eine Ausführungsform, in der keine Deckschicht 122 vorhanden ist, wird unten in Bezug auf 28 und 29 beschrieben.
  • In einigen Ausführungsformen kann der Implantierungsprozess 124 gesteuert werden, um die Größe der implantierten Regionen 126 und damit die Größe der ausgedehnten Regionen 130 zu steuern. 26 zeigt zum Beispiel eine Ausführungsform, in der sich die ausgedehnten Regionen 130 entlang der Luftspalte 120 über eine Länge L1 erstrecken, die etwa gleich der Dicke des zweiten ILD 108 ist. In einigen Ausführungsformen werden Dotanden über die gesamte Dicke des zweiten ILD 108 implantiert, und das gesamte zweite ILD 108, das zu den Luftspalten 120 freigelegt (freiliegend) ist, dehnt sich in die Luftspalten 120 als ausgedehnte Regionen 130 hinein aus. Auf diese Weise kann die Dicke des zweiten ILD 108 die Länge L1 der ausgedehnten Regionen 130 bestimmen. In einigen Ausführungsformen kann die Länge L1 der ausgedehnten Regionen 130 zwischen etwa 10% und etwa 105% der Dicke des zweiten ILD 108 betragen, was von der Dicke der ILD 108 und dem Implantierungszustand abhängt. In einigen Ausführungsformen kann die Implantierungstiefe D1 die Länge L1 der ausgedehnten Regionen 130 bestimmen, da eine größere Implantierungstiefe D1 ausgedehnte Regionen 130 mit einer größeren L1 bilden kann. Durch Steuern der Größe der ausgedehnten Regionen 130 kann auch die vertikale Länge (zum Beispiel die Distanz zwischen der Ober- und der Unterseite) der Luftspalte 120 gesteuert werden. In einigen Ausführungsformen kann die vertikale Länge der Luftspalte 120 zwischen etwa 12 nm und etwa 25 nm betragen, zum Beispiel etwa 16 nm.
  • 27A und 27B zeigen eine Ausführungsform, in der ein zweistufiger Implantierungsprozess 124A-B zum Bilden der ausgedehnten Regionen 130 verwendet wird. Unter Bezug auf die oben erwähnten 23 und 26 migrieren in einigen Fällen einige der während des Implantierungsprozesses 124 implantierten Dotanden durch die Luftspalte 120 und in die Dotandenregionen 128 nahe dem Boden der Luftspalte 120 hinein. In einigen Fällen können sich die Dotandenregionen 128 in der Nähe der Kanalregionen 58 der Finnen 52 befinden. In einigen Fällen können die Dotanden innerhalb der Dotandenregionen 128 zu einer Erhöhung des Kanalwiderstands führen oder können andere unerwünschte Effekte wie zum Beispiel Implantierungsschäden verursachen. Der zweistufige Implantierungsprozess 124A-B, der in 27A und 27B gezeigt ist, kann die Menge der Dotanden, die in die Luftspalte 120 migrieren, reduzieren und kann somit die Größe oder die Dotandenkonzentration der Dotandenregionen 128 verringern. In einigen Fällen kann das zweistufige Implantierungsverfahren 124A-B die Dotanden daran hindern, die Dotandenregionen 128 zu bilden. In einigen Ausführungsformen umfasst der zweistufige Implantierungsprozess 124A-B einen ersten Implantierungsprozess 124A, der eine relativ kleine Implantierungsenergie oder -dosis umfasst, gefolgt von einem zweiten Implantierungsprozess 124B, der eine relativ große Implantierungsenergie oder -dosis umfasst. In einigen Ausführungsformen können sich der Implantierungswinkel, die Dotandenspezies, die Temperatur oder andere Parameter des ersten Implantierungsprozesses 124A von denen des zweiten Implantierungsprozesses 124B unterscheiden.
  • Wir wenden uns 27A zu, wo ein erster Implantierungsprozess 124A ausgeführt wird, nachdem die Deckschicht 122 gebildet wurde, ähnlich 23. In einigen Ausführungsformen verwendet der erste Implantierungsprozess 124A eine relativ geringe erste Implantierungsenergie, so dass die erste Implantierungstiefe D2 relativ gering ist. Zum Beispiel kann die erste Implantierungstiefe D2 zwischen etwa 0 nm (zum Beispiel an oder nahe der Oberseite des zweiten ILD 108) und etwa 5 nm, zum Beispiel etwa 1 nm, betragen. Wie in 27A gezeigt, bildet der erste Implantierungsprozess 124A erste ausgedehnte Regionen 130A, die die Luftspalte 120 verschließen. Die ersten ausgedehnten Regionen 130A verhindern, dass die durch den zweiten Implantierungsprozess 124B implantierten Dotanden in die Luftspalte 120 migrieren. Aufgrund der relativ geringen ersten Implantierungsenergie gelangen nur wenige Dotanden in die Luftspalte 120, so dass die Dotandenregionen 128 klein sind und/oder eine geringe Dotandenkonzentration aufweisen. Zum Beispiel können die Dotandenregionen 128, die durch den ersten Implantierungsprozess 124A gebildet werden, kleiner sein als die Dotandenregionen 128, die durch den oben in 23 oder 26 beschriebenen Implantierungsprozess 124 gebildet werden.
  • Wir wenden uns 27B zu, wo nach dem ersten Implantierungsprozess 124A ein zweiter Implantierungsprozess 124B ausgeführt wird. In einigen Ausführungsformen verwendet der zweite Implantierungsprozess 124B eine relativ große zweite Implantierungsenergie, und daher ist die zweite Implantierungstiefe D3 größer als die erste Implantierungstiefe D2. Zum Beispiel kann die zweite Implantierungstiefe D3 zwischen etwa 1 nm und etwa 10 nm betragen, wie zum Beispiel etwa 5 nm. Wie in 27B gezeigt, vergrößert der zweite Implantierungsprozess 124B die Größe der ersten ausgedehnten Regionen 130A, um zweite ausgedehnte Regionen 130B zu bilden, die die Luftspalte 120 zusätzlich verschließen. Die zweiten ausgedehnten Regionen 130B sind größer als die ersten ausgedehnten Regionen 130A und verschließen die Luftspalte 120 vollständiger als die ersten ausgedehnten Regionen 130A. Aufgrund des Vorhandenseins der ersten ausgedehnten Regionen 130A werden die durch den zweiten Implantierungsprozess 124B implantierten Dotanden daran gehindert, in die Luftspalte 120 zu migrieren. Dadurch wird verhindert, dass die Dotanden aus dem zweiten Implantierungsprozess 124B die Dotandenregionen 128 erreichen. In einigen Fällen können die durch den gesamten zweistufigen Implantierungsprozess 124A-B gebildeten Dotandenregionen 128 kleiner sein als die Dotandenregionen 128, die durch den oben in 23 oder 26 beschriebenen Implantierungsprozess 124 gebildet werden. Auf diese Weise können unerwünschte Effekte aufgrund der Dotandenregionen 128 durch die Verwendung eines zweistufigen Implantierungsprozesses 124A-B, wie im vorliegenden Text beschrieben, reduziert oder beseitigt werden.
  • Wir wenden uns 28 und 29 zu, wo eine Ausführungsform gezeigt ist, in der der Implantierungsprozess 124 vor dem Bilden der Deckschicht 122 ausgeführt wird. Wie in 28 gezeigt, bewirkt der Implantierungsprozess 124 ohne die Einschränkung der vertikalen Ausdehnung durch eine Deckschicht 122, dass sich das zweite ILD 108 sowohl seitlich als auch vertikal ausdehnt. Zusätzlich zu der seitlichen Ausdehnung, die die ausgedehnten Regionen 130 bildet, bewirkt die vertikale Ausdehnung, dass die Dicke des zweiten ILD 108 zunimmt. In einigen Ausführungsformen kann die Dicke des zweiten ILD 108 um eine Distanz D4 zunehmen, die zwischen etwa 0,5 nm und etwa 3 nm beträgt. 29 zeigt zum Beispiel experimentelle Daten 400 von gemessenen Dicken des zweiten ILD 108 ohne eine darüberliegende Deckschicht 122. Die Daten 400 zeigen die Dicke des zweiten ILD vor dem Implantierungsprozess 124 und nach der Durchführung des Implantierungsprozesses 124. Der bei „Ref“ angegebene Punkt zeigt die Dicke eines zweiten ILD 108 vor dem Implantierungsprozess 124, und die übrigen Punkte zeigen die Dicke eines zweiten ILD 108 nach dem Implantierungsprozess 124 bei Verwendung zweier verschiedener Implantierungsdosen. Wie in 29 gezeigt, nimmt die Dicke des zweiten ILD 108 ohne darüberliegende Deckschicht 122 aufgrund der vertikalen Ausdehnung zu. Die Daten 400 zeigen auch, dass eine höhere Implantierungsdosis eine größere vertikale Ausdehnung des zweiten ILD 108 bewirken kann.
  • 30A bis 32B sind Querschnittsansichten zusätzlicher Stufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen. 30A bis 32B zeigen die gleichen Querschnittsansichten der in 14A und 14B gezeigten Struktur. 30A und 30B zeigen die Struktur, nachdem ausgedehnte Regionen 130 gebildet wurden, wie zum Beispiel nach dem in 26 beschriebenen Implantierungsprozess 124, oder nachdem der in 27A und 27B beschriebene zweistufige Implantierungsprozess 124A-B ausgeführt wurde.
  • In 31A und 31B werden die Gate-Kontakte 132 durch die Deckschicht 122, das zweite ILD 108 und die Hartmaske 96 gebildet. Öffnungen für die Gate-Kontakte 132 können zuerst durch die Deckschicht 122, das zweite ILD 108 und die Hartmaske 96 gebildet werden. Die Öffnungen können durch akzeptable Photolithografie- und Ätztechniken gebildet werden. In den Öffnungen werden eine Auskleidung, wie zum Beispiel eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder eine Kombination davon enthalten. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder eine Kombination davon sein. Ein Planarisierungsprozess, wie zum Beispiel ein CMP, kann ausgeführt werden, um überschüssiges Material von einer Oberfläche der Deckschicht 122 zu entfernen. Die verbleibende Auskleidung und leitfähiges Material bilden die Gate-Kontakte 132 in den Öffnungen. Die Gate-Kontakte 132 sind physisch und elektrisch mit den Gate-Elektroden 94 gekoppelt. Die Source/Drain-Kontakte 118 und die Gate-Kontakte 132 können in verschiedenen Prozessen gebildet werden oder können im selben Prozess gebildet werden. Obgleich gezeigt ist, dass sie in den gleichen Querschnitten gebildet sind, versteht es sich, dass jeder der Source/Drain-Kontakte 118 und der Gate-Kontakte 132 in einem anderen Querschnitt gebildet werden kann, wodurch ein Kurzschluss der Kontakte vermieden werden kann.
  • In 32A und 32B werden gemäß einigen Ausführungsformen leitfähige Merkmale 136 gebildet, um die Source/Drain-Kontakte 118 zu kontaktieren. Die leitfähigen Merkmale 136 können eine oder mehrere Metallleitungen und/oder Durchkontaktierungen enthalten, die einen physischen und elektrischen Kontakt mit den Source/Drain-Kontakten 118 herstellen. In einigen Ausführungsformen können auch einige leitfähige Merkmale 136 gebildet werden, die die Gate-Kontakte 132 kontaktieren (in 32A und 32B nicht gezeigt). Die leitfähigen Merkmale 136 können zum Beispiel Umverteilungsschichten sein. Die leitfähigen Merkmale 136 können mittels jeder geeigneten Technik gebildet werden.
  • In einigen Ausführungsformen kann zunächst eine dielektrische Schicht 134 über der Deckschicht 122 gebildet werden, und die leitfähigen Merkmale 136 können innerhalb der dielektrischen Schicht 134 gebildet werden. Die dielektrische Schicht 134 kann aus einem geeigneten dielektrischen Material, wie zum Beispiel einem dielektrischen Material mit niedrigem k-Wert, einem Polymer, wie zum Beispiel einem Polyimid, einem Siliziumoxid, einem Siliziumnitrid, Siliziumcarbid, Siliziumcarbonitrid, Siliziumoxycarbonitrid oder eine Kombination davon, gebildet werden. Die dielektrische Schicht 134 kann mittels eines geeigneten Prozesses, wie zum Beispiel Aufschleudern, CVD, PVD, ALD oder dergleichen, gebildet werden. Öffnungen für die leitfähigen Merkmale 136 (nicht gezeigt) können dann durch die dielektrische Schicht 134 und die Deckschicht 122 hindurch gebildet werden, um die Source/Drain-Kontakte 118 freizulegen. Die Öffnungen können durch akzeptable Photolithografie- und Ätztechniken gebildet werden. In einigen Fällen kann die Verwendung der ausgedehnten Regionen 130 zum Verschließen der Luftspalte 120 verhindern, dass die Luftspalte 120 beim Bilden der Öffnungen freigelegt werden. Zum Beispiel können die Öffnungen aufgrund von zum Beispiel photolithografischer Fehlausrichtung so gebildet werden, dass sie sich über die Luftspalte 120 erstrecken. Auf diese Weise wird verhindert, dass anschließend abgeschiedenes Material in die Luftspalte 120 eindringt.
  • In einigen Ausführungsformen kann das Material der leitfähigen Merkmale 136 mittels eines Einfach- und/oder eines Doppeldamaszenprozesses, eines Via-First-Prozesses oder eines Metal-First-Prozesses gebildet werden. In den Öffnungen werden eine Auskleidung, wie zum Beispiel eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen enthalten, das mittels eines Abscheidungsprozesses wie zum Beispiel CVD, ALD oder dergleichen gebildet werden kann. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder eine Kombination davon sein. Das leitfähige Material kann über den Diffusionssperrschichten in den Öffnungen mittels eines elektrochemisches Plattierungsprozesses, CVD, ALD, PVD oder dergleichen oder einer Kombination davon gebildet werden. Das Material der Auskleidung und/oder das leitfähige Material wird durch die ausgedehnten Regionen 130 daran gehindert, in die Luftspalte 120 einzudringen. Ein Planarisierungsprozess, wie zum Beispiel ein CMP, kann ausgeführt werden, um überschüssiges Material von einer Oberfläche der der dielektrischen Schicht 134 zu entfernen. Die verbleibende Auskleidung und leitfähiges Material bilden die leitfähigen Merkmale 136. Die leitfähigen Merkmale 136 können in anderen Ausführungsformen auch mittels anderer Techniken gebildet werden.
  • 33A und 33B veranschaulichen das Bilden von leitfähigen Merkmalen 136 für eine Ausführungsform, bei der der Implantierungsprozess 124 vor dem Bilden der Deckschicht 124 ausgeführt wurde. Die in 33A-B gezeigte Ausführungsform ähnelt der zuvor für 28 und 29 beschriebenen Ausführungsform. Wie in 33A-B gezeigt, bewirkt der Implantierungsprozess 124 ohne die Einschränkung der vertikalen Ausdehnung, die durch eine Deckschicht 122 verursacht wird, dass die Dicke des zweiten ILD 108 zunimmt. Die Planarität des zweiten ILD 108 kann ebenfalls reduziert werden. Die leitfähigen Merkmale 136 sind so gebildet, dass sie die Source/Drain-Kontakte 118 kontaktieren, und können den oben für 32A-B beschriebenen leitfähigen Merkmalen ähnlich sein.
  • Ausführungsformen können Vorteile realisieren. Durch das Bilden von Luftspalten zwischen den Source/Drain-Kontakten und dem Gatestapel einer FinFET-Vorrichtung kann die Kapazität zwischen den Source/Drain-Kontakten und dem Gatestapel reduziert werden. Eine Verringerung dieser Kapazität kann die Geschwindigkeit oder den Hochfrequenzbetrieb der FinFET-Vorrichtung verbessern. Zusätzlich wird die Oberseite der Luftspalte durch das Implantieren einer Zwischenschichtdielektrikumschicht (ILD-Schicht, ILD) mit Dotanden verschlossen. Durch die implantierten Dotanden dehnt sich die ILD aus und erstreckt sich über die Luftspalte hinweg, wodurch die Luftspalte verschlossen werden. Durch den Verschluss der Luftspalte kann unerwünschtes Material daran gehindert werden, in die Luftspalte einzudringen und die Leistung der Vorrichtung zu beeinträchtigen oder Prozessdefekte zu verursachen. In einigen Fällen kann die Verwendung zweier getrennter Implantierungsschritte die Menge der implantierten Dotanden in der Nähe der Kanalregion der FinFET-Vorrichtung reduzieren. Zusätzlich kann durch das Bilden einer Deckschicht vor der Implantierung der Dotanden die vertikale Ausdehnung der ILD unterdrückt werden, was zu einer gleichmäßigeren Oberfläche führt.
  • In einigen Ausführungsformen weist eine Vorrichtung auf: eine Finne, die sich von einem Halbleitersubstrat erstreckt; einen Gatestapel über der Finne; einen ersten Abstandhalter an einer Seitenwand des Gatestapels; eine Source/Drain-Region in der Finne neben dem ersten Abstandhalter; eine Zwischenschichtdielektrikumschicht (ILD-Schicht, ILD), die sich über den Gatestapel, den ersten Abstandhalter und die Source/Drain-Region erstreckt, wobei die ILD einen ersten Abschnitt und einen zweiten Abschnitt aufweist, wobei der zweite Abschnitt der ILD näher an dem Gatestapel liegt als der erste Abschnitt der ILD; einen Kontaktstopfen, der sich durch die ILD erstreckt und die Source/Drain-Region kontaktiert; einen zweiten Abstandhalter an einer Seitenwand des Kontaktstopfens; und einen Luftspalt zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter, wobei sich der erste Abschnitt der ILD über den Luftspalt hinweg erstreckt und den zweiten Abstandhalter physisch kontaktiert, wobei der erste Abschnitt der ILD den Luftspalt verschließt. In einer Ausführungsform hat die ILD eine erste Dicke, und wobei der erste Abschnitt der ILD eine zweite Dicke hat, die zwischen 10% und 105% der ersten Dicke beträgt. In einer Ausführungsform umfasst die Vorrichtung eine Ätzstoppschicht auf dem Gatestapel und auf dem ersten Abstandhalter, wobei ein Abschnitt der Ätzstoppschicht zu dem Luftspalt freigelegt (freiliegend) ist. In einer Ausführungsform: wobei der erste Abschnitt der ILD eine erste Konzentration von Dotanden aufweist, und wobei der zweite Abschnitt der ILD eine zweite Konzentration von Dotanden aufweist, die geringer als die erste Konzentration ist. In einer Ausführungsform umfassen die Dotanden Ge, Ar, Si oder Xe. In einer Ausführungsform erstreckt sich der zweite Abstandhalter näher zu dem Halbleitersubstrat als der Luftspalt. In einer Ausführungsform erstreckt sich der Kontaktstopfen näher zu dem Halbleitersubstrat als der Luftspalt. In einer Ausführungsform umfasst der zweite Abstandhalter Siliziumnitrid. In einer Ausführungsform umfasst die Vorrichtung eine Deckschicht auf der ILD. In einer Ausführungsform erstreckt sich eine erste Region der Deckschicht auf dem ersten Abschnitt der ILD näher zu dem Halbleitersubstrat als eine zweite Region der Deckschicht auf dem zweiten Abschnitt der ILD.
  • In einigen Ausführungsformen weist eine Halbleitervorrichtung auf: eine Finne, die von einem Substrat hervorsteht; eine Gatestruktur über einer Kanalregion der Finne; einen epitaxiale Region in der Finne neben der Kanalregion; eine erste dielektrische Schicht über der Gatestruktur, wobei die erste dielektrische Schicht eine erste Region umfasst, die mit einem ersten Dotanden dotiert ist; einen Kontaktstopfen, der sich durch die erste dielektrische Schicht erstreckt und die epitaxiale Region kontaktiert; eine zweite dielektrische Schicht über der ersten dielektrischen Schicht; und einen Luftspalt zwischen dem Kontaktstopfen und der Gatestruktur, wobei eine obere Region des Luftspalts durch die erste Region begrenzt ist, und wobei der Luftspalt von der zweiten dielektrischen Schicht durch die erste Region getrennt ist. In einer Ausführungsform wird eine untere Region des Luftspalts durch die epitaxiale Region begrenzt. In einer Ausführungsform ist eine an den Luftspalt grenzende Region der epitaxialen Region mit dem ersten Dotanden dotiert. In einer Ausführungsform beträgt die maximale Konzentration des ersten Dotanden innerhalb der ersten Region zwischen 1 nm und 5 nm unterhalb einer Oberseite der ersten dielektrischen Schicht. In einer Ausführungsform hat die erste Region eine Konzentration des ersten Dotanden, die zwischen 1019 cm-3 und 1022 cm-3 beträgt. In einer Ausführungsform ragt ein Abschnitt der zweiten dielektrischen Schicht in die erste Region hinein.
  • In einigen Ausführungsformen umfasst ein Verfahren: Bilden eines Gatestapels über einer Halbleiterfinne; Bilden einer epitaxialen Source/Drain-Region in der Halbleiterfinne neben dem Gatestapel; Abscheiden einer ersten dielektrischen Schicht über dem Gatestapel und über der epitaxialen Source/Drain-Region; Bilden einer Öffnung in der ersten dielektrischen Schicht, um die epitaxiale Source/Drain-Region freizulegen; Abscheiden eines Opfermaterials innerhalb der Öffnung; Abscheiden eines leitfähigen Materials über dem Opfermaterial innerhalb der Öffnung; Entfernen des Opfermaterials, um eine Aussparung zu bilden; und Implantieren der ersten dielektrischen Schicht mit einem Dotanden, wobei nach dem Implantieren der ersten dielektrischen Schicht die Aussparung durch die erste dielektrische Schicht bedeckt wird. In einer Ausführungsform ist das Opfermaterial Silizium. In einer Ausführungsform umfasst das Verfahren das Abscheiden einer zweiten dielektrischen Schicht auf dem Opfermaterial innerhalb der Öffnung. In einer Ausführungsform umfasst das Verfahren das Abscheiden einer dritten dielektrischen Schicht über der ersten dielektrischen Schicht vor dem Implantieren der ersten dielektrischen Schicht.
  • Das oben Dargelegte umreißt Merkmale verschiedener Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen zu erreichen. Dem Fachmann sollte auch klar sein, dass solche äquivalenten Bauformen nicht das Wesen und den Schutzumfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Vorrichtung aufweisend: eine Finne, die sich von einem Halbleitersubstrat erstreckt; einen Gatestapel über der Finne; einen ersten Abstandhalter an einer Seitenwand des Gatestapels; eine Source/Drain-Region in der Finne neben dem ersten Abstandhalter; eine Zwischenschichtdielektrikumschicht, ILD, die sich über dem Gatestapel, dem ersten Abstandhalter und der Source/Drain-Region erstreckt, wobei die ILD einen ersten Abschnitt und einen zweiten Abschnitt aufweist, wobei der zweite Abschnitt der ILD näher an dem Gatestapel liegt als der erste Abschnitt der ILD; einen Kontaktstopfen, der sich durch die ILD erstreckt und die Source/Drain-Region kontaktiert; einen zweiten Abstandhalter an einer Seitenwand des Kontaktstopfens; und einen Luftspalt zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter, wobei sich der erste Abschnitt der ILD über den Luftspalt erstreckt und den zweiten Abstandhalter physisch kontaktiert, wobei der erste Abschnitt der ILD den Luftspalt verschließt.
  2. Vorrichtung nach Anspruch 1, wobei die ILD eine erste Dicke aufweist, und wobei der erste Abschnitt der ILD eine zweite Dicke aufweist, die zwischen 10% und 105% der ersten Dicke beträgt.
  3. Vorrichtung nach Anspruch 1 oder 2, ferner aufweisend eine Ätzstoppschicht auf dem Gatestapel und auf dem ersten Abstandhalter, wobei ein Abschnitt der Ätzstoppschicht zu dem Luftspalt freigelegt ist.
  4. Vorrichtung nach einem der vorangehenden Ansprüche, wobei der erste Abschnitt der ILD eine erste Konzentration von Dotanden aufweist, und wobei der zweite Abschnitt der ILD eine zweite Konzentration von Dotanden aufweist, die geringer als die erste Konzentration ist.
  5. Vorrichtung nach Anspruch 4, wobei die Dotanden Ge, Ar, Si oder Xe enthalten.
  6. Vorrichtung nach einem der vorangehenden Ansprüche, wobei sich der zweite Abstandhalter näher an das Halbleitersubstrat erstreckt als der Luftspalt.
  7. Vorrichtung nach einem der vorangehenden Ansprüche, wobei sich der Kontaktstopfen näher an das Halbleitersubstrat erstreckt als der Luftspalt.
  8. Vorrichtung nach einem der vorangehenden Ansprüche, wobei der zweite Abstandhalter Siliziumnitrid enthält.
  9. Vorrichtung nach einem der vorangehenden Ansprüche, ferner aufweisend eine Deckschicht auf der ILD.
  10. Vorrichtung nach Anspruch 9, wobei sich eine erste Region der Deckschicht auf dem ersten Abschnitt der ILD näher an das Halbleitersubstrat erstreckt als eine zweite Region der Deckschicht auf dem zweiten Abschnitt der ILD.
  11. Halbleitervorrichtung aufweisend: eine Finne, die aus einem Substrat hervorsteht; eine Gatestruktur über einer Kanalregion der Finne; einen epitaxiale Region in der Finne neben der Kanalregion; eine erste dielektrische Schicht über der Gatestruktur, wobei die erste dielektrische Schicht eine erste Region aufweist, die mit einem ersten Dotanden dotiert ist; einen Kontaktstopfen, der sich durch die erste dielektrische Schicht erstreckt und die epitaxiale Region kontaktiert; eine zweite dielektrische Schicht über der ersten dielektrischen Schicht; und einen Luftspalt zwischen dem Kontaktstopfen und der Gatestruktur, wobei eine obere Region des Luftspalts durch die erste Region begrenzt ist, und wobei der Luftspalt durch die erste Region von der zweiten dielektrischen Schicht getrennt ist.
  12. Halbleitervorrichtung nach Anspruch 11, wobei eine untere Region des Luftspalts durch die epitaxiale Region begrenzt ist.
  13. Halbleitervorrichtung nach Anspruch 11 oder 12, wobei eine Region der epitaxialen Region neben dem Luftspalt mit dem ersten Dotanden dotiert ist.
  14. Halbleitervorrichtung nach einem der Ansprüche 11 bis 13, wobei die maximale Konzentration des ersten Dotanden innerhalb der ersten Region zwischen 1 nm und 5 nm unterhalb einer Oberseite der ersten dielektrischen Schicht liegt.
  15. Halbleitervorrichtung nach einem der Ansprüche 11 bis 14, wobei die erste Region eine Konzentration des ersten Dotanden aufweist, die zwischen 1019 cm-3 und 1022 cm-3 beträgt.
  16. Halbleitervorrichtung nach einem der Ansprüche 11 bis 15, wobei ein Abschnitt der zweiten dielektrischen Schicht in die erste Region hineinragt.
  17. Verfahren umfassend: Bilden eines Gatestapels über einer Halbleiterfinne; Bilden einer epitaxialen Source/Drain-Region in der Halbleiterfinne neben dem Gatestapel; Abscheiden einer ersten dielektrischen Schicht über dem Gatestapel und über der epitaxialen Source/Drain-Region; Bilden einer Öffnung in der ersten dielektrischen Schicht, um die epitaxiale Source/Drain-Region freizulegen; Abscheiden eines Opfermaterials innerhalb der Öffnung; Abscheiden eines leitfähigen Materials über dem Opfermaterial innerhalb der Öffnung; Entfernen des Opfermaterials, um eine Aussparung zu bilden; und Implantieren der ersten dielektrischen Schicht mit einem Dotanden, wobei, nach dem Implantieren der ersten dielektrischen Schicht, die Aussparung durch die erste dielektrische Schicht bedeckt wird.
  18. Verfahren nach Anspruch 17, wobei das Opfermaterial Silizium ist.
  19. Verfahren nach Anspruch 17 oder 18, ferner umfassend: Abscheiden einer zweiten dielektrischen Schicht auf dem Opfermaterial innerhalb der Öffnung.
  20. Verfahren nach einem der Ansprüche 17 bis 19, ferner umfassend: Abscheiden einer dritten dielektrischen Schicht über der ersten dielektrischen Schicht vor dem Implantieren der ersten dielektrischen Schicht.
DE102020114875.5A 2019-08-30 2020-06-04 Finfet-vorrichtung und verfahren Active DE102020114875B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962894006P 2019-08-30 2019-08-30
US62/894,006 2019-08-30
US16/879,894 US11456383B2 (en) 2019-08-30 2020-05-21 Semiconductor device having a contact plug with an air gap spacer
US16/879,894 2020-05-21

Publications (2)

Publication Number Publication Date
DE102020114875A1 true DE102020114875A1 (de) 2021-03-04
DE102020114875B4 DE102020114875B4 (de) 2024-02-22

Family

ID=74564968

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020114875.5A Active DE102020114875B4 (de) 2019-08-30 2020-06-04 Finfet-vorrichtung und verfahren

Country Status (4)

Country Link
US (2) US11901455B2 (de)
KR (1) KR102379424B1 (de)
DE (1) DE102020114875B4 (de)
TW (1) TWI755831B (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021101478A1 (de) 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Verringerung der abstände zwischen leitfähigen merkmalen durch implantation
DE102021115000A1 (de) 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktwiderstandsreduzierung für transistoren
DE102021114091A1 (de) 2021-03-30 2022-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Skalierbare strukturierung durch schichterweiterungsprozess und resultierende strukturen

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11862694B2 (en) * 2020-09-23 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
KR101887414B1 (ko) 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9305835B2 (en) * 2014-02-26 2016-04-05 International Business Machines Corporation Formation of air-gap spacer in transistor
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US9412659B1 (en) 2015-01-29 2016-08-09 Globalfoundries Inc. Semiconductor structure having source/drain gouging immunity
KR102317651B1 (ko) 2015-04-14 2021-10-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9559184B2 (en) 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
KR102452290B1 (ko) 2015-09-04 2022-12-01 에스케이하이닉스 주식회사 반도체구조물 및 그 제조 방법
US9911824B2 (en) 2015-09-18 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with multi spacer
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10164029B2 (en) * 2015-12-18 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US9627514B1 (en) 2015-12-28 2017-04-18 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10741654B2 (en) * 2016-11-17 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US10522642B2 (en) * 2016-12-14 2019-12-31 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor device with air-spacer
DE102017113681A1 (de) * 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement mit luft-abstandshalter
KR102587891B1 (ko) * 2016-12-22 2023-10-12 삼성전자주식회사 반도체 소자
US10026824B1 (en) 2017-01-18 2018-07-17 Globalfoundries Inc. Air-gap gate sidewall spacer and method
KR102365108B1 (ko) 2017-08-01 2022-02-18 삼성전자주식회사 집적회로 장치
US10164053B1 (en) 2017-08-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102376508B1 (ko) * 2017-11-16 2022-03-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US10395991B2 (en) 2017-12-04 2019-08-27 United Microelectronics Corp. Semiconductor device and method for fabricating the same
CN110098175B (zh) 2018-01-31 2021-08-20 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US10861953B2 (en) 2018-04-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US10755970B2 (en) * 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structures
US10854503B2 (en) * 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with air gap and method sealing the air gap
US10923565B2 (en) * 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact air gap formation
US11121236B2 (en) 2018-09-28 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air spacer and stress liner
US10840351B2 (en) * 2019-01-03 2020-11-17 International Business Machines Corporation Transistor with airgap spacer and tight gate pitch
US11018221B2 (en) 2019-08-12 2021-05-25 Globalfoundries U.S. Inc. Air gap regions of a semiconductor device
US11227950B2 (en) * 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming air spacers in semiconductor devices
US11735471B2 (en) * 2021-04-08 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021101478A1 (de) 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Verringerung der abstände zwischen leitfähigen merkmalen durch implantation
US11615982B2 (en) 2021-01-15 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing spacing between conductive features through implantation
DE102021115000A1 (de) 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktwiderstandsreduzierung für transistoren
DE102021114091A1 (de) 2021-03-30 2022-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Skalierbare strukturierung durch schichterweiterungsprozess und resultierende strukturen
US11854868B2 (en) 2021-03-30 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Scalable patterning through layer expansion process and resulting structures

Also Published As

Publication number Publication date
US20220359755A1 (en) 2022-11-10
US20240145596A1 (en) 2024-05-02
KR20210028094A (ko) 2021-03-11
TWI755831B (zh) 2022-02-21
TW202109680A (zh) 2021-03-01
DE102020114875B4 (de) 2024-02-22
KR102379424B1 (ko) 2022-03-28
US11901455B2 (en) 2024-02-13

Similar Documents

Publication Publication Date Title
DE102019117191B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102017117795A1 (de) Fets und verfahren zu deren herstellung
DE102017117793B4 (de) Verfahren zur Herstellung von Multi-Gate-Transistoren und resultierende Strukturen
DE102019118385A1 (de) Halbleitervorrichtung und Verfahren
DE102020115611A1 (de) Halbleitervorrichtung und verfahren
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102018108176A1 (de) Asymmetrische Source- und Drain-Strukturen in Halbleitervorrichtungen
DE102019121278A1 (de) Halbleitervorrichtung und Verfahren zur Herstellung
DE102020115554A1 (de) Doppeldotiermittel-source/drain-regionen und deren herstellungsverfahren
DE102018113168A1 (de) Nicht konforme oxidauskleidung und herstellungsverfahren dafür
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102020115408A1 (de) Halbleitervorrichtung und verfahren
DE102020114655A1 (de) Finnen-feldeffekttransistorvorrichtung mit kontaktstopen mit einspringendem profil
DE102021116786B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102021113003B4 (de) Nano-fet-halbleiterbauelement und verfahren zur bildung
DE102022105643A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102020110678B4 (de) Halbleitervorrichtung und -verfahren
DE102022100570A1 (de) Halbleitervorrichtungen mit parasitären kanalstrukturen
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division