DE102013104197B3 - Gate Kontaktstruktur für FinFET und Verfahren zur Herstellung - Google Patents

Gate Kontaktstruktur für FinFET und Verfahren zur Herstellung Download PDF

Info

Publication number
DE102013104197B3
DE102013104197B3 DE201310104197 DE102013104197A DE102013104197B3 DE 102013104197 B3 DE102013104197 B3 DE 102013104197B3 DE 201310104197 DE201310104197 DE 201310104197 DE 102013104197 A DE102013104197 A DE 102013104197A DE 102013104197 B3 DE102013104197 B3 DE 102013104197B3
Authority
DE
Germany
Prior art keywords
gate electrode
width
contact
dielectric
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE201310104197
Other languages
English (en)
Inventor
Chi-Wen Liu
Chao-Hsiung Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Application granted granted Critical
Publication of DE102013104197B3 publication Critical patent/DE102013104197B3/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • H01L21/28587Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds characterised by the sectional shape, e.g. T, inverted T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4827Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11866Gate electrode terminals or contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Ein Ausführungsbeispiel weist ein Substrat auf, wobei sich ein Bereich des Substrats nach oben unter Bildung einer Finne, einem Gatedielektrikum über einer oberen Oberfläche und wenigstens Abschnitten der Seitenwände der Finne und einer Gateelektrode über dem Gatedielektrikum und einem Kontakt über und sich in die Gateelektrode erstrecken, wobei der Kontakt eine erste Breite über der Gateelektrode und eine zweite in der Gateelektrode hat, wobei die erste Breite kleiner ist als die zweite Breite.

Description

  • HINTERGRUND
  • Mit der zunehmenden Abnahme der Größe von integrierten Schaltungen (IC) und den zunehmenden Anforderungen an die Geschwindigkeit der ICs, müssen die Transistoren höhere Betriebsströme bei zunehmend kleineren Dimensionen haben. Finnenfeldeffekttransistoren (FinFET) wurden zu diesem Zweck entwickelt. Bei einem typischen FinFET wurden Bereiche eines Substrats weggeätzt zur Erzeugung einer vertikalen Finnenstruktur. Diese vertikale Finnenstruktur wird verwendet zur Bildung von Source/Drain-Bereichen in der lateralen Richtung, die einen Kanalbereich in der Finne bilden. Ein Gate ist über dem Kanalbereich der Finne in der vertikalen Richtung unter Bildung eines FinFET geformt, wie beispielsweise aus der US 4 979 014 A bekannt. Anschließend kann ein Zwischenschichtdielektrikum (ILD) und eine Mehrzahl von Zwischenverbindungsschichten über dem FinFET gebildet werden. Die ILD weist Gatekontakte auf, die das Gate elektrisch mit anderen aktiven Komponenten in dem IC über eine Mehrzahl von Zwischenverbindungsschichten verbinden, wie aus der US 2005/0121703 A1 bekannt.
  • Die Gatekontakte sind im Allgemeinen in der Breite enger als das Gate, der Gatekontaktbereich kann daher relativ klein sein. Ein kleiner Gatekontaktbereich erzeugt hohe Kontaktwiderstände zwischen dem Gate und dem Gatekontakt. Unglücklicherweise ist es aufgrund von Begrenzungen durch die Designregeln, nicht einfach, die Breite des Gatekontakts zu erhöhen oder einzustellen. Aus der US 6 476 489 B1 ist es für FETs bekannt, die Kontaktfläche zur Gateelektrode durch ein Nassätzen der Gateelektrode zu vergrößern, um damit den Kontaktwiderstand zu reduzieren.
  • Aufgabe der Erfindung ist es, den Kontaktwiderstand zur Gateelektrode von FinFETs zu reduzieren.
  • Die Strukturen der Ansprüche 1 und 5 und das Verfahren des Anspruchs 12 lösen diese Aufgabe.
  • KURZE ERLÄUTERUNG DER ZEICHNUNGEN
  • Für ein besseres Verständnis der gegebenen Ausführungsbeispiele und deren Vorteile wird jetzt auf die nachfolgende Beschreibung in Verbindungen mit den beiliegenden Zeichnungen Bezug genommen. Dabei zeigen:
  • 19 Querschnittsansichten der unterschiedlichen Schritte der Herstellung einer integrierten Schaltung (IC) in Übereinstimmung mit verschiedenen Ausführungsbeispielen.
  • EINGEHENDE BESCHREIBUNG VON ILLUSTRATIVEN AUSFÜHRUNGSBEISPIELEN
  • Ausführungsbeispiele von integrierten Schaltungen (IC) werden unter Bezugnahme auf einen bestimmten Zusammenhang beschrieben, nämlich einem Finnenfeldeffekttransistor (finFET). Andere Transistorstrukturen (etwa Tunnelfeldeffekttransistoren oder Nanodrahtfeldeffekttransistoren) und analoge Strukturen liegen innerhalb des zu berücksichtigenden Schutzes der Offenbarung. Der finFET kann in einem Mikroprozessor, einer Speicherzelle und/oder anderen integrierten Schaltungen (IC) vorgesehen sein.
  • Die 19 zeigen Querschnittsansichten verschiedener Schritte der Herstellung einer integrierten Schaltung (IC) 100 in Übereinstimmung mit verschiedenen Ausführungsbeispielen, wobei die Querschnittsansicht die Finne querend, nicht also Source/Drain-Bereiche. In der vorliegenden Offenbarung wird der Begriff Struktur einer integrierten Schaltung (IC) 100 für einen Feldeffekttransistor (finFET) 100 verwendet. Der finFET 100 bezieht sich auf jede Art eines finnenbasierten, Mehrgatetransistor. Der finFET 100 weist ein Gate auf, das über einer vertikalen Finne ausgebildet ist. Ein oberer Bereich des Gates ist isotropisch geätzt zur Erzeugung eines sich vergrößerten Kontaktbereichs zwischen einem Gatekontakt und dem Gate ohne eine Vergrößerung der Breite des Gatekontakts. Auf diese Weise kann der Kontaktwiderstand zwischen dem Gatekontakt und dem Gate reduziert werden ohne dass Designregeln verletzt werden. Bei verschiedenen Ausführungsbeispielen ist das Verhältnis der Breite des vergrößerten Kontaktbereichs zu der Breite der Finne zwischen 1,2 und 2,5.
  • 1 zeigt eine Querschnittsansicht eines finFET 100, die das Substrat 102 aufweist. Das Substrat 102 kann ein Massensubstrat oder ein Halbleiter-auf-Isolator (SOI) Substrat sein.
  • Das Substrat 102 kann aus Silizium oder Siliziumgermanium gebildet sein, obwohl andere Halbleitermateriale einschließlich der Elemente der Gruppe III, der Gruppe IV und der Gruppe V verwendet werden können.
  • Eine Pufferschicht 104 und eine Maske 106 können auf dem Substrat 102 gebildet werden. Die Pufferschicht 104 kann aus Siliziuimoxid gebildet sein unter Verwendung von, beispielsweise, einem thermischen Oxidationsvorgang. Die Pufferschicht 104 kann als eine Klebeschicht verwendet werden und reduziert die Spannung zwischen dem Substrat 102 und der Maske 106. Die Pufferschicht 104 kann weiter als Ätzstoppschicht für jede Ätzmaske 106 verwendet werden. Die Maske 106 kann aus Siliziumnitrid gebildet sein unter Verwendung von, beispielsweise, einer chemischen Tiefdruckdampfablagerung (LPCVD), einer thermischen Nitridation von Silizium, einer plasmaverstärkten chemischen Dampfablagerung (PECVD) oder einer anodischen Plasmanitration. Die Maske 106 wird als eine Hartmaske während der nachfolgenden photolithographischen Prozesses verwendet. Eine Fotolackschicht 108 ist über der Maske ausgebildet und gemustert, wobei die Bereiche der darunter liegenden Maske 106 frei liegen.
  • Es wird jetzt auf 2 Bezug genommen. Die Maske 106 und die Pufferschicht 104 werden durch die Öffnungen in der Fotolackschicht 108 geätzt. Das Substrat 102 wird sodann unter Bildung einer Finne 110 geätzt. Obwohl in 2 nur eine Finne 110 gezeigt ist, weisen alternative Ausführungsbeispiele das Bilden mehrerer Finnen in demselben Prozessschritt auf (beispielsweise zur Bildung eines finFETs mit mehreren Finnen oder zum gleichzeitigen Bilden mehrerer finFETs). Die Fotolackschicht 108 wird anschließend entfernt. Alternativ können die Finnen durch Ablagern einer Oxidschicht (beispielsweise eines Siliziumoxids) über dem Substrat 106 gebildet werden, das Aufbringen der Oxidschicht und epitaxial gezüchtete Finnen.
  • In 3 wird die dielektrische Schicht 112 über das Substrat 102 deponiert. Die dielektrische Schicht 112 kann aus Siliziumoxid gebildet werden, obwohl andere dielektrische Materialien wie SiN, Sic oder dergleichen auch verwendet werden können. Die dielektrische Schicht 112 kann flächendeckend über das Substrat 102 deponiert sein. Bei verschiedenen Ausführungsbeispielen, die mehrere Finnen beinhalten, kann die dielektrische Schicht als eine Isolationsschicht zum Isolieren der einzelnen Finnen dienen. Ein chemisch mechanisches Polieren (CMP) kann auf der dielektrischen Schicht 112 ausgeführt werden zum Freilegen des oberen Teiles der Finne 110, so dass die obere Fläche der dielektrischen Schicht 112 auf derselben Ebene wie die Fläche der Finne 110 ist.
  • 4 zeigt die Vertiefungen der dielektrischen Schicht 112, beispielsweise durch Ätzen. Infolge des Vertiefens liegt ein Bereich der Finne 110 frei und erstreckt sich über die obere Fläche der dielektrischen Schicht 112. Wenn der finFET 100 vollständig gebildet ist, erstreckt sich dieser Abschnitt der Finne 110 über die obere Fläche der dielektrischen Schicht 112, die als Kanalbereich wirkt.
  • 5 zeigt die Bildung eines Gatedielektrikums 114 und einer Gateelektrode 116 über die Finne 110. Die Gateelektrode 116 kann ein Arbeitsfunktionsmetall und ein Signalmetall aufweisen. Zur Vereinfachung der Darstellung sind die Schichten der Gateelektrode 116 nicht einzeln gezeigt. Das Gatedielektrikum kann Siliziumdioxid, Siliziumnitrid oder ein dielektrisches Material mit einem hohen k-Wert, der größer als etwa 7,0 ist, aufweisen. Das dielektrische Material mit hohem k-Wert kann Metalloxide aufweisen. Ein dielektrisches Material mit einem hohen k-Wert weist Oxide von Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu und Mischungen aus diesen auf. Bei einigen Ausführungsbeispielen hat das Gatedielektrikum 114 eine Dicke im Bereich von etwa 0,5 bis etwa 3 nm. Die Gateelektrode (116) kann auf der oberen Fläche und den Seitenwänden der Finnen 110 durch ein thermisches Oxidationsverfahren gebildet sein oder aber kann flächendeckend über die Finne 110 durch eine chemische Aufdampfungsablagerung (CVD), ein atomischer Schichtablagerungsprozess (ALD) abgelagert werden. Das Arbeitsfunktionsmetall kann sodann über das Gatedielektrikum gebildet werden, bestehend aus Aluminium, Titanaluminium, Wolfram, Titan, Nitrid, Tantalnitrid, Tantalkarbid oder dergleichen. Das Arbeitsfunktionsmetall induziert eine Ladung in dem Kanalbereich (d. h. der Finne), wenn eine geeignete Vorspannung aufgebracht wird, nachdem der finFET 100 vollständig ausgebildet ist. Im Allgemeinen kann der Kontaktwiderstand des Arbeitsfunktionsmetalls relativ hoch sein. Es wird daher ein Signalmetall mit einem geringen Widerstand über dem Arbeitsfunktionsmetall ausgebildet zum Reduzieren des Gesamtkontaktwiderstandes des Elements. Das Signalmetall kann aus Aluminium, Aluminiumkupfer oder dergleichen ausgebildet sein, obwohl auch andere metallische Materialien verwendet werden können. Die Gateelektrode 116 (d. h., das Funktionsmetall und das Signalmetall) kann durch CVD, Plattieren, ALD und andere geeignete Techniken gebildet sein.
  • Weiter kann eine (nicht gezeigte) Grenzflächenschicht unter dem Gatedielektrikum 114 über der Finne 110 und der dielektrischen Schicht 112 ausgebildet sein. Die Grenzflächenschicht kann Siliziumoxid beinhalten und wirkt als eine Kleber/Puffer-Schicht zwischen dem Gatedielektrikum 114 und der Finne 110.
  • 6 zeigt die Bildung von Gatedistanzstücken 118 und einem ersten Zwischenschichtdielektrikum (ILD) 120. Die Gatedistanzstücke 118 können aus Siliziumoxid, Siliziumnitrid und dergleichen gebildet sein. Anschließend werden die verbleibenden Bereiche des finFET 100 einschl. der Source/Drain-Bereiche und der Source/Drain-Silizide (nicht gezeigt) in der lateralen Richtung ausgebildet. Die erste ILD 120 kann aus Siliziumoxid, Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG) und dergleichen ausgebildet sein. Die erste ILD 120 kann flächendeckend über die dielektrische Schicht 112 und das Gatedistanzstück 118 deponiert sein. Der Bildungsprozess dieser Komponente ist aus dem Stand der Technik bekannt, er wirden daher hier nicht näher erläutert.
  • In 7 wird ein zweites ILD 120 über dem ersten ILD 120, den Distanzstücken 118 und dem finFET 100 mit der Gateelektrode 116 gebildet. Das zweite ILD 122 kann aus im Wesentlichen demselben Material gebildet werden, das im Wesentlichen dieselben Techniken wie das ILD 120 verwendet. Alternativ kann das zweite ILD 122 aus einem gegenüber dem ersten ILD 120 abweichenden Material gebildet sein. Beispielsweise kann das ILD 120 aus PSG und das zweite ILD 122 kann aus Siliziumoxid gebildet sein. Das zweite ILD 122 ist zur Bildung einer Öffnung 124 gemustert, die die darunter liegende Gateelektrode 116 freilegt. Die Öffnung 124 kann beispielsweise unter Verwendung einer Kombination von photolithographischen und Ätztechniken gebildet werden.
  • 8 zeigt die Erstreckung der Öffnung 124 durch das zusätzliche Ätzen. Die Fläche der Gateelektrode 116 ist isotropisch geätzt, um die Öffnung 124 zu vergrößern. Das isotropische Ätzen der Gateelektrode 116 kann erreicht werden unter Verwendung einer Kombination von Nass- und Trockenätztechniken ohne Vorspannung. Beispielsweise kann die Gateelektrode 116 unter Verwendung eines Nassätzens mit gelöster Hydrofluorsäure (DHF) und einem Trockenätzen unter Verwendung eines Chlor beinhaltenden Gases ohne Vorspannung geätzt werden. Beispielsweise kann das Trockenätzen ausgeführt werden unter einer Spannungsquelle von etwa 550 W bis etwa 850 W und einem Druck von etwa 1,3 bis 26,7 Pa (10 bis 200 mTorr) unter Verwendung von Cl2, HBr und He als Ätzgase. Es ist zu beachten, dass der Bereich der Öffnung 124 in der Gateelektrode größer ist als der Abschnitt der Öffnung 124 in dem zweiten ILD 122.
  • 9 zeigt die Bildung einer Kontaktgrenzschicht 126 und eines Kontakts 128 in der Öffnung 124. Die Kontaktgrenzschicht 126 kann aus Titannitrid, Tantalnitid und dergleichen gebildet sein. Bei einigen Ausführungsbeispielen hat die Kontaktgrenzschicht 126 eine Dicke in dem Bereich von etwa 0,3 bis etwa 2 nm. Die Kontaktgrenzschicht 126 deckt die Bodenfläche und die Seitenwände der Öffnung 124 ab. Die Öffnung 124 wird sodann zur Erzeugung des Kontaktes 128 gefüllt. Der Kontakt 128 kann aus Wolfram, Aluminium oder Kupfer gebildet sein, obwohl auch andere metallische Materialien verwendet werden können. Der Kontakt 128 und die Kontaktgrenzschicht 126 können einem CMP unterworfen sein zum Entfernen des überschüssigen Bereichs der Kontaktgrenzschicht über dem zweiten ILD 122. Die CMP führt weiter dazu, dass die Fläche des Kontaktes 128 mit der Fläche des ILD 122 fluchtet. Die Kontaktgrenzschicht 126 hilft, eine Diffusion der metallischen Elemente des Kontakts 128 in das zweite ILD 122 zu verhindern.
  • Bei einem alternativen Ausführungsbeispiel kann die Kontaktgrenzschicht 126 bei etwa 250°C bis etwa 450°C gehärtet sein unter einer Induktion der Diffusion von metallischen Substanzen (z. b. Aluminium) in die Kontaktgrenzschicht 126. Die sich ergebende Kontaktgrenzschicht 126 kann Titanaluminiumnitrid, Titanaluminiumwolframnitrid, Tantalaluminiumnitrid, Tantalaluminiumwolframnitrid und dergleichen beinhalten.
  • Der Kontakt 128 hat zwei Breiten, nämliche W1 (in dem zweiten ILD 122) und W2 (in der Gateelektrode 116). Bei verschiedenen Ausführungsbeispielen ist die Breite W2 größer als die Breite W1, was den Kontaktbereich zwischen dem Kontakt 128 und dem Signalmetall der Gateelektrode 116 erhöht. Die erhöhte Kontaktfläche vermindert den Kontaktwiderstand zwischen dem Kontakt 128 und der Gateelektrode 116. Die Breite W1 kann auf eine bestimmte Größe begrenzt sein aufgrund der Begrenzungen der Designregel verschiedener Technologieknoten, die Breite W2 ist jedoch diesen Begrenzungen nicht unterlegen. Bei verschiedenen Ausführungsbeispielen kann das Verhältnis der Breite W2 zur Breite W3 der Finne 110 vorteilhaft zwischen 1,2 und 2,5 liegen. Im Gegensatz dazu ist das Verhältnis einer typischen Gatekontaktbreite (d. h. W1) zu der Breite W3 im Allgemeinen geringer als 1,2 (beispielsweise etwa 1). In dem beschriebenen Ausführungsbeispiel wird der Kontaktbereich zwischen dem Kontakt 128 und der Gateelektrode 116 erhöht, was den Kontaktwiderstand vermindert, ohne dass Einschränkungen irgendeiner Designregel verschiedener Technologieknoten verletzt werden. Obwohl die finFET 100 als ein EinfinnenfinFET gezeigt ist (d. h. das Gate ist über einer einzigen Finne ausgebildet) können auch verschiedene Ausführungsbeispiele bei einem Mehrfinnen finFET angewendet werden.
  • In Übereinstimmung mit einem Ausführungsbeispiel weist die integrierte Schaltung (IC) ein Substrat auf. Ein Bereich dieses Substrats erstreckt sich nach oben unter Bildung einer Finne. Die Struktur des IC weist weiter ein Gatedielektrikum über einer oberen Fläche und wenigstens Abschnitten von Seitenwandungen der Finne, eine Gateelektrode über dem Gatedielektrikum und einen Kontakt über die Gatterelektrode in diese sich erstreckend auf. Der Kontakt hat eine erste Breite über der Gateelektrode und eine zweite Breite innerhalb der Gateelektrode, die erste Breite ist kleiner als die zweite Breite.
  • In Übereinstimmung mit einem anderen Ausführungsbeispiel weist die Struktur eine integrierte Schaltung (IC), ein Substrat und eine Halbleiterfinne über dem Substrat mit diesem verbunden auf. Die Struktur des ICs weist weiter ein Gatedielektrikum über der oberen Fläche und den Seitenwandungen der Finne auf, eine Gateelektrode über dem Gatedielektrikum, ein Zwischenschichtdielektrikum (ILD) über dem Gatedielektrikum, einem Kontakt der sich von einer oberen Fläche des ILD in die Gateelektrode erstreckt und einer Kontaktgrenzschicht, die die Bodenfläche und die Seitenwände des Kontakts umgibt. Der Kontakt hat einen ersten Abschnitt in dem ILD und einen zweiten Abschnitt in der Gateelektronik, der erste und der zweite Bereich haben eine erste und eine zweite Breite, wobei die zweite Breite größer ist als die erste Breite.
  • In Übereinstimmung mit einem weiteren Ausführungsbeispiel weist ein Verfahren zum Bilden der Struktur einer integrierten Schaltung (IC) das Ätzen eines Substrats unter Bildung einer Finne, Bilden eines Dielektrikums über der oberen Fläche und wenigstens Bereichen der Seitenwände der Finnen, Bilden einer Gateelektrode über dem Gatedielektrikum, Bilden eines Zwischenschichtdielektrikums (ILD) über der Gateelektrode, Musterung der ILD zur Erzeugung einer Öffnung in dem ILD, Freilegen der Gateelektrode, wobei die Öffnung eine erste Breite hat, und isotropes Ätzen eines Bereichs derart, dass sich die Öffnung in die Gateelektrode erstreckt, auf. Ein Bereich der Öffnung der Gateelektrode hat eine zweite Breite und die zweite Bereite ist größer als die erste Breite. Das Verfahren weist weiter das Bilden einer Kontaktgrenzschicht in der Bodenfläche und den Seitenwänden der Öffnung, sowie das Füllen der Öffnung mit einem metallischen Material zum Bilden eines Kontakts auf.

Claims (17)

  1. Eine Struktur einer integrierten Schaltung (IC) mit: – einem Substrat (102) mit einem sich nach oben unter Bildung einer Finne (110) erstreckenden Bereich; – einem Gatedielektrikum (114) über einer oberen Fläche und über wenigstens Bereichen der Seitenwandungen der Finne (110); – einer Gateelektrode (116) über dem Gatedielektrikum (114); und – einem Kontakt (128) über der Gateelektrode (116), der sich in die Gateelektrode (116) erstreckt, wobei der Kontakt (128) eine erste Breite (W1) über der Gateelektrode (116) und eine zweite Breite (W2) in der Gateelektrode (116) aufweist, und wobei die erste Breite (W1) kleiner ist als die zweite Breite (W2).
  2. Die IC-Struktur von Anspruch 1, weiter mit einer Kontaktgrenzschicht (126) zwischen dem Kontakt (128) und der Gateelektrode (116), wobei die Kontaktgrenzschicht (126) weiter die Seitenwände des Kontakts (128) abdeckt.
  3. Die IC-Struktur nach Anspruch 1 oder 2, wobei der Kontakt (128) Wolfram, Kupfer oder Aluminium aufweist.
  4. Die IC-Struktur nach einem der vorangehenden Ansprüche, wobei das Gatedielektrikum (114) Siliziumoxid, Siliziumnitrid oder ein dielektrisches Material mit einem hohen k-Wert aufweist.
  5. Struktur einer integrierten Schaltung (IC) mit: – einem Substrat (102); – einer über dem Substrat angeordneten und mit dem Substrat verbundenen Halbleiterfinne (110); – einem Gatedielektrikum (114) über einer oberen Fläche und Seitenwandungen der Finne (110); – einer Gateelektrode (116) über dem Gatedielektrikum (114); – einem Zwischenschichtdielektrikum (ILD) (122) über dem Gatedielektrikum (114); – einem Kontakt (128), der sich von einer oberen Oberfläche der ILD (122) in die Gateelektrode (116) erstreckt, wobei der Kontakt (128) einen ersten Bereich in dem ILD (122) und einen zweiten Bereich in der Gateelektrode (116) hat, und wobei der erste und der zweite Bereich eine erste bzw. eine zweite Breite haben und die zweite Breite (W2) größer ist als die erste Bereite (W1); und – eine Kontaktgrenzschicht (126), die die Bodenfläche und die Seitenwandungen des Kontakts (128) abdeckt.
  6. Die Struktur eines IC nach Anspruch 5, weiter mit einer Grenzschicht zwischen dem Gatedielektrikum (114) und der Finne (110).
  7. Die Struktur eines IC nach Anspruch 5, weiter mit einer dielektrischen Schicht zwischen dem Gatedielektrikum (114) und der Finne (110), wobei die dielektrische Schicht einen ersten und einen zweiten Bereich, die an gegenüberliegenden Seiten der Finne (110) ausgebildet sind, aufweist.
  8. Die Struktur eines IC nach einem der Ansprüche 5–7, wobei die Gateelektrode (116) ein Signalmetall und ein Arbeitsfunktionsmetall aufweist.
  9. Die Struktur eines IC nach einem der Ansprüche 1–8, wobei das Verhältnis der zweiten Breite (W2) zu einer dritten Breite (W3) der Finne (110) zwischen etwa 1,2 und 2,5 beträgt.
  10. Die Struktur eines IC nach einem der Ansprüche 2–9, wobei die Kontaktgrenzschicht (126) Titannitride oder Tantalnitride aufweist.
  11. Die Struktur eines IC nach einem der Ansprüche 2–9, wobei die Kontaktgrenzschicht (126) Titanaluminiumnitrid, Titanaluminiumwolframnitrid, Tantalaluminiumnitrid oder Tantalaluminiumwolframnitrid aufweist.
  12. Ein Verfahren zum Bilden der Struktur einer integrierten Schaltung (IC) mit den folgenden Schritten: – Ätzen eines Substrats (102) zur Bildung einer Finne (110); – Bilden eines Gatedielektrikums (114) über eine Oberfläche und wenigstens Bereichen der Seitenwandungen der Finne (110); – Bilden einer Gateelektrode (116) über dem Gatedielektrikum (114); – Bilden eines Zwischenschichtdielektrikums (ILD) (122) über der Gateelektrode (116); – Mustern der ILD (122) zur Erzeugung einer Öffnung (124) in dem ILD (122) unter Freilegen der Gateelektrode (116), wobei die Öffnung (124) eine erste Breite (W1) hat; – isotropisches Ätzen eines Bereichs der Gateelektrode (116) zum Erstrecken der Öffnung (124) in die Gateelektrode (116), wobei ein Bereich der Öffnung in der Gateelektrode (116) eine zweite Breite (W2) hat und wobei die zweite Breite (W2) größer ist als die erste Breite (W1); – Bilden einer Kontaktgrenzschicht (126), die eine Bodenfläche und Seitenwandungen der Öffnung (124) abdeckt; und – Füllen der Öffnung (124) mit einem metallischen Material zur Bildung eines Kontakts (128).
  13. Das Verfahren nach Anspruch 12, wobei das isotrope Ätzen des Bereichs der Gateelektrode (116) das Verwenden eines Nassätzverfahrens in Kombination mit einem Trockenätzverfahren ohne Vorspannung aufweist.
  14. Das Verfahren nach Anspruch 12 oder 13, weiter mit Härten der Struktur der IC.
  15. Das Verfahren nach Anspruch 14, wobei der Schritt des Härten der Struktur der IC bei etwa 250°C bis etwa 450°C ausgeführt wird.
  16. Das Verfahren nach einem der Ansprüche 12 bis 15, weiter mit Bilden einer Grenzschicht zwischen der Finne (110) und der Gateelektrode (116).
  17. Das Verfahren nach einem der Ansprüche 12 bis 16, weiter umfassend: vor dem Bilden des Gatedielektrikums (114): – Bilden einer Dielektrikumsschicht (112) über dem Substrat (102); und – Vertiefen der Dielektrikumsschicht (112) zum Freilegen wenigstens eines Bereichs der Finne (110).
DE201310104197 2013-03-07 2013-04-25 Gate Kontaktstruktur für FinFET und Verfahren zur Herstellung Active DE102013104197B3 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/789,145 2013-03-07
US13/789,145 US9385069B2 (en) 2013-03-07 2013-03-07 Gate contact structure for FinFET

Publications (1)

Publication Number Publication Date
DE102013104197B3 true DE102013104197B3 (de) 2014-07-31

Family

ID=51163770

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201310104197 Active DE102013104197B3 (de) 2013-03-07 2013-04-25 Gate Kontaktstruktur für FinFET und Verfahren zur Herstellung

Country Status (4)

Country Link
US (2) US9385069B2 (de)
KR (1) KR101560871B1 (de)
DE (1) DE102013104197B3 (de)
TW (1) TWI518755B (de)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102307207B1 (ko) 2015-03-25 2021-10-05 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
KR102318410B1 (ko) 2015-04-01 2021-10-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102316119B1 (ko) 2015-04-02 2021-10-21 삼성전자주식회사 반도체 장치
KR102342847B1 (ko) * 2015-04-17 2021-12-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20160322473A1 (en) * 2015-04-30 2016-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer Layer on Gate and Methods of Forming the Same
KR102399023B1 (ko) 2015-06-22 2022-05-16 삼성전자주식회사 반도체 장치
US9748350B2 (en) 2015-10-30 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with enlarged gate electrode structure and method for forming the same
US9893171B2 (en) 2016-06-03 2018-02-13 International Business Machines Corporation Fin field effect transistor fabrication and devices having inverted T-shaped gate
US10516047B2 (en) * 2016-11-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10418453B2 (en) * 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
CN109841525B (zh) * 2017-11-27 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10943990B2 (en) 2018-10-25 2021-03-09 International Business Machines Corporation Gate contact over active enabled by alternative spacer scheme and claw-shaped cap
US11217680B2 (en) 2019-05-23 2022-01-04 International Business Machines Corporation Vertical field-effect transistor with T-shaped gate
DE102020126070A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktbildungsverfahren und entsprechende struktur
US11682707B2 (en) 2020-03-31 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4979014A (en) * 1987-08-10 1990-12-18 Kabushiki Kaisha Toshiba MOS transistor
US6476489B1 (en) * 1994-12-14 2002-11-05 Samsung Electronics Co., Ltd. Apparatus and manufacturing method for semiconductor device adopting NA interlayer contact structure
US20050121703A1 (en) * 2003-12-05 2005-06-09 Katsuhiko Hieda Semiconductor device and method for manufacturing the same

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4670137B2 (ja) * 2000-03-10 2011-04-13 ソニー株式会社 平面型表示装置
US6740595B2 (en) * 2002-04-12 2004-05-25 Infineon Technologies Ag Etch process for recessing polysilicon in trench structures
US7214327B2 (en) * 2002-06-28 2007-05-08 Tokyo Electron Limited Anisotropic dry etching of Cu-containing layers
US6709970B1 (en) * 2002-09-03 2004-03-23 Samsung Electronics Co., Ltd. Method for creating a damascene interconnect using a two-step electroplating process
JP5011727B2 (ja) * 2004-01-30 2012-08-29 日本電気株式会社 電界効果型トランジスタおよびその製造方法
JP4439976B2 (ja) * 2004-03-31 2010-03-24 Necエレクトロニクス株式会社 半導体装置およびその製造方法
KR20050104077A (ko) * 2004-04-28 2005-11-02 주식회사 하이닉스반도체 반도체소자의 게이트콘택 제조 방법
TWI242797B (en) * 2004-06-01 2005-11-01 Nanya Technology Corp Method for forming self-aligned contact of semiconductor device
JP4675585B2 (ja) * 2004-06-22 2011-04-27 シャープ株式会社 電界効果トランジスタ
JP4961668B2 (ja) * 2005-01-11 2012-06-27 富士電機株式会社 半導体装置の製造方法
US7282766B2 (en) * 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
KR100585178B1 (ko) 2005-02-05 2006-05-30 삼성전자주식회사 금속 게이트 전극을 가지는 FinFET을 포함하는반도체 소자 및 그 제조방법
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
JP4598047B2 (ja) * 2007-11-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
US7888192B2 (en) 2008-11-10 2011-02-15 Texas Instruments Incorporated Process for forming integrated circuits with both split gate and common gate FinFET transistors
DE102008059646B4 (de) * 2008-11-28 2010-12-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements als Mehr-Gatetransistor mit Stegen mit einer Länge, die durch die Gateelektrode definiert ist und Halbleiterbauelement
CN102122645B (zh) 2010-01-08 2014-03-12 中芯国际集成电路制造(上海)有限公司 集成电路结构、其制造方法和使用方法
US8575653B2 (en) 2010-09-24 2013-11-05 Intel Corporation Non-planar quantum well device having interfacial layer and method of forming same
US9048334B2 (en) 2011-08-22 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure
US8546227B2 (en) * 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US9147765B2 (en) * 2012-01-19 2015-09-29 Globalfoundries Inc. FinFET semiconductor devices with improved source/drain resistance and methods of making same
US8809178B2 (en) * 2012-02-29 2014-08-19 Globalfoundries Inc. Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents
US20130256802A1 (en) * 2012-03-27 2013-10-03 International Business Machines Corporation Replacement Gate With Reduced Gate Leakage Current

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4979014A (en) * 1987-08-10 1990-12-18 Kabushiki Kaisha Toshiba MOS transistor
US6476489B1 (en) * 1994-12-14 2002-11-05 Samsung Electronics Co., Ltd. Apparatus and manufacturing method for semiconductor device adopting NA interlayer contact structure
US20050121703A1 (en) * 2003-12-05 2005-06-09 Katsuhiko Hieda Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
TW201435997A (zh) 2014-09-16
US20140252496A1 (en) 2014-09-11
TWI518755B (zh) 2016-01-21
US20160300720A1 (en) 2016-10-13
US9385069B2 (en) 2016-07-05
KR20140110682A (ko) 2014-09-17
KR101560871B1 (ko) 2015-10-15
US9761677B2 (en) 2017-09-12

Similar Documents

Publication Publication Date Title
DE102013104197B3 (de) Gate Kontaktstruktur für FinFET und Verfahren zur Herstellung
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102013105608B3 (de) FinFET mit Metallgate und Gate-Kontaktstruktur und Herstellungsverfahren dafür
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102014203524B4 (de) Verfahren zum Ausbilden von defektarmen Ersatzflossen für ein FinFET-Halbleiterbauelement sowie daraus resultierende Bauelemente
DE112006001735B4 (de) Blockkontaktarchitekturen für Transistoren mit Kanälen in einer Nano-Größenordnung und Verfahren zum Ausbilden
DE102013220852B4 (de) Integrierte Schaltungen und Verfahren zum Herstellen von integrierten Schaltungen mit Metall-Gate-Elektroden
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102013103812B4 (de) Halbleiterbauteil mit Verbindungen über mehrere Ebenen sowie Verfahren zur Ausbildung desselben
DE102018113843B4 (de) Finnen- und Gateabmessungen zum Optimieren einer Gateausbildung
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102016100049A1 (de) Verfahren und Struktur für Halbleitermid-End-Of-Line- (MEOL) -Prozess
DE102017123334A1 (de) Gatestapel für i/o-vorrichtungen mit gestapeltem finnenkanal und nanodrahtkanal-kernvorrichtungen
DE102017117942A1 (de) Multi-Gate-Vorrichtung und Herstellungsverfahren dafür
DE102017103674A1 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit Mehrschicht-Kanalstruktur
DE102012110995A1 (de) Verfahren zum Herstellen einer FinFET-Vorrichtung
DE102008025708A1 (de) Kontaktstruktur für FinFET-Bauelement
DE102020125837A1 (de) Kapazitätsreduzierung für eine vorrichtung mit einer rückseitigen leistungsversorgungsschiene
DE102020207521A1 (de) Asymmetrische gate-schnittisolation für sram
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
DE112016000183T5 (de) Spannungslösung in PFET-Bereichen
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102020111602A1 (de) Gate-strukturierungsprozess für mehr-gate-vorrichtungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R006 Appeal filed
R007 Decision rectified on appeal
R018 Grant decision by examination section/examining division
R020 Patent grant now final