DE102013101113A1 - Vorrichtung und Verfahren für einen Leistungs-MOS-Transistor - Google Patents

Vorrichtung und Verfahren für einen Leistungs-MOS-Transistor Download PDF

Info

Publication number
DE102013101113A1
DE102013101113A1 DE102013101113.6A DE102013101113A DE102013101113A1 DE 102013101113 A1 DE102013101113 A1 DE 102013101113A1 DE 102013101113 A DE102013101113 A DE 102013101113A DE 102013101113 A1 DE102013101113 A1 DE 102013101113A1
Authority
DE
Germany
Prior art keywords
drain
region
gate electrode
trench
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102013101113.6A
Other languages
English (en)
Other versions
DE102013101113B4 (de
Inventor
Po-Chih Su
Hsueh-Liang Chou
Chung-Wai Ng
Ruey-Hsin Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013101113A1 publication Critical patent/DE102013101113A1/de
Application granted granted Critical
Publication of DE102013101113B4 publication Critical patent/DE102013101113B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0886Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/781Inverted VDMOS transistors, i.e. Source-Down VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/2815Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects part or whole of the electrode is a sidewall spacer or made by a similar technique, e.g. transformation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Ein Leistungs-MOS-Transistor (100) umfasst einen Drain-Kontaktanschluss (112), der über einer ersten Seite eines Substrats (104) gebildet ist, einen Source-Kontaktanschluss (102), der über einer zweiten Seite des Substrats (104) gebildet ist, und einen Graben (132), der zwischen einem ersten Drain-/Source-Bereich (110, 108, 122/124) und einem zweiten Drain-/Source-Bereich gebildet ist. Der Graben (132) umfasst eine erste Gate-Elektrode (128) und eine zweite Gate-Elektrode, wobei die oberen Oberflächen der ersten Gate-Elektrode (128) und der zweiten Gate-Elektrode auf gleicher Höhe mit einer unteren Oberfläche des Drain-Bereiches (110, 108, 122) ausgerichtet sind. Der Graben (132) umfasst weiterhin eine Feldplatte (116), die zwischen der ersten Gate-Elektrode (128) und der zweiten Gate-Elektrode gebildet ist, wobei die Feldplatte (116) mit dem Source-Bereich (124) elektrisch verbunden ist.

Description

  • HINTERGRUND
  • Die Halbleiterindustrie ist aufgrund von Verbesserungen in der Integrationsdichte von verschiedenartigen elektronischen Komponenten (zum Beispiel von Transistoren, Dioden, Widerständen, Kondensatoren, etc.) schnell gewachsen. Zum größten Teil resultiert diese Verbesserung in der Integrationsdichte aus einer Verkleinerung des Halbleiter-Prozessknotens (zum Beispiel Verkleinern der Prozessknoten auf den sub-20nm-Knoten hin). Um Halbleiterbauteile herunterzuskalieren, werden neue Techniken benötigt, um die Leistung der elektronischen Komponenten von einer Generation zur nächsten beizubehalten. Zum Beispiel sind für Hochleistungsanwendungen ein geringer Betriebswiderstand, eine geringe Gate-Ladung und Leistungstransistoren mit einer hohen Durchschlagspannung wünschenswert.
  • Mit der Entwicklung der Halbleitertechnologien wurden in heutigen integrierten Schaltkreisen Metall-Oxid-Halbleiter(MOS)-Transistoren umfassend eingesetzt. MOS-Transistoren sind spannungsgesteuerte Bauteile. Wenn an das Gate eines MOS-Transistors eine Steuerspannung angelegt wird und die Steuerspannung größer als ein Schwellwert des MOS-Transistors ist, entsteht zwischen Drain und Source des MOS-Transistors eine leitende Verbindung. Als Folge fließt zwischen Drain und Source des MOS-Transistors ein Strom. Wenn jedoch die Steuerspannung, die an das Gate des MOS-Transistors angelegt ist, kleiner als der Schwellwert des MOS-Transistors ist, ist der MOS-Transistor abgeschaltet.
  • MOS-Transistoren können in zwei Hauptkategorien eingeteilt werden. Einerseits in n-Kanal-MOS-Transistoren; und andererseits in p-Kanal-MOS-Transistoren. Weiterhin können MOS-Transistoren nach einem Strukturunterschied in zwei Unterkategorien eingeteilt werden, nämlich in planare MOS-Transistoren und in vertikale MOS-Transistoren.
  • Vertikale Leistungs-MOS-Transistoren sind umfassend für Hochspannungs- und Stromanwendungen verwendet worden, da sie eine geringe Gate-Betriebsleistung, eine schnelle Schaltgeschwindigkeit und einen geringen Betriebswiderstand aufweisen. In einem vertikalen Leistungs-MOSFET sind Drain und Source auf gegenüberliegenden Seiten eines Wafers angeordnet. Zwischen Drain und Source eines vertikalen Leistungs-MOS-Transistors kann eine Grabenstruktur gebildet sein.
  • KURZBESCHREIBUNG DER FIGUREN
  • Für ein umfassenderes Verständnis der vorliegenden Offenbarung sowie ihrer Vorteile wird nun Bezug auf die folgenden Beschreibungen zusammen mit den beigefügten Zeichnungen genommen, in denen:
  • 1 eine Schnittansicht eines Bottom-Source-Grabenleistungs-MOSFET gemäß einer Ausführungsform darstellt;
  • 2 eine Schnittansicht eines Halbleiterbauteils darstellt, das einen Bottom-Source-Grabenleistungs-MOSFET gemäß einer Ausführungsform umfasst;
  • 3 eine Schnittansicht eines Substrates gemäß einer Ausführungsform darstellt;
  • 4 eine Schnittansicht des Halbleiterbauteils aus 3 darstellt, nachdem gemäß einer Ausführungsform von dem Substrat eine Epitaxialschicht gewachsen ist;
  • 5 eine Schnittansicht des Halbleiterbauteils aus 4 darstellt, nachdem gemäß einer Ausführungsform von der p-leitenden Epitaxialschicht eine weitere Epitaxialschicht gewachsen ist;
  • 6 eine Schnittansicht des Halbleiterbauteils aus 5 darstellt, nachdem gemäß einer Ausführungsform auf dem Halbleiterbauteil eine dielektrische Schicht abgeschieden wurde;
  • 7 eine Schnittansicht des Halbleiterbauteils aus 6 darstellt, nachdem gemäß einer Ausführungsform auf der dielektrischen Schicht eine Hartmaskenschicht abgeschieden wurde;
  • 8 eine Schnittansicht des Halbleiterbauteils aus 7 darstellt, nachdem gemäß einer Ausführungsform in den Epitaxialschichten ein erster Graben gebildet wurde;
  • 9 eine Schnittansicht des Halbleiterbauteils aus 8 darstellt, nachdem gemäß einer Ausführungsform in dem Graben eine erste dielektrische Gate-Schicht gebildet wurde;
  • 10 eine Schnittansicht des Halbleiterbauteils aus 9 darstellt, nachdem gemäß einer Ausführungsform in dem Graben eine Gate-Elektrodenschicht gebildet wurde;
  • 11 eine Schnittansicht des Halbleiterbauteils aus 10 darstellt, nachdem gemäß einer Ausführungsform auf die Gate-Elektrodenschicht ein Ätzprozess angewendet wurde;
  • 12 eine Schnittansicht des Halbleiterbauteils aus 11 darstellt, nachdem gemäß einer Ausführungsform ein n+-Bereich gebildet wurde;
  • 13 eine Schnittansicht des Halbleiterbauteils aus 12 darstellt, nachdem gemäß einer Ausführungsform zwei n-leitende Drain-Drift-Bereiche gebildet wurden;
  • 14 eine Schnittansicht des Halbleiterbauteils aus 13 darstellt, nachdem gemäß einer Ausführungsform in dem Graben und auf der Oberfläche des Halbleiterbauteils eine Oxidschicht abgeschieden wurde;
  • 15 eine Schnittansicht des Halbleiterbauteils aus 14 darstellt, nachdem gemäß einer Ausführungsform auf den Bodenabschnitt der Oxidschicht ein anisotroper Ätzprozess angewendet wurde;
  • 16 eine Schnittansicht des Halbleiterbauteils aus 15 darstellt, nachdem gemäß einer Ausführungsform ein zweiter Graben gebildet wurde;
  • 17 eine Schnittansicht des Halbleiterbauteils aus 16 darstellt, nachdem gemäß einer Ausführungsform ein p+-Bereich gebildet wurde;
  • 18 eine Schnittansicht des Halbleiterbauteils aus 17 darstellt, nachdem gemäß einer Ausführungsform in dem Graben eine Feldplatte gebildet wurde;
  • 19 eine Schnittansicht des Halbleiterbauteils aus 18 darstellt, nachdem gemäß einer Ausführungsform auf die Feldplatte ein Rückätzprozess angewendet wurde;
  • 20 eine Schnittansicht des Halbleiterbauteils aus 19 darstellt, nachdem gemäß einer Ausführungsform auf die obere Oberfläche des Halbleiterbauteils ein Prozess zur Entfernung der Hartmaske angewendet wurde;
  • 21 eine Schnittansicht des Halbleiterbauteils aus 20 darstellt, nachdem gemäß einer Ausführungsform in der n-leitenden Epitaxialschicht ein n+-Bereich gebildet wurde;
  • 22 eine Schnittansicht des Halbleiterbauteils aus 21 darstellt, nachdem gemäß einer Ausführungsform in dem Graben ein dielektrischer Bereich gebildet wurde; und
  • 23 eine Schnittansicht des Halbleiterbauteils aus 22 darstellt, nachdem gemäß einer Ausführungsform Drain- und Source Kontaktanschlüsse gebildet wurden.
  • Ziffern und Symbole, die in den unterschiedlichen Figuren einander entsprechen, beziehen sich im Allgemeinen, wenn nicht anders angegeben, auf einander entsprechende Teile. Die Figuren sollen die relevanten Einzelheiten der verschiedenartigen Ausführungsformen deutlich veranschaulichen und sind nicht notwendigerweise maßstabsgetreu gezeichnet.
  • AUSFÜHRLICHE BESCHREIBUNG BEISPIELHAFTER AUSFÜHRUNGSFORMEN
  • Die Herstellung und Verwendung der vorliegenden Ausführungsformen wird im Folgenden ausführlich erläutert. Es ist jedoch zu beachten, dass die vorliegende Offenbarung viele anwendbare erfinderische Konzepte zur Verfügung stellt, die in einer breiten Vielfalt spezieller Zusammenhänge ausgeführt werden können. Die konkret beschriebenen Ausführungsformen sind lediglich beispielhaft für konkrete Herstellungs- und Verwendungsarten der offenbarten Ausführungsformen und beschränken nicht den Umfang der Offenbarung.
  • Die vorliegende Offenbarung beschreibt Ausführungsformen in einem konkreten Zusammenhang, nämlich Bottom-Source-Leistungs-Metalloxid-Halbleiter-Feldeffekt-Transistoren (MOSFETs). Die Ausführungsformen der Offenbarung können jedoch auch auf eine Vielfalt von Hochspannungstransistoren angewendet werden. Weiterhin können die Ausführungsformen der Offenbarung auf eine Vielfalt von Strukturen von Halbleiterbauteilen angewendet werden. Nachstehend werden verschiedenartige Ausführungsformen mit Bezug auf die beigefügten Zeichnungen ausführlich beschrieben.
  • 1 ist eine Schnittansicht eines Bottom-Source-Grabenleistungs-MOSFETs gemäß einer Ausführungsform. Wie in 1 gezeigt, sind ein Source-Kontaktanschluss 102 und ein Drain-Kontaktanschluss 112 des Bottom-Source-Grabenleistungs-MOSFET 100 auf gegenüberliegenden Seiten eines Wafers gefertigt. Insbesondere ist der Source-Kontaktanschluss 102 unter einem p+-Substrat 104 gebildet. Der Drain-Kontaktanschluss 112 ist über einem p+-Substrat 104 gebildet. Der Bottom-Source-Grabenleistungs-MOSFET 100 umfasst weiterhin eine p-leitende Epitaxialschicht 106, die von dem p+-Substrat 104 gewachsen ist. Ein n+-Source-Bereich 124 ist in der p-leitenden Epitaxialschicht 106 gebildet.
  • Ein n+-Drain-Bereich 110 ist unter dem Drain-Kontaktanschluss 112 gebildet. Eine n-leitende Epitaxialschicht 108 ist zwischen dem n+-Drain-Bereich 110 und der p-leitenden Epitaxialschicht 106 gebildet.
  • Wie in 1 gezeigt, kann zwischen dem n+-Source-Bereich 124 und dem Drain-Kontaktanschluss 112 ein Graben 132 gebildet sein. Der Graben umfasst eine Feldplatte 116, eine Oxidschicht 114, eine erste dielektrische Gate-Schicht 902, eine zweite dielektrische Gate-schicht 1402 und zwei Gate-Bereiche 128. Die Gate-Bereiche 128 sind in der unteren Hälfte des Grabens 132 gebildet. Die Feldplatte 116 ist zwischen zwei Gate-Bereichen 128 gebildet und über einen p+-Bereich 126 und das p+-Substrat 104 elektrisch mit dem Source-Kontaktanschluss (sog. Kontakt-"Plug") 102 verbunden.
  • Im Vergleich zu herkömmlichen Graben-MOS-Transistoren, die in einem Gate-Graben einen einzigen Gate-Bereich aufweisen, hat der Bottom-Source-Grabenleistungs-MOSFET 100 einen vergleichsweise kleinen Gate-Bereich, da der Gate-Bereich, wie in 1 gezeigt, in zwei kleinere Gate-Bereiche aufgeteilt ist. Zusätzlich ist die Feldplatte 116 eingebracht, um die Öffnung zwischen den in 1 gezeigten Gate-Bereichen zu füllen. Aufgrund einer verringerten Fläche des Gate-Bereiches ist die Gate-Ladung des Bottom-Source-Grabenleistungs-MOSFET 100 entsprechend verringert. Kurz ausgedrückt ergibt sich daraus, dass die Feldplatte 116 mit dem Kontaktanschluss 102 verbunden ist, das vorteilhafte Merkmal einer verbesserten Gate-Ladungskapazität. Als Folge können die Schaltverluste des Bottom-Source-Grabenleistungs-MOSFET 100 verringert werden.
  • Die Oxidschicht 114, die erste dielektrische Gate-Schicht 902 und die zweite dielektrische Gate-Schicht 1402 füllen den freien Raum des Grabens 132, sodass die Gate-Bereiche 128, die Feldplatte 116 und der Drain-Kontaktanschluss 112 gegeneinander isoliert sind. Gemäß einer Ausführungsform wirkt die zweite dielektrische Gate-Schicht 1402 als Isolationsschicht zwischen der Feldplatte 116 und den Gate-Bereichen 128. Die zweite dielektrische Gate-Schicht 1402 hat eine Dicke von D1, die in einem Bereich von etwa 0,1 µm bis etwa 0,5 µm liegt.
  • Der Bottom-Source-Grabenleistungs-MOSFET 100 kann zwei n-leitende Drain-Drift(NDD)-Bereiche umfassen, die entlang des Außenumfanges des Grabens gebildet sind. Die NDD-Bereiche 122 sind zu dem Graben symmetrisch. Die NDD-Bereiche 122 sind eine Verlängerung des Drains und sind elektrisch mit dem Drain-Kontaktanschluss 112 verbunden.
  • In einer Ausführungsform wird der Drain-Bereich des Bottom-Source-Grabenleistungs-MOSFET 100 durch den n+-Drain-Bereich 110, die n-leitende Epitaxialschicht 108 und die NDD-Bereiche 122 gebildet. Um den Drain-Bereich mit den äußeren Schaltkreisen (nicht gezeigt) zu verbinden, ist der Drain-Bereich mit dem Drain-Kontaktanschluss 112 verbunden. Um die Gate-zu-Drain-Kapazität zu verringern, können die NDD-Bereiche 122 entlang der Gate-Bereiche 128 gebildet werden. Insbesondere werden die Gate-Bereiche 128 in einem NDD-Ionenimplantationsprozess als Ionenimplantationsmasken verwendet, um zu verhindern, dass die Ionen aus den NDD-Bereichen in die Bereiche eintreten, die unter den oberen Bereichen der Gate-Bereiche 128 angeordnet sind. Als Folge liegen die unteren Abschnitte der NDD-Bereiche 122 auf gleicher Höhe wie die oberen Abschnitte der Gate-Bereiche 128.
  • 2 zeigt eine Schnittansicht eines Halbleiterbauteils gemäß einer Ausführungsform, welches einen Bottom-Source-Grabenleistungs-MOSFET umfasst. Das Halbleiterbauteil 200 beinhaltet vier Bereiche, nämlich einen ersten Bereich 202 zur Bildung eines Bottom-Source-Grabenleistungs-MOSFET-Bauteils, einen zweiten Bereich 204 zur Bildung eines planaren NMOS-Bauteils, einen dritten Bereich 206 zur Bildung eines planaren PMOS-Bauteils, einen vierten Bereich 208 zur Bildung eines Niederspannungs-NMOS-Bauteils und einen fünften Bereich 210 zur Bildung eines Niederspannungs-PMOS-Bauteils. Jeder der Bereiche 202, 204, 206, 208 und 210 wird durch Isolationsbereiche, wie zum Beispiel durch flache Grabenisolations-(STI = "shallow trench isolation")-Bereiche, definiert. Alternativ können Feldoxide zu Isolationsbereichen ausgebildet sein.
  • Wie in 2 gezeigt, wird zur Integration des Bottom-Source-Grabenleistungs-MOSFET-Bauteils mit den seitlichen MOS-Bauteilen (zum Beispiel einem planaren NMOS-Bauteil) ein tiefer p-Topf, wie in 2 gezeigt, verwendet, um die seitlichen MOS-Bauteile von dem
  • Bottom-Source-Grabenleistungs-MOSFET Bauteil zu isolieren. Der Bottom-Source-Grabenleistungs-MOSFET 100, der in 1 gezeigt ist, bringt das vorteilhafte Merkmal mit sich, dass die Bottom-Source-Grabenleistungs-MOSFET-Struktur mit seitlichen MOS-Bauteilen integrierbar ist. Daher kann der bestehende Herstellungsprozess für seitliche Bauteile weiterhin verwendet werden. Der bestehende Herstellungsprozess für seitliche Bauteile hilft die Herstellungskosten eines Bottom-Source-Grabenleistungs-MOSFET zu reduzieren.
  • Die 3 bis 22 zeigen Zwischenschritte bei der Herstellung des Bottom-Source-Grabenleistungs-MOSFET 100, der in 1 gezeigt ist, gemäß einer Ausführungsform. 3 zeigt eine Schnittansicht eines Substrates 104 gemäß einer Ausführungsform. Das Substrat 104 kann aus Silizium, aus Siliziumgermanium, aus Siliziumcarbit oder Ähnlichem bestehen. Gemäß einer Ausführungsform kann das Substrat 104 ein p+-Substrat sein, das mit einem p-leitenden Fremdstoff, wie Bor, Indium oder Ähnlichem dotiert ist. Das Substrat 104 weist eine Dotierungsdichte auf, die in einem Bereich von etwa 1018/cm3 bis etwa 1021/cm3 liegt.
  • 4 zeigt eine Schnittansicht des in 3 gezeigten Halbleiterbauteils, nachdem von dem p-leitenden Substrat 104 gemäß einer Ausführungsform eine Epitaxialschicht gewachsen ist. Die p-leitende Epitaxialschicht 106 ist von dem p-leitenden Substrat 104 gewachsen. Das epitaktische Wachstum der p-leitenden Epitaxialschicht 106 kann unter Verwendung geeigneter Halbleiterherstellungsprozesse, wie chemischer Aufdampfung (CVD), chemischer Aufdampfung bei ultrahohem Vakuum (UHV-CVD) und Ähnliche, ausgeführt werden. Gemäß einer Ausführungsform weist die p-leitende Epitaxialschicht 106 eine Dotierungsdichte auf, die in einem Bereich von etwa 1014/cm3 bis etwa 1016/cm3 liegt.
  • 5 zeigt eine Schnittansicht des in 4 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine weitere Epitaxialschicht von der p-leitenden Epitaxialschicht gewachsen ist. Die n-leitende Epitaxialschicht 108 ist von der p-leitenden Epitaxialschicht 106 gewachsen. Das epitaktische Wachstum der n-leitenden Epitaxialschicht 108 kann unter Verwendung geeigneter Herstellungsprozesse wie CVD, UHV-CVD und Ähnlichen, ausgeführt werden. Gemäß einer Ausführungsform weist die n-leitende Epitaxialschicht 108 eine Dotierungsdichte auf, die in einem Bereich von etwa 1014/cm3 bis etwa 1016/cm3 liegt.
  • 6 zeigt eine Schnittansicht des in 5 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine dielektrische Schicht auf dem Halbleiterbauteil abgeschieden wurde. Die dielektrische Schicht 602 kann eine Oxidschicht umfassen. Die dielektrische Schicht 602 kann durch einen Oxidationsprozess, wie nasse oder trockene thermische Oxidation in einer Umgebung, die ein Oxid, H2O, NO oder eine Kombination daraus umfasst, oder durch CVD-Techniken, die Tetraethylorthosilikat (TEOS) und Sauerstoff als Vorprodukt verwenden, gebildet werden.
  • 7 zeigt eine Schnittansicht des in 6 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform auf der dielektrischen Schicht eine Hartmaskenschicht abgeschieden wurde. Die Hartmaskenschicht 702 dient als Ätzmaske. Die Hartmaskenschicht 702 kann aus geeigneten Materialien, wie Siliziumnitrid, gebildet sein. Innerhalb der gesamten Beschreibung kann die Hartmaskenschicht 702 alternativ auch als Nitridschicht 702 bezeichnet sein. Die Nitridschicht 702 ist mit Hilfe geeigneter Herstellungstechniken, wie CVD oder Ähnlichen, auf der dielektrischen Schicht 602 abgeschieden.
  • 8 zeigt eine Schnittansicht des in 7 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform in den Epitaxialschichten ein erster Graben gebildet wurde. Die Nitridschicht 702 wird unter Beachtung der Position des Grabens 132 des Bottom-Source-Grabenleistungs-MOSFET 100 (in 1 gezeigt) strukturiert. Im Anschluss wird der Graben 802 in einem Ätzprozess gebildet. Der Ätzprozess kann eine reaktive Ionenätzung (RIE) oder eine andere Trockenätzung, eine anisotrope Nassätzung oder einen anderen geeigneten anisotropen Ätz- oder Strukturierungsprozess umfassen. Die Epitaxialschichten, welche die n-leitende Epitaxialschicht 108 und die p-leitende Epitaxialschicht 106 beinhalten, werden geätzt, um den ersten Graben 802 zu bilden. Wie in 8 gezeigt, kann der Ätzprozess durch die n-leitende Epitaxialschicht 108 hindurch ätzen und teilweise die p-leitende Epitaxialschicht 106 ätzen, um den ersten Graben 802 zu bilden.
  • 9 zeigt eine Schnittansicht des in 8 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform in dem Graben eine erste dielektrische Gate-Schicht gebildet wurde. Wie in 9 gezeigt ist, ist die erste dielektrische Gate-Schicht 902 auf dem Boden des ersten Grabens 802 sowie auf den Seitenwänden des ersten Grabens 802 gebildet. Die erste dielektrische Gate-Schicht 902 kann aus häufig verwendeten dielektrischen Materialien, wie aus Oxiden, aus Nitriten, aus Oxynitriten, aus High-k-Materialien, aus Kombinationen aus diesen sowie aus Mehrfachschichten aus diesen gebildet werden. Gemäß einer Ausführungsform ist die erste dielektrische Gate-Schicht 902 eine Oxidschicht. Die erste dielektrische Gate-Schicht 902 kann unter Verwendung geeigneter thermischer Behandlungstechniken, Nassbehandlungstechniken oder Abscheidungstechniken wie PVD, CVD, ALD oder Ähnliche gebildet werden.
  • 10 zeigt eine Schnittansicht des in 9 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform in dem Graben eine Gate-Elektrodenschicht gebildet wurde. Die Gate-Elektrodenschicht 1002 kann aus einem leitfähigen Material, wie z.B. aus einem Metall (zum Beispiel Tantal, Titan, Molybdän, Wolfram, Platin, Aluminium, Hafnium, Ruthenium), aus einem Metallsilizid (zum Beispiel Titansilizid, Kobaltsilizid, Nickelsilizid, Tantalsilizid), aus einem Metallnitrid (zum Beispiel Titannitrid, Tantalnitrid), aus dotiertem polykristallinem Silizium, aus anderen leitfähigen Materialien, oder aus einer Kombination aus diesen bestehen. In einem Beispiel ist amorphes Silizium abgeschieden und rekristallisiert, so dass polykristallines Silizium (Polysilizium) gebildet wird.
  • Gemäß einer Ausführungsform wird die Gate-Elektrodenschicht 1002 aus Polysilizium gebildet. Die Gate-Elektrodenschicht 1002 kann durch Abscheidung von dotiertem oder undotiertem Polysilizium mit Hilfe von chemischer Aufdampfung unter geringem Druck (LPCVD) gebildet werden. Gemäß einer anderen Ausführungsform wird die Gate-Elektrodenschicht 1002 aus metallischen Materialien wie Titannitrid, Tantalnitrid, Wolframnitrid, Titan, Tantal und/oder aus Kombinationen gebildet. Die Metall-Gate-Elektrodenschicht kann auf der ersten dielektrischen Gate-Schicht 902 unter Verwendung geeigneter Abscheidungstechniken wie ALD, CVD, PVD und Ähnlichen gebildet werden.
  • Die oben genannten Abscheidungstechniken sind aus dem Stand der Technik wohlbekannt und werden daher vorliegend nicht beschrieben.
  • 11 zeigt eine Schnittansicht des in 10 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform auf die Gate-Elektrodenschicht ein Ätzprozess angewendet wurde. Ein Ätzprozess kann angewendet werden, um unerwünschte Abschnitte der Gate-Elektrodenschicht zu entfernen, um die Gate-Elektroden 128, wie in 11 gezeigt, zu bilden. Gemäß einer Ausführungsform ist das Gate-Elektrodenmaterial polykristallines Silizium. Der Ätzprozess kann ein nasser oder trockener, ein anisotroper oder isotroper Ätzprozess sein, ist jedoch vorzugsweise ein anisotroper trockener Ätzprozess. Wie in 11 gezeigt, liegt die obere Oberfläche der Gate-Elektrode 128 unterhalb der unteren Oberfläche der n-leitenden Epitaxialschicht 108.
  • 12 zeigt eine Schnittansicht des in 11 gezeigten Halbleiterbauteils nachdem gemäß einer Ausführungsform in der p-leitenden Epitaxialschicht ein n+-Bereich gebildet wurde. Wie in 12 gezeigt, ist der n+-Bereich 124 mit Hilfe eines geeigneten Herstellungsprozesses, wie einem Ionenimplantationsprozess, gebildet. Gemäß einer Ausführungsform kann der n+-Bereich 124 als Source-Bereich des in 1 gezeigten Bottom-Source-Grabenleistungs-MOSFET 100 dienen.
  • In einer Ausführungsform, in der das Substrat 104 ein p-leitendes Substrat ist, kann der Source-Bereich 124 durch Implantation geeigneter n-leitender Dotierungsmittel, wie Phosphor, Arsen oder Ähnliche, gebildet werden. Alternativ wird in einer Ausführungsform, in der das Substrat 104 ein n-leitendes Substrat ist, der Source-Bereich 124 durch Implantation geeigneter p-leitender Dotierungsmittel, wie Bor, Gallium, Indium oder Ähnliche, gebildet. Gemäß einer Ausführungsform weist der Source-Bereich 124 eine Dotierungsdichte auf, die in einem Bereich von etwa 1019/cm3 bis etwa 1021/cm3 liegt.
  • 13 zeigt eine Schnittansicht des in 12 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform zwei n-leitende Drain-Drift-Bereiche gebildet wurden. Wie in 13 gezeigt, können die n-leitenden Drain-Drift-Bereiche 122 durch geeignete Herstellungsprozesse, wie einen Ionenimplantationsprozess unter einem geneigten Winkel, gebildet werden. Gemäß einer Ausführungsform werden die n-leitenden Drain-Drift-Bereiche 122 durch Implantation geeigneter n-leitender Dotierungsmittel, wie Phosphor, gebildet. Es ist zu beachten, dass andere n-leitende Dotierungsmittel, wie Arsen, Stickstoff, Antimon, eine Kombination aus diesen oder Ähnliche, alternativ verwendet werden können. Gemäß einer Ausführungsform weisen die n-leitenden Drain-Drift-Bereiche 122 eine Dotierungsdichte auf, die in einem Bereich von etwa 1015/cm3 bis etwa 1018/cm3 liegt.
  • Wie in 13 gezeigt, werden die Richtungen des Ionenimplantationsprozesses unter einem geneigten Winkel durch Pfeile 1302 dargestellt. Indem die Richtungen der Ionenimplantation, wie durch die Pfeile 1302 in 13 gezeigt, gesteuert werden, können die Gate-Bereiche 128 als Ionenimplantationsmasken wirken. Als Folge verhindern die Gate-Bereiche 128, dass Ionen in die Bereiche unter den oberen Abschnitten der Gate-Bereiche 128 eintreten. Wie in 13 gezeigt, sind die unteren Abschnitte der n-leitenden Drain-Driftbereiche 122 nach der Anwendung des Ionenimplantationsprozesses annähernd auf gleicher Höhe mit den oberen Abschnitten der Gate-Elektroden 128 angeordnet.
  • Eine derartige Ausrichtung zwischen den n-leitenden Drain-Drift-Bereichen 122 und den Gate-Elektroden 128 zu haben, hat das vorteilhafte Merkmal, dass die Gate-zu-Drain-Kapazität des Bottom-Source-Graben-Leistungs-MOSFETs 100 entsprechend verringert werden kann. Die derartig verringerte Gate-zu-Drain-Kapazität hilft, die Schaltverluste des Botton-Source-Graben-Leistungs-MOSFETs 100 weiter zu verbessern.
  • 14 zeigt eine Schnittansicht des in 13 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform eine zweite dielektrische Schicht in dem Graben und auf der Oberfläche des Halbleiterbauteils abgeschieden wurde. Die zweite dielektrische Gate-Schicht 1402 kann aus Oxid bestehen. Die zweite dielektrische Gate-Schicht 1402 kann durch einen geeigneten Oxidationsprozess, wie einen nassen oder trockenen thermischen Oxidationsprozess, CVD oder Ähnliche, gebildet werden. Gemäß einer Ausführungsform wird der Oxidationsprozess derart gesteuert, dass die zweite dielektrische Gateschicht 1402 eine Dicke in einem Bereich von etwa 0,1 µm bis etwa 0,5 µm aufweist.
  • 15 zeigt eine Schnittansicht des in 14 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform ein anisotroper Ätzprozess auf den unteren Abschnitt der Oxidschicht angewendet wurde. Ein Ätzprozess wird angewendet, um den unteren Abschnitt der zweiten dielektrischen Gate-Schicht 1402 zu entfernen. Der Ätzprozess kann eine reaktive Ionenätzung (RIE) oder eine andere Trockenätzung, eine anisotrope Nassätzung oder eine andere geeignete anisotrope Ätzung oder einen Strukturierungsprozess umfassen. Als Folge ist der mittlere Abschnitt der oberen Oberfläche des n+-Bereiches 124 frei von Oxid.
  • 16 zeigt eine Schnittansicht des in 15 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform ein zweiter Graben gebildet wurde. Ähnlich wie bei der Bildung des ersten Grabens, der in 8 gezeigt ist, wird ein Ätzprozess, wie eine reaktive Ionenätzung (RIE) oder eine andere Trockenätzung, eine anisotrope Nassätzung oder eine andere geeignete anisotrope Ätzung oder ein Strukturierungsprozess auf die obere Oberfläche des n+-Bereiches 124 angewendet. Als Folge wird ein zweiter Graben 1602 gebildet. Wie in 16 gezeigt, kann der Ätzprozess, um den zweiten Graben 1602 zu bilden, durch den n+-Bereich 124 hindurch ätzen und teilweise die p-leitende Epitaxialschicht 106 ätzen.
  • 17 zeigt eine Schnittansicht des in 16 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform ein p+-Bereich gebildet wurde. Der p+-Bereich 126 ist neben dem n+-Bereich 124 gebildet. Der p+-Bereich 126 kann gebildet werden, indem ein p-leitendes Dotierungsmittel, wie Bor in einer Konzentration zwischen etwa 1019/cm3 bis etwa 1021/cm3 implantiert wird. Wie in 17 gezeigt, ist der untere Abschnitt des zweiten Grabens 1602 von dem p+-Bereich 126 umgeben.
  • 18 zeigt eine Schnittansicht des in 17 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform in dem Graben eine Feldplatte gebildet wurde. Die Feldplatte 116 kann aus leitfähigen Materialien, wie Tantal, Titan, Molybdän, Wolfram, Platin, Aluminium, Hafnium, Ruthenium oder aus einer Kombination aus diesen gebildet sein. Gemäß einer Ausführungsform ist die Feldplatte 116 aus Wolfram gebildet. Die Feldplatte 116 kann gebildet werden, indem Wolfram mit einem geeigneten Herstellungsprozess wie chemischer Bedampfung bei niedrigem Druck (LPCVD) abgeschieden wird.
  • 19 zeigt eine Schnittansicht des in 18 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform auf die Feldplatte ein Rückätzprozess angewendet wurde. Ein Rückätzprozess wird oben auf der Feldplatte 116 angewendet. Als Folge wird ein Abschnitt der Feldplatte 116 entfernt. Gemäß einer Ausführungsform hängt die Durchschlagsspannung des in 1 gezeigten Bottom-Source-Graben-Leistungs-MOSFETs 100 von der Höhe der Feldplatte 116 ab. Der Rückätzprozess kann daher derart gesteuert werden, dass die Höhe der Feldplatte 116 die Anforderung für die Durchschlagsspannung des Bottom-Source-Graben-Leistungs-MOSFETs 100 erfüllt.
  • 20 zeigt eine Schnittansicht des in 19 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform auf die obere Oberfläche des Halbleiterbauteils ein Hartmaskenentfernungsprozess angewendet wurde. Wie in 20 gezeigt, wurden die Hartmaskenschicht und die Oxidschichten, welche in 19 gezeigt sind, mit Hilfe eines geeigneten Prozesses zur Entfernung einer Hartmaskenschicht, wie z.B. einem Nassätzprozess, entfernt. Der Entfernungsprozess wird auf die obere Oberfläche des Halbleiterbauteils angewendet, bis die n-leitende Epitaxialschicht 108 freiliegt.
  • 21 zeigt eine Schnittansicht des in 20 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform in der n-leitenden Epitaxialschicht ein n+-Bereich gebildet wurde. Wie in 21 gezeigt, wird der n+-Bereich 110 durch einen Ionenimplantationsprozess gebildet. Der n+-Bereich 110 dient als Drain-Bereich des in 1 gezeigten Botton-Source-Graben-Leistungs-MOSFETs. Es ist zu beachten, dass der Drain des Bottom-Source-Graben-Leistungs-MOSFETs den n+-Bereich 110, die n-leitende Epitaxialschicht 108 und die NDD-Bereiche 122 beinhalten kann.
  • In einer Ausführungsform, in der das Substrat 104 ein p-leitendes Substrat ist, kann der Drain- Bereich 110 gebildet werden, indem geeignete n-leitende Dotierungsmittel, wie Phosphor, Arsen, oder Ähnliche, implantiert werden. Alternativ kann in einer Ausführungsform, in der das Substrat 104 ein n-leitendes Substrat ist, der Drain-Bereich 110 gebildet werden, indem geeignete p-leitende Dotierungsmittel, wie Bor, Gallium, Indium oder Ähnliche, implantiert werden. Gemäß einer Ausführungsform weist der Drain-Bereich 110 eine Dotierungsdichte auf, die in einem Bereich von etwa 1019/cm3 bis etwa 1021/cm3 liegt.
  • 22 zeigt eine Schnittansicht des in 21 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform in dem Graben ein dielektrischer Bereich gebildet wurde. Der dielektrische Bereich 114 kann aus einem Oxid, wie zum Beispiel Siliziumoxid, gebildet sein. Gemäß einer Ausführungsform wird der Graben mit einem Oxid gefüllt, bis die obere Oberfläche des Oxids oberhalb der oberen Oberfläche des n+-Bereiches 110 liegt.
  • Wie in 22 gezeigt, ist die Feldplatte 116 durch den dielektrischen Bereich 114 von aktiven Bereichen (zum Beispiel den NDD-Bereichen 122 und der n-leitenden Epitaxialschicht 108) getrennt. In gleicher Weise ist die Feldplatte 116 von den Gate-Elektroden 128 getrennt. Gemäß einer Ausführungsform sind die Feldplatte 116 und die Gate-Elektroden 128 etwa 0,1 µm bis etwa 0,5 µm voneinander getrennt.
  • 23 zeigt eine Schnittansicht des in 22 gezeigten Halbleiterbauteils, nachdem gemäß einer Ausführungsform Drain- und Source-Kontaktanschlüsse gebildet wurden. Der Drain-Kontaktanschluss 112 und der Source-Kontaktanschluss 102 kann durch leitfähige Materialien gebildet werden. Der Drain-Kontaktanschluss 112 und der Source-Kontaktanschluss 102 kann durch geeignete Herstellungsprozesse, wie zum Beispiel einen Damaszierungsprozess, gebildet werden.
  • Wie in 23 gezeigt, sind der Drain-Kontaktanschluss 112 und der Source-Kontaktanschluss 102 auf gegenüberliegenden Seiten des p-leitenden Substrats 104 gebildet. Der Graben, der die Gate-Elektroden 128 und die Feldplatte 116 umfasst, ist zwischen dem Drain-Kontaktanschluss 112 und dem Source-Kontaktanschluss 102 gebildet. Ferner ist die Feldplatte 116 über den p+-Bereich 126 und das p-leitende Substrat 104 elektrisch mit dem Source-Kontaktanschluss 102 verbunden. Es ist zu beachten, dass in 23 der Source-Bereich der N+-Bereich 124 ist. Die Feldplatte 116, der P+-Bereich 126 und das P+-Substrat 104 bilden zwischen dem Source-Bereich (N+-Bereich 124) und dem Source-Kontaktanschluss 102 einen Strompfad mit geringem Widerstand.
  • Auch wenn Ausführungsformen der vorliegenden Offenbarung sowie ihre Vorteile ausführlich beschrieben wurden, ist zu beachten, dass hierin vielfältige Änderungen, Ersetzungen und Abänderungen vorgenommen werden können, ohne von der Idee und dem Umfang der Offenbarung, die durch die beigefügten Ansprüche definiert werden, abzuweichen.
  • Ferner soll der Umfang der vorliegenden Anwendungen nicht auf die konkreten Ausführungsformen des Prozesses, des Gerätes, der Herstellung, der Materialzusammensetzung, der Mittel, der Verfahren und Schritte, die in der Beschreibung beschrieben wurden, beschränkt sein. Aus der vorliegenden Offenbarung wird es der Durchschnittsfachmann ohne Weiteres erkennen, dass Prozesse, Geräte, eine Herstellung, Materialzusammensetzungen, Mittel, Verfahren oder Schritte, die derzeitig bestehen oder später entwickelt werden und die im Wesentlichen die gleichen Aufgaben erfüllen oder im Wesentlichen dieselben Ergebnisse erzielen wie die entsprechenden vorliegend beschriebenen Ausführungsformen, gemäß der vorliegenden Offenbarung verwendet werden können. Demgemäß sollen solche Prozesse, Geräte, eine solche Herstellung, solche Materialzusammensetzungen, Mittel, Verfahren oder Schritte im Umfang der angefügten Ansprüche enthalten sein.

Claims (10)

  1. Vorrichtung, die Folgendes umfasst: einen ersten Drain-/Source-Kontaktanschluss, der über einer ersten Seite eines Substrats gebildet ist, wobei der erste Drain-/Source-Kontaktanschluss mit einem ersten Drain-/Source-Bereich verbunden ist; einen zweiten Drain-/Source-Kontaktanschluss, der über einer zweiten Seite des Substrats gebildet ist, wobei der zweite Drain-/Source-Kontaktanschluss mit einem zweiten Drain-/Source-Bereich verbunden ist; und einen Graben, der zwischen dem ersten Drain-/Source-Kontaktanschluss und dem zweiten Drain-/Source-Kontaktanschluss gebildet ist, wobei der Graben Folgendes umfasst: eine erste Gate-Elektrode; eine zweite Gate-Elektrode, wobei die erste Gate-Elektrode und die zweite Gate-Elektrode in einem unteren Abschnitt des Grabens gebildet sind; zwei Drift-Bereiche, die entlang von Seitenwänden eines oberen Abschnittes des Grabens gebildet sind, und eine Feldplatte, die zwischen der ersten Gate-Elektrode und der zweiten Gate-Elektrode gebildet ist, wobei die Feldplatte mit dem zweiten Drain-/Source-Bereich elektrisch verbunden ist.
  2. Vorrichtung nach Anspruch 1, die weiterhin Folgendes umfasst: einen ersten Diffusionsbereich, der einen ersten n-leitenden Drain-Drift-Bereich umfasst; und einen zweiten Diffusionsbereich, der einen zweiten n-leitenden Drain-Drift-Bereich umfasst, wobei der ersten n-leitende Drain-Drift-Bereich und der zweite n-leitende Drain-Drift-Bereich zu dem Graben symmetrisch sind, und/oder eine p-leitende Epitaxialschicht, die über dem Substrat gebildet ist; und einen p+-Bereich, der in der p-leitenden Epitaxialschicht gebildet ist, wobei der p+-Bereich mit der Feldplatte elektrisch verbunden ist.
  3. Vorrichtung nach Anspruch 2, die weiterhin Folgendes umfasst: einen zweiten n+-Bereich, der zwischen einer unteren Oberfläche des Grabens und dem p+-Bereich gebildet ist, wobei der zweite n+-Bereich vorzugsweise über die Feldplatte, den p+-Bereich und das Substrat mit dem zweiten Drain-/Source-Kontaktanschluss verbunden ist.
  4. Vorrichtung nach einem der vorhergehenden Ansprüche, die weiterhin Folgendes umfasst: eine erste dielektrische Schicht, die zwischen der ersten Gate-Elektrode und der Feldplatte gebildet ist; und eine zweite dielektrische Schicht, die zwischen der zweiten Gate-Elektrode und der Feldplatte gebildet ist, wobei die erste dielektrische Schicht und die zweite dielektrische Schicht vorzugsweise aus einem Oxid gebildet sind und eine Dicke in einem Bereich von etwa 0,1 µm bis etwa 0,5 µm aufweisen, und/oder wobei der erste Drain-/Source-Bereich ein Drain eines Graben-Leistungs-Transistors ist; und der zweite Drain-/Source-Bereich eine Source des Graben-Leistungs-Transistors ist.
  5. Bauteil, das Folgendes umfasst: einen Drain-Bereich mit einer ersten Leitfähigkeitsart, der über einem Substrat mit einer zweiten Leitfähigkeitsart gebildet ist; einen Source-Bereich mit der ersten Leitfähigkeitsart, der über dem Substrat gebildet ist; und einen Graben, der zwischen dem Drain-Bereich und dem Source-Bereich gebildet ist, wobei der Graben Folgendes umfasst: eine erste Gate-Elektrode; eine Feldplatte, die neben der ersten Gate-Elektrode gebildet ist, wobei die erste Gate-Elektrode und die Feldplatte durch eine erste dielektrische Schicht voneinander getrennt sind, und wobei die Feldplatte mit dem Source-Bereich elektrisch verbunden ist; und eine zweite Gate-Elektrode, die neben der Feldplatte gebildet ist, wobei die erste Gate-Elektrode und die zweite Gate-Elektrode zu der Feldplatte symmetrisch sind.
  6. Bauteil nach Anspruch 5, das weiterhin Folgendes umfasst: einen ersten Drain-Drift-Bereich, der mit dem Drain-Bereich verbunden ist; und einen zweiten Drain-Drift-Bereich, der mit dem Drain-Bereich verbunden ist, wobei der erste Drain-Drift-Bereich und der zweite Drain-Drift-Bereich zu dem Graben symmetrisch sind, und/oder eine erste Epitaxialschicht mit der zweiten Leitfähigkeitsart, die über dem Substrat gebildet ist; und eine zweite Epitaxialschicht mit der ersten Leitfähigkeitsart, die über der ersten Epitaxialschicht gebildet ist.
  7. Bauteil nach einem der Ansprüche 5 oder 6, wobei: die erste Leitfähigkeitsart eine n-Leitung ist; und die zweite Leitfähigkeitsart eine p-Leitung ist, oder die erste Leitfähigkeitsart eine p-Leitung ist; und die zweite Leitfähigkeitsart eine n-Leitung ist, und/oder wobei das Bauteil weiterhin Folgendes umfasst: einen Drain-Kontaktanschluss, der mit dem Drain-Bereich verbunden ist, wobei der Drain-Kontaktanschluss über einer ersten Seite des Substrats gebildet ist; und einen Source-Kontaktanschluss, der mit dem Source-Bereich verbunden ist, wobei der Source-Kontaktanschluss über einer zweiten Seite des Substrats gebildet ist.
  8. Verfahren, das die folgenden Schritte umfasst: Bereitstellen eines Substrats mit einer zweiten Leitfähigkeitsart; Wachsen einer ersten Epitaxialschicht mit der zweiten Leitfähigkeitsart; Wachsen einer zweiten Epitaxialschicht mit einer ersten Leitfähigkeitsart; Bilden eines Grabens in der ersten Epitaxialschicht und der zweiten Epitaxialschicht; Bilden einer ersten Gate-Elektrode in dem Graben; Bilden einer zweiten Gate-Elektrode in dem Graben; Anwenden eines Ionenimplantationsprozesses, wobei die erste Gate-Elektrode und die zweite Gate-Elektrode als Ionenimplantationsmasken verwendet werden, um einen ersten Drain-Drift-Bereich bzw. einen zweiten Drain-Drift-Bereich zu bilden; Bilden einer Feldplatte in dem Graben, wobei die Feldplatte zwischen der ersten Gate-Elektrode und der zweiten Gate-Elektrode angeordnet ist; Bilden eines Drain-Bereiches in der zweiten Epitaxialschicht, wobei der Drain-Bereich die erste Leitfähigkeitsart aufweist; und Bilden eines Source-Bereiches in der ersten Epitaxialschicht, wobie der Source-Bereich die erste Leitfähigkeitsart aufweist, und wobei der Source-Bereich mit der Feldplatte elektrisch verbunden ist.
  9. Verfahren nach Anspruch 8, das weiterhin die folgenden Schritte umfasst: Bilden des ersten Drain-Drift-Bereiches entlang einer ersten Seitenwand des Grabens; und Bilden des zweiten Drain-Drift-Bereiches entlang einer zweiten Seitenwand des Grabens, wobei obere Abschnitte der ersten Gate-Elektrode und der zweiten Gate-Elektrode in Übereinstimmung mit unteren Abschnitten des ersten Drain-Drift-Bereiches und des zweiten Drain-Drift-Bereiches ausgerichtet sind, und/oder das Verfahren weiterhin die folgenden Schritte umfasst: Füllen des Freiraums zwischen der Feldplatte und der ersten Gate-Elektrode mit einer ersten dielektrischen Schicht, wobei die erste dielektrische Schicht vorzugsweise aus einem Oxid gebildet ist; und die erste dielektrische Schicht vorzugsweise eine Dicke in einem Bereich von etwa 0,1 µm bis etwa 0,5 µm aufweist.
  10. Verfahren nach Anspruch 8 oder 9, das weiterhin die folgenden Schritte umfasst: Bilden eines Drain-Kontaktanschlusses, der mit dem Drain-Bereich verbunden ist, wobei der Drain-Kontaktanschluss über einer ersten Seite des Substrats gebildet wird; und Bilden eines Source-Kontaktanschlusses, der mit dem Source-Bereich verbunden ist, wobei der Source-Kontaktanschluss über einer zweiten Seite des Substrats gebildet wird, wobei das genannte Verfahren vorzugsweise weiterhin den folgenden Schritt umfasst: Bilden eines p+-Bereiches in der ersten Epitaxialschicht, wobei der Source-Bereich über die Feldplatte, den p+-Bereich und das Substrat mit dem Source-Kontaktanschluss verbunden ist.
DE102013101113.6A 2012-07-11 2013-02-05 Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung Active DE102013101113B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/546,824 2012-07-11
US13/546,824 US9293376B2 (en) 2012-07-11 2012-07-11 Apparatus and method for power MOS transistor

Publications (2)

Publication Number Publication Date
DE102013101113A1 true DE102013101113A1 (de) 2014-01-16
DE102013101113B4 DE102013101113B4 (de) 2019-04-04

Family

ID=49781607

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013101113.6A Active DE102013101113B4 (de) 2012-07-11 2013-02-05 Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung

Country Status (3)

Country Link
US (3) US9293376B2 (de)
CN (1) CN103545370B (de)
DE (1) DE102013101113B4 (de)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6224257B2 (ja) * 2015-02-20 2017-11-01 新電元工業株式会社 半導体装置
WO2016132552A1 (ja) * 2015-02-20 2016-08-25 新電元工業株式会社 半導体装置
EP3405978B1 (de) 2016-01-18 2023-05-10 Texas Instruments Incorporated Verfahren zur herstellung eines leistungs-mosfets mit einem metallgefüllten tiefen quellenkontakt
JP6640691B2 (ja) * 2016-09-21 2020-02-05 株式会社東芝 半導体装置及びその製造方法
CN110366781B (zh) * 2017-01-19 2023-07-21 德克萨斯仪器股份有限公司 具有深源接触的功率mosfet
CN106847700B (zh) * 2017-03-07 2022-03-15 中山汉臣电子科技有限公司 一种高压vdmos结构及其制备方法
US10530597B1 (en) 2017-04-01 2020-01-07 Smart Power Partners LLC System for controlling a plurality of power switches configured to apply power to devices
US10996645B1 (en) 2017-04-01 2021-05-04 Smart Power Partners LLC Modular power adapters and methods of implementing modular power adapters
US10727731B1 (en) 2017-04-01 2020-07-28 Smart Power Partners, LLC Power adapters adapted to receive a module and methods of implementing power adapters with modules
JP6744270B2 (ja) * 2017-09-20 2020-08-19 株式会社東芝 半導体装置及びその製造方法
CN109873036B (zh) * 2017-12-05 2021-01-08 无锡华润上华科技有限公司 Mosfet结构及其制造方法
CN109873033B (zh) 2017-12-05 2020-08-18 无锡华润上华科技有限公司 绝缘栅双极型晶体管及其制造方法
CN110767550B (zh) * 2018-07-27 2021-04-09 无锡华润上华科技有限公司 Mosfet制作方法
JP6958575B2 (ja) * 2019-01-16 2021-11-02 株式会社デンソー 半導体装置およびその製造方法
JP6969586B2 (ja) * 2019-04-23 2021-11-24 株式会社デンソー 半導体装置およびその製造方法
US11201444B1 (en) 2019-06-30 2021-12-14 Smart Power Partners LLC Power adapter having contact elements in a recess and method of controlling a power adapter
US11990718B1 (en) 2019-06-30 2024-05-21 Smart Power Partners LLC Power adapter having a plurality of interfaces and methods of implementing a power adapter
US10965068B1 (en) 2019-06-30 2021-03-30 Smart Power Partners LLC In-wall power adapter having an outlet and method of controlling an in-wall power adapter
US11043768B1 (en) 2019-06-30 2021-06-22 Smart Power Partners LLC Power adapter configured to provide power to a load and method of implementing a power adapter
US11231730B1 (en) 2019-06-30 2022-01-25 Smart Power Power LLC Control attachment for a power adapter configured to control power applied to a load
US10958026B1 (en) 2019-06-30 2021-03-23 Smart Power Partners LLC Contactless thermometer for an in-wall power adapter
US10938168B2 (en) 2019-06-30 2021-03-02 Smart Power Partners LLC In-wall power adapter and method of controlling the application of power to a load
US11189948B1 (en) 2019-06-30 2021-11-30 Smart Power Partners LLC Power adapter and method of implementing a power adapter to provide power to a load
US11579640B1 (en) 2019-06-30 2023-02-14 Smart Power Partners LLC Control attachment for an in-wall power adapter
US11264769B1 (en) 2019-06-30 2022-03-01 Smart Power Partners LLC Power adapter having contact elements in a recess and method of controlling a power adapter
US10917956B1 (en) 2019-06-30 2021-02-09 Smart Power Partners LLC Control attachment configured to provide power to a load and method of configuring a control attachment
US11460874B1 (en) 2019-06-30 2022-10-04 Smart Power Partners LLC In-wall power adapter configured to control the application of power to a load
US10958020B1 (en) 2019-06-30 2021-03-23 Smart Power Partners LLC Control attachment for an in-wall power adapter and method of controlling an in-wall power adapter
US11335803B2 (en) * 2019-11-14 2022-05-17 Diodes Incorporated Source-down transistor with vertical field plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242845A (en) * 1990-06-13 1993-09-07 Kabushiki Kaisha Toshiba Method of production of vertical MOS transistor
US6316807B1 (en) 1997-12-05 2001-11-13 Naoto Fujishima Low on-resistance trench lateral MISFET with better switching characteristics and method for manufacturing same
US6518621B1 (en) * 1999-09-14 2003-02-11 General Semiconductor, Inc. Trench DMOS transistor having reduced punch-through
US7345342B2 (en) * 2001-01-30 2008-03-18 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
JP4797265B2 (ja) * 2001-03-21 2011-10-19 富士電機株式会社 半導体装置および半導体装置の製造方法
JP3703816B2 (ja) * 2003-06-18 2005-10-05 株式会社東芝 半導体装置
DE102004041622A1 (de) * 2003-08-29 2005-03-24 Fuji Electric Holdings Co. Ltd., Kawasaki Halbleiterbauteil
JP4903055B2 (ja) 2003-12-30 2012-03-21 フェアチャイルド・セミコンダクター・コーポレーション パワー半導体デバイスおよびその製造方法
JP4817827B2 (ja) * 2005-12-09 2011-11-16 株式会社東芝 半導体装置
JP5157164B2 (ja) * 2006-05-29 2013-03-06 富士電機株式会社 半導体装置、バッテリー保護回路およびバッテリーパック
KR100759839B1 (ko) * 2006-06-19 2007-09-18 삼성전자주식회사 수직 채널 반도체 장치 및 그 제조 방법
US7633120B2 (en) * 2006-08-08 2009-12-15 Alph & Omega Semiconductor, Ltd. Inverted-trench grounded-source field effect transistor (FET) structure using highly conductive substrates
US20080191273A1 (en) * 2007-02-08 2008-08-14 Timothy Henson Mosfet device having improved avalanche capability
US20090053869A1 (en) * 2007-08-22 2009-02-26 Infineon Technologies Austria Ag Method for producing an integrated circuit including a trench transistor and integrated circuit
US7893488B2 (en) * 2008-08-20 2011-02-22 Alpha & Omega Semiconductor, Inc. Charged balanced devices with shielded gate trench
US7989885B2 (en) * 2009-02-26 2011-08-02 Infineon Technologies Austria Ag Semiconductor device having means for diverting short circuit current arranged in trench and method for producing same
US8796760B2 (en) * 2012-03-14 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor and method of manufacturing the same
US9356134B2 (en) * 2014-06-24 2016-05-31 Alpha And Omega Semiconductor Incorporated Charged balanced devices with shielded gate trench

Also Published As

Publication number Publication date
US9293376B2 (en) 2016-03-22
CN103545370B (zh) 2016-12-21
US20140015045A1 (en) 2014-01-16
DE102013101113B4 (de) 2019-04-04
US20170222023A1 (en) 2017-08-03
CN103545370A (zh) 2014-01-29
US20160204227A1 (en) 2016-07-14
US10050126B2 (en) 2018-08-14
US9627265B2 (en) 2017-04-18

Similar Documents

Publication Publication Date Title
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE112012003231B4 (de) Halbleiterstruktur und verfahren zu deren herstellung
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102012103024B4 (de) Verfahren zur Herstellung von Schottky-Dioden mit Metallgateelektroden
DE112016005805T5 (de) Variable gate-längen für vertikale transistoren
DE102014107325A1 (de) Halbleiterbauelement
DE102011088584B4 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung
DE112020000199T5 (de) Transistorkanal mit Vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102011108151A1 (de) Trench - superjunction - mosfet mit dünnem epi - prozess
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102010016000A1 (de) Halbleitervorrichtungen und Verfahren zum Herstellen einer Halbleitervorrichtung
DE112018003323T5 (de) Verwenden eines mehrschichtigen gate-abstandshalters zur reduzierung der erosion eines halbleiter-fin während einer abstandshalter-strukturierung
DE102019103422A1 (de) Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen
DE102009039521A1 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE112020000212T5 (de) Transistorkanal mit vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102007063272B4 (de) Dielektrisches Zwischenschichtmaterial in einem Halbleiterbauelement mit verspannten Schichten mit einem Zwischenpuffermaterial
DE102010064291B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102021109107A1 (de) Gatestrukturen und verfahren zu deren ausbildung
DE102007041206B4 (de) Halbleiterbauelement und Verfahren zum selbstjustierten Entfernen eines high-k Gatedielektrikums über einem STI-Gebiet
DE102012223653A1 (de) Mosfet mit V-Nut-Source/Drain-Zone und Verfahren zur Herstellung desselben
DE102021134457A1 (de) Verfahren und strukturen zum kontaktieren des abschirmleiters in einer halbleitervorrichtung
DE102011080438B3 (de) Herstellverfahren für einen N-Kanaltransistor mit einer Metallgateelektrodenstruktur mit großem ε und einem reduzierten Reihenwiderstand durch epitaktisch hergestelltes Halbleitermaterial in den Drain- und Sourcebereichen und N-Kanaltransistor
DE102019006359A1 (de) Super-junction- mosfet mit schmaler mesa
DE112010004205T5 (de) MOSFET mit hohem Betriebsstrom

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final