DE102013100414A1 - Verfahren zur Herstellung von Hybrid-High-k/Metall-Gate-Stapeln - Google Patents

Verfahren zur Herstellung von Hybrid-High-k/Metall-Gate-Stapeln Download PDF

Info

Publication number
DE102013100414A1
DE102013100414A1 DE102013100414A DE102013100414A DE102013100414A1 DE 102013100414 A1 DE102013100414 A1 DE 102013100414A1 DE 102013100414 A DE102013100414 A DE 102013100414A DE 102013100414 A DE102013100414 A DE 102013100414A DE 102013100414 A1 DE102013100414 A1 DE 102013100414A1
Authority
DE
Germany
Prior art keywords
gate
layer
polysilicon
semiconductor substrate
pfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102013100414A
Other languages
English (en)
Other versions
DE102013100414B4 (de
Inventor
Jin-Aun Ng
Po-Nien Chen
Sheng-Chen Chung
Bao-Ru Young
Harry-Hak-Lay Chuang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013100414A1 publication Critical patent/DE102013100414A1/de
Application granted granted Critical
Publication of DE102013100414B4 publication Critical patent/DE102013100414B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Es wird ein Verfahren zu Herstellung einer Halbleitervorrichtung mit einer Hybrid-HK-/Metall-Gate-Stapel-Herstellung offenbart. Das Verfahren umfasst das Bereitstellen eines Halbleitersubstrats, das eine Mehrzahl von Isoliermerkmalen zwischen einem PFET-Bereich und einem NFET-Bereich aufweist, und das Ausbilden von Gate-Stapeln auf dem Halbleitersubstrat. In dem PFET-Bereich ist der Gate-Stapel als ein HK-/Metall-Gate ausgebildet. In dem NFET-Bereich ist der Gate-Stapel als ein Polysilizium-Gate ausgebildet. Ein Hochohm-Widerstand ist ebenfalls auf dem Halbleitersubstrat ausgebildet, indem ein anderes Polysilizium-Gate verwendet wird.

Description

  • HINTERGRUND
  • Die integrierte Halbleiterschaltkreis(IC)-Branche hat ein schnelles Wachstum erlebt. Technischer Fortschritt in IC-Materialien und -Design haben Generationen von ICs hervorgebracht, in denen jede Generation kleinere und komplexere Schaltungen als die vorhergehende Generation aufweist. Diese Fortschritte haben jedoch die Komplexität der Verarbeitung und Herstellung von ICs erhöht, und damit diese Fortschritte erreicht werden können, werden ähnliche Entwicklungen in der IC-Verarbeitung und -Herstellung benötigt. Wenn eine Halbleitervorrichtung, wie etwa ein Metalloxidhalbleiter-Feldeffekttransistor (Metal-Oxide-Semiconductor Field-Effect Transistor, MOSFET), über verschiedene Technologieknoten herunterskaliert wird, wurden verschiedene Strategien angewendet, um die Vorrichtungsleistung zu verbessern, wie etwa die Verwendung von Material mit hoher Dielektrizitätszahl k, auch als High-k-(HK)-dielektrisches Material bezeichnet und Metall-Gate-(MG)-Elektrodenstrukturen, gestreckte Verarbeitung, 3D-Gate-Transistoren und ultradünner Body (Ultra-Thin Body, UTB). Es ist erstrebenswert, eine Verfahrensintegration zu entwickeln, um Flexibilität und leichte Anpassbarkeit zwischen hoch entwickelten HK-/MG- und herkömmlichen Polysilizium-Gate-Verfahren bereitzustellen.
  • KURZE BESCHREIBUNG DER FIGUREN
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Figuren gelesen wird. Es wird betont, dass in Übereinstimmung mit dem üblichen Vorgehen in dem Fachgebiet verschiedene Merkmale nicht im Maßstab gezeichnet sind. In Wirklichkeit können die Abmessungen der verschiedenen Merkmale zur Deutlichkeit der Behandlung beliebig vergrößert und verkleinert werden.
  • 1 ist ein Flussdiagramm eines beispielhaften Verfahrens zur Herstellung einer Halbleitervorrichtung, die einen Hybrid-High-k/Metall-Gate-Stapel aufweist, der gemäß verschiedenen Aspekten der vorliegenden Offenbarung konstruiert ist.
  • 29 sind Querschnitte einer beispielhaften Halbleitervorrichtung, die eine Hybrid-High-k/Metall-Gate-Stapelstruktur aufweist, in Herstellungsschritten, die gemäß dem Verfahren von 1 konstruiert sind.
  • DETAILLIERTE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungen oder Beispiele angibt, um verschiedene Merkmale von verschiedenen Ausführungen zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegenden Offenbarung zu vereinfachen. Dies sind natürlich nur Beispiele und sollen nicht einschränkend sein. Die vorliegende Offenbarung kann zusätzlich Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen behandelten Ausführungen und/oder Anordnungen vor. Darüber hinaus kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und kann auch Ausführungen umfassen, in denen zusätzliche Merkmale ausgebildet werden können, die zwischen dem ersten und dem zweiten Merkmal liegen, so dass das erste und das zweite Merkmal nicht in direktem Kontakt liegen können.
  • Die 1 ist ein Flussdiagramm einer Ausführung eines Verfahrens 100 zur Herstellung einer Halbleitervorrichtung, die einen Hybrid-High-k-/Metall-Gate-Stapel aufweist und die gemäß Aspekten der vorliegenden Offenbarung konstruiert ist. Die 2 und 9 sind Querschnitte einer Ausführung einer Halbleitervorrichtung 200, die einen Gate-Stapel aufweist, in verschiedenen Herstellungsschritten. Die Halbleitervorrichtung 200 und das Verfahren 100 zu ihrer Herstellung werden gemeinsam mit Bezug auf die 1 bis 9 beschrieben.
  • Das Verfahren 100 beginnt bei Schritt 102, indem ein Halbleitersubstrat 210 bereitgestellt wird. Das Substrat 210 umfasst Silizium. Alternativ kann das Substrat Germanium, Silizium-Germanium, Galliumarsenid oder andere geeignete Halbleitermaterialien umfassen. Das Halbleitersubstrat 210 kann alternativ auch eine epitaktische Schicht aufweisen. Das Substrat 210 kann beispielsweise eine epitaktische Schicht aufweisen, die über einem Volumenhalbleiter, auch als „bulk-Halbleiter” bezeichnet, liegt. Weiter kann das Substrat 210 zur Verbesserung der Leistung gestreckt sein. Die epitaktische Schicht kann beispielsweise ein Halbleitermaterial aufweisen, das von dem des Volumenhalbleiters abweicht, wie etwa eine Schicht aus Silizium-Germanium, die über einem Volumen-Silizium liegt, oder eine Schicht aus Silizium, die über einem Volumen-Silizium-Germanium liegt, ausgebildet durch ein Verfahren, das selektives epitaktisches Aufziehen bzw. Wachsen (Selective Epitaxial Growth, SEG) umfasst. Darüber hinaus kann das Substrat 210 eine Halbleiter-Auf-Isolator-(Semiconductor-On-Insulator, SOI)-Struktur umfassen, wie etwa eine vergrabene dielektrische Schicht. Das Substrat kann auch alternativ eine vergrabene dielektrische Schicht umfassen, wie etwa eine vergrabene Oxidschicht (Buried Oxide, BOX), wie eine solche, die durch ein Verfahren, das als Technik der Abtrennung durch implantierten Sauerstoff (Separation By Implantation Of Oxygen, SIMOX) bezeichnet wird, durch Wafer-Bonden, SEG oder ein anderes geeignetes Verfahren gebildet wird. In der Tat können verschiedene Ausführungen jede einer Vielzahl von Substratstrukturen und -materialien aufweisen.
  • Das Halbleitersubstrat 210 umfasst auch verschiedene dotierte Bereiche, wie etwa n-Wannen und p-Wannen, die durch eine geeignete Technik ausgebildet werden, wie etwa Ionenimplantation. Das Halbleitersubstrat 210 umfasst auch verschiedene Isoliermerkmale, wie etwa eine Flacher-Graben-Isolation (Shallow Trench Isolation, STI) 212, die in dem Substrat ausgebildet wird, um verschiedene Vorrichtungen zu trennen. Das Ausbilden des STI 212 kann es umfassen, einen Graben in einem Substrat zu ätzen und den Graben mit Isoliermaterialien zu füllen, wie etwa Siliziumoxid, Siliziumnitrid oder Siliziumoxinitrid. Der gefüllte Graben kann eine Mehrschichtstruktur aufweisen, wie etwa eine Thermische-Oxid-Auskleideschicht, wobei Siliziumnitrid den Graben füllt. In einer Ausführung kann die STI-Struktur 212 mittels einer Verfahrenssequenz erzeugt werden, wie etwa: Aufziehen bzw. Wachsenlassen eines Pufferoxids, Ausbilden einer Nitridschicht durch Niederdruck-Chemische-Gasphasenabscheidung (Low Pressure Chemical Vapor Deposition, LPCVD), Strukturieren einer STI-Öffnung mittels Photoresist und Maskenbildung, Ätzen eines Grabens in dem Substrat, optionales Aufziehen bzw. Wachsenlassen einer Thermischen-Oxid-Graben-Ausldeidung, um die Grabenverbindung zu verbessern, Auffüllen des Grabens mit CVD-Oxid und Verwenden von chemisch-mechanischer Planarisierung (CMP), um die überstehenden dielektrischen Metallschichten zu entfernen.
  • Das Verfahren 100 fährt mit Schritt 104 fort, indem eine Mehrzahl von Gate-Stapeln auf dem Halbleitersubstrat 210 ausgebildet werden. In einer Ausführung wird eine Grenzschicht 213 auf dem Siliziumsubstrat 210 ausgebildet. Die Grenzschicht 213 kann Siliziumoxid umfassen, das durch eine geeignete Technik ausgebildet wird, wie etwa einer Atomlagenablagerung (Atomic Layer Deposition, ALD), thermischer Oxidation oder UV-Ozon-Oxidation.
  • Eine erste High-k-(HK)-dielektrische Schicht 214 wird über der Grenzschicht 213 abgelagert. In der vorliegenden Ausführung umfasst die erste HK-dielektrische Schicht 214 HfO2. Alternativ kann die erste HK-dielektrische Schicht 214 HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkoniumoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid-(HfO2-Al2O3)-Legierung, andere geeignete HK-dielektrische Materialien oder Kombinationen daraus aufweisen. Die erste HK-dielektrische Schicht 214 wird durch ein geeignetes Verfahren ausgebildet, wie etwa ALD. Andere Verfahren zum Ausbilden der dielektrischen High-k-Material-Schicht umfassen metallorganische chemische Gasphasenabscheidung (Metal Organic Chemical Vapor Deposition, MOCVD), physikalische Gasphasenabscheidung (Physical Vapor Deposition, PVD), UV-Ozon-Oxidation oder Molekularstrahlepitaxie (Molecular Beam Epitaxy, MBE).
  • Eine Deckschicht 215 kann auf der HK-dielektrischen Schicht 214 ausgebildet werden. Die Deckschicht kann feuerfeste Metalle und ihre Nitride umfassen (z. B. TiN, TaN, W2N, TiSiN, TaSiN), die durch ein geeignetes Verfahren ausgebildet werden, das dem Fachmann bekannt ist. Eine Polysiliziumschicht 220 wird auf der Deckschicht 215 ausgebildet. Die Polysiliziumschicht 220 wird durch eine geeignete Technik, wie etwa CVD, in einer herkömmlichen Weise ausgebildet.
  • Eine strukturierte harte Maske 222 wird auf der Polysiliziumschicht 220 ausgebildet, um verschiedene Gate-Stapel-Bereiche und verschiedene Öffnungen zu definieren, die die Gate-Stapel-Material-Schichten, die entfernt werden sollen, freilegen. Die strukturierte harte Maske 222 umfasst Siliziumnitrid und/oder Siliziumoxid oder alternativ Photoresist. Die strukturierte harte Maske 222 kann eine Doppelschicht umfassen. In der vorliegenden Ausführung umfasst die harte Maske 222 eine Doppelschicht aus Siliziumoxid und Siliziumnitrid, die durch ein CVD-Verfahren abgelagert werden. Die Siliziumnitrid- und die Siliziumoxid-Schicht werden weiter mittels eines Photolithographieverfahrens, um eine strukturierte Photoresist-Schicht auszubilden, und eines Ätzverfahrens, um das Siliziumoxid und das Siliziumnitrid innerhalb der Öffnungen der strukturierten Photoresist-Schicht zu ätzen, strukturiert.
  • Mit Bezug auch auf die 2 wird, indem die strukturierte harte Maske 222 als eine Ätzmaske verwendet wird, ein Ätzverfahren angewendet, um Gate-Stapel 230 auszubilden, indem die Polysiliziumschicht 220, die Deckschicht 215, die erste HK-dielektrische Schicht 214 und die Grenzschicht 213 geätzt werden. Das Ätzverfahren umfasst eine Trockenätzung, eine Nassätzung oder eine Kombination aus Trockenätzung und Nassätzung. Das Trockenätzverfahren kann ein Fluor enthaltendes Gas (z. B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein Chlor enthaltendes Gas (z. B. Cl2, CHCl3, CCl4 und/oder BCl3), ein Brom enthaltendes Gas (z. B. HBr und/oder CHBr3), ein Jod enthaltendes Gas, andere geeignete Gase und/oder Plasmas und/oder Kombinationen daraus implementieren. Das Ätzverfahren kann eine Mehrschrittätzung umfassen, um Ätzselektivität, -flexibilität und angestrebtes Ätzprofil zu erreichen. In der vorliegenden Ausführung wird eine Mehrschritt-Trockenätzung in einer herkömmlichen Weise angewendet.
  • Das Verfahren 100 fährt mit Schritt 106 fort, indem ein erster Gate-Abstandhalter 302 (der als Dichtungs-Abstandshalter bezeichnet wird) und ein zweiter Gate-Abstandhalter 306 (der als Haupt-Abstandshalter bezeichnet wird) auf Seitenwänden des Gate-Stapels 230 ausgebildet werden, wie in der 3 gezeigt ist. Die Gate-Abstandhalter 302 und 306 umfassen ein oder mehrere dielektrische Materialien, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder Kombinationen daraus. Der Dichtungs-Abstandshalter 302 wird auf den Seitenwänden der Gate-Stapel 230 ausgebildet und der Haupt-Abstandshalter 306 wird auf dem Dichtungs-Abstandshalter 302 ausgebildet. In einer Ausführung umfassen die Abstandshalter weitere Schichten. Es wird beispielsweise zuerst eine dielektrische Schicht 304 auf dem Dichtungs-Abstandshalter 302 ausgebildet, worauf der Haupt-Abstandshalter 306 auf der dielektrischen Schicht 304 ausgebildet wird. Somit kann der Haupt-Abstandshalter als ein Doppelschicht-Abstandshalter angesehen werden, mit Abstandshaltern 304 und 306. In der vorliegenden Ausführung umfasst der Dichtungs-Abstandshalter 302 Siliziumnitrid, die dielektrische Schicht 304 umfasst Siliziumoxid und der Haupt-Abstandshalter 306 umfasst Siliziumnitrid. Die Abstandshalter 302, 304 und 306 werden durch Ablagerung, Photolithographie und Ätzverfahren in einer herkömmlichen Weise ausgebildet.
  • Bezieht man sich auch auf die 3, so werden Source- und Drain-Bereiche 310 durch eine geeignete Technik ausgebildet, wie etwa eine oder mehrere Ionen-Implantationen. In einer Ausführung können Silizid-Merkmale (nicht gezeigt) weiter auf den Source- und Drain-Bereichen 310 ausgebildet werden, um den Kontaktwiderstand zu verringern. Die Silizid-Merkmale können durch eine Technik ausgebildet werden, die als selbst-ausrichtendes Silizid (self-aligned silicide, „salicide”) bezeichnet wird und die Ablagerung von Metall (wie etwa Ablagerung von Nickel) auf einem Siliziumsubstrat, ein thermisches Ausheilen, damit das Metall mit Silizium reagiert, um Silizid auszubilden (NiSi), und ein Ätzen, um nicht reagiertes Metall zu entfernen, umfasst. Die Source- und Drain-Bereiche 310 können weiter schwach dotierte (Lightly Doped, LDD) Bereiche, die im Wesentlichen an dem Dichtungs-Abstandshalter 302 ausgerichtet sind, und stark dotierte Bereiche, die im Wesentlichen an den Haupt-Abstandshaltern 306 ausgerichtet sind, umfassen.
  • Nach dem Ausbilden der Source- und Drain-(S/D)-Bereiche 310 können ein oder mehrere Ausheilverfahren ausgeführt werden, um die S/D-Bereiche zu aktivieren. Die Ausheilverfahren umfassen beschleunigtes thermisches Ausheilen (Rapid Thermal Annealing, RTA), Laser-Ausheilverfahren oder andere geeignete Ausheilverfahren. Als ein Beispiel wird ein thermischer Hochtemperatur-Ausheilschritt verwendet, der Temperaturen irgendwo im Bereich von 900C–1100°C anwenden kann, obwohl andere Ausführungen Temperaturen in einem anderen Bereich verwenden können. Als ein anderes Beispiel umfasst das Hochtemperatur-Ausheilen ein Puls-Ausheilverfahren, das eine sehr kurze Zeitdauer aufweist.
  • In einer anderen Ausführung können der Source- und der Drain-Bereich 310 epitaktisch gezogenes bzw. gewachsenes Halbleitermaterial für einen wirksamen Streckungseffekt („strain effect”) umfassen, was zu verbesserter Trägerbeweglichkeit in dem Kanal führt. In einer Ausführung wird Silizium-Germanium epitaktisch in dem Source- und dem Drain-Bereich gezogen bzw. wachsen gelassen, um einen p-FET (PFET) herzustellen. In einer anderen Ausführung wird Siliziumkarbid epitaktisch in dem Source- und dem Drain-Bereich gezogen (wachsen gelassen), um einen n-FET (NFET) herzustellen. Das Verfahren zum Ausbilden der gestreckten Struktur umfasst Ätzen, um Vertiefungen in dem Substrat auszubilden, und epitaktisches Aufziehen, um ein kristallines Halbleitermaterial in der Vertiefung auszubilden.
  • Das Verfahren 100 fährt mit Schritt 108 fort, indem eine dielektrische Zwischenschicht (Interlayer Dielectric, ILD) 330 auf dem Substrat und zwischen den Gate-Stapeln 230 ausgebildet wird. Die ILD-Schicht 330 wird durch eine geeignete Technik abgelagert, wie etwa CVD. Die ILD-Schicht 330 umfasst ein dielektrisches Material, wie etwa Siliziumoxid, Siliziumnitrid, ein dielektrisches Low-k-Material oder eine Kombination daraus. In einer Ausführung werden zusätzliche Vorgänge ausgeführt, bevor die ILD-Schicht 330 abgelagert wird. Zusätzliche Vorgänge umfassen beispielsweise das teilweise Entfernen (Dünnätzen) des Haupt-Abstandshalters 306 durch Nassätzen, daraufhin das Entfernen der harten Maske 222 durch Trockenätzen und das Ablagern einer Kontakt-Ätzstoppschicht (Contact Etch Stop Lager, CESL) 320 auf dem Substrat und den Gate-Stapeln 230 (mit einem dünneren Haupt-Abstandshalter) durch ein CVD-Verfahren. Ein chemisch-mechanisches Polierverfahren (CMP) kann danach angewendet werden, um die Oberfläche des ILD 330 zu planarisieren, wie in der 4 gezeigt ist.
  • Das Verfahren 100 führt mit Schritt 110 fort, indem ein Hochohm-Widerstand 410 strukturiert wird, wie in der 5 gezeigt ist. Eine Mehrzahl von Gate-Stapeln 230 kann als die Hochohm-Widerstände 410 verwendet werden (die als ein erster Gate-Stapel bezeichnet werden). Eine strukturierte harte Maske 415 wird ausgebildet, um den ersten Gate-Stapel 230 (den Hochohm-Widerstand 410) in zukünftigen Ätzverfahren zu schützen. In der vorliegenden Ausführung umfasst die harte Maske 415 Titannitrid. Die strukturierte harte Maske 415 wird durch geeignete Verfahren der Ablagerung, Lithographie und Ätzung ausgebildet, die dem Fachmann bekannt sind.
  • Das Verfahren 100 fährt mit Schritt 112 fort, indem die Polysiliziumschicht 220 in dem Gate-Stapel 230 in einem PFET-Bereich 420 (bezeichnet als ein zweiter Gate-Stapel 230) teilweise entfernt wird, wie in der 6 gezeigt ist. Ein Photoresist wird strukturiert, um einen vorbestimmten NFET-Bereich 430 zu bedecken (der als ein dritter Gate-Stapel 230 bezeichnet wird), um eine Grenze zwischen dem PFET-Bereich und dem NFET-Bereich zu definieren. Das Photoresist bedeckt auch den ersten Gate-Stapel 230 (den Hochohm-Widerstand 410). Ein erstes Ätzen des Gates wird ausgeführt, um die Polysiliziumschicht 220 in dem zweiten Gate-Stapel 230 in dem PFET-Bereich 420 teilweise zu entfernen, während der dritte Gate-Stapel 230 in dem NFET-Bereich 430 sowie der erste Gate-Stapel 230 (der Hochohm-Widerstand 410) unverändert bleiben. Das Ätzen des ersten Gates kann ein Trockenätzen, ein Nassätzen oder eine Kombination aus Trocken- und Nassätzen umfassen.
  • Das Verfahren 100 fährt mit Schritt 114 fort, indem die Polysiliziumschicht 220 in dem dritten Gate-Stapel 230 in dem NFET-Bereich 430 teilweise entfernt wird und der Rest der Polysiliziumschicht 220 in dem zweiten Gate-Stapel 230 in dem PFET-Bereich 420 entfernt wird, wie in der 7 gezeigt ist. Zuerst wird das strukturierte Photoresist (für das erste Ätzen der Gates) entfernt und der NFET-Bereich 430 ist nun freigelegt. Ein zweites Ätzen der Gates wird angewendet und gesteuert, um den Rest der Polysiliziumschicht 220, die Deckschicht 215 und die erste HK-dielektrische Schicht 214 in dem zweiten Gate-Stapel 230 in dem PFET-Bereich 420 zu entfernen und einen PFET-Gate-Graben 440 auszubilden. Während dessen erreicht das zweite Ätzen der Gates ein teilweises Entfernen der Polysiliziumschicht 220 in dem dritten Gate-Stapel 230 in dem NFET-Bereich 430 und bildet einen NFET-Gate-Graben 450 aus. Das zweite Ätzen der Gates entfernt auch die strukturierte harte Maske 415 auf dem ersten Gate-Stapel 230 (dem Hochohm-Widerstand 410). Ein Mehrschritt-Ätzen kann ausgeführt werden um Ätzselektivität und -flexibilität zu erreichen.
  • Das Verfahren 100 fährt mit Schritt 116 fort, indem ein Ersatz-Gate-Verfahren ausgeführt wird, um ein HK-/Metall-Gate in dem PFET-Bereich 420 auszubilden, wie in der 8 gezeigt ist. In einem Ersatz-Gate-Verfahren wird eine Hilfsgate-Struktur ausgebildet und verwendet, um die Source- und Drain-Implantate und -Ausheilungen selbst-auszurichten. Nachdem Hochtemperatur-Verfahren (wie etwa Source- und Drain-Ausheilungen) fertig gestellt wurden, wird das Hilfsgate durch ein HK-/Metall-Gate ersetzt. Eine zweite HK-dielektrische Schicht 514 wird in dem PFET-Gate-Graben 440 sowie dem NFET-Gate-Graben 450 abgelagert. Ein Ausbilden der zweiten HK-dielektrischen Schicht 514 ähnelt in vieler Hinsicht dem, das oben in Verbindung mit der ersten HK-dielektrischen Schicht 214 behandelt wurde.
  • Bezieht man sich auch auf die 8, so wird eine Metallschicht 516 mit p-Austrittsarbeit (p-Type Work Function, p-WF) auf der zweiten HK-dielektrischen Schicht 514 abgelagert. Die p-WF-Metallschicht 516 umfasst eine einzelne Metallschicht oder eine Metall-Mehrschichtstruktur, so dass sie eine metallische Füllschicht, Auskleidungsschicht, Wetting-Schicht, und Haftschicht umfasst. Die p-WF-Metallschicht 516 umfasst TiN, TaN, Ru, Mo, WN und Kombinationen daraus. Die p-WF-Metallschicht 516 kann durch ALD, PVD, CVD oder andere geeignete Verfahren ausgebildet werden. Eine metallische Füllschicht 520 wird auf der p-WF-Metallschicht 516 abgelagert. Die metallische Füllschicht 520 kann Aluminium, Wolfram, Kupfer oder ein anderes geeignetes Metall umfassen. Die metallische Füllschicht 520 wird durch eine geeignete Technik abgelagert, wie etwa PVD oder Plattieren.
  • Das Verfahren 100 fährt mit Schritt 118 fort, indem ein Metall-CMP ausgeführt wird, um die überstehenden Metallschichten von 520 und 516 und die zweite HK-dielektrische Schicht 514 zu entfernen. In dem Halbleitersubstrat 210 wird ein HK-/Metall-Gate 550 in dem PFET Bereich 420 ausgebildet (durch ein Gate-Zuletzt-Verfahren), ein Polysilizium-Gate 560 wird mit mehreren Metallschichten (p-WF-Metallschicht 516) als ein oberer Anteil des Gate-Stapels in dem NFET-Bereich 430 ausgebildet (durch ein Gate-Zuerst-Verfahren) und ein Polysilizium-Hochohm-Widerstand 410 wird ebenfalls ausgebildet. Das CMP-Verfahren stellt eine im Wesentlichen planare Oberfläche für die Gate-Stapel 550, 560, den Hochohm-Widerstand 410 und den ILD 330 bereit, wie in der 9 gezeigt ist.
  • Das Verfahren 100 kann weiter das Ausbilden einer Mehrschicht-Verbindung umfassen. Die Mehrschicht-Verbindung (nicht gezeigt) kann vertikale Verbindungen, wie etwa herkömmliche Kontaktlöcher oder Kontakte, und horizontale Verbindungen, wie etwa Metallleitungen, umfassen. Die verschiedenen Verbindungsstrukturen können verschiedene leitende Materialien umfassen, einschließlich Kupfer, Wolfram und Silizid. In einem Beispiel wird ein Damaszierverfahren verwendet, um eine Kupfer-Mehrschicht-Verbindungsstruktur auszubilden. In einer anderen Ausführung wird Wolfram verwendet, um Wolframstöpsel bzw. -plugs in den Kontaktlöchern auszubilden.
  • Obwohl Ausführungen der vorliegenden Offenbarung im Detail beschrieben wurden, sollte der Fachmann erkennen, dass er verschiedene Veränderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen. In einer Ausführung kann die Gate-Elektrode alternativ oder zusätzlich andere geeignete Metalle umfassen.
  • Gestützt auf das vorangegangene kann man erkennen, dass die vorliegende Offenbarung einen Hybrid-Gate-Integrationsplan vorsieht, um gleichzeitig ein Gate-Zuerst-Poly-Gate in einem NFET und ein Gate-Zuletzt-HK-/Metall-Gate in einem PFET auszubilden und zugleich einen damit vereinbaren Hochohm-Widerstand auszubilden. Darüber hinaus ist das Gate-Zuerst-Polysilizium-Gate in dem NFET mit einem p-WF-Metall und einem Füllmetall oben auf dem Polysilizium-Gate konfiguriert, was den Gate-Widerstand verringert (ähnlich, wie man es in einem Polyzid-Gate sieht). Der Hybrid-Gate-Integrationsplan sieht eine technologische Lösung für eine direkte Kombination eines HK-/Metall-Gates mit einem herkömmlichen Polysilizium-Gate und eine beträchtliche Flexibilität für die Verfahrensintegration vor.
  • Die vorliegende Offenbarung sieht ein Verfahren vor, um ein Entfernen einer Polysiliziumschicht (z. B. in einem PFET) und ein teilweises Entfernen einer Polysiliziumschicht (z. B. in einem NFET) in einem gleichen Ätzschritt zu erreichen. Ein Gate-Zuletzt-HK-/Metall-Gate (in dem PFET) und ein Gate-Zuerst-Poly-Gate mit verringertem Gate-Widerstand (in dem NFET) werden gleichzeitig hergestellt. Das Verfahren stellt eine recht einfache Art bereit, um einen Hochohm-Widerstand herzustellen, indem ein Polysilizium-Gate-Stapel gegenüber Gate-Ätzungen geschützt wird. Das Verfahren wendet auch ein einziges Metall-CMP an, um Verbesserungen im Verfahrensfenster, Fehlerverringerung und Verbesserungen in der Verfahrenssteuerbarkeit zu erreichen. Das Verfahren kann leicht einem bestehenden Herstellungs-Verfahrensfluss angepasst werden.
  • Das Vorangegangene hat Merkmale von verschiedenen Ausführungen dargestellt. Der Fachmann sollte erkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um andere Verfahren und Strukturen zu entwerfen und modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile zu erlangen wie die hier eingeführten Ausführungen. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (10)

  1. Verfahren zur Herstellung einer Halbleitervorrichtung, wobei das Verfahren Folgendes umfasst: Bereitstellen eines Halbleitersubstrats mit einer Mehrzahl von Isoliermerkmalen und einem ersten High-k-(HK)-dielektrischen Material; Ausbilden von ersten, zweiten und dritten Polysilizium-Gate-Stapeln auf dem Halbleitersubstrat; Ausbilden von Seitenwand-Abstandhaltern auf den Polysilizium-Gate-Stapeln; Ausbilden einer Source und eines Drains auf dem Halbleitersubstrat; Ausbilden einer dielektrischen Zwischenschicht (ILD) auf dem Halbleitersubstrat; Ausführen einer chemisch-mechanischen Planarisierung (CMP) auf der ILD-Schicht; Ausbilden einer strukturierten harten Maske auf den ersten Polysilizium-Gate-Stapeln, um einen Hochohm-Widerstand auf dem Halbleitersubstrat zu definieren; Strukturieren und Definieren eines n-Feldeffekttransistor-(NFET)-Bereichs mit dem zweiten Polysilizium-Gate-Stapel und eines p-Feldeffekttransistor-(PFET)-Bereichs mit dem dritten Polysilizium-Gate-Stapel auf dem Halbleitersubstrat; Ausführen eines ersten Gate-Ätzens, um den dritten Polysilizium-Gate-Stapel in dem PFET-Bereich teilweise zu entfernen; Freilegen, nach dem ersten Gate-Ätzens, sowohl des NFET-Bereichs als auch des PFET-Bereichs als auch des Hochohm-Widerstands; Ausführen eines zweiten Gate-Ätzens, um den zweiten Polysilizium-Gate-Stapel in dem NFET-Bereich zu entfernen, um einen NFET-Gate-Graben auszubilden; und Entfernen von Polysilizium in dem PFET-Bereich, um einen PFET-Gate-Graben auszubilden; und Entfernen der strukturierten harten Maske auf dem ersten Polysilizium-Gate-Stapel; Füllen sowohl des PFET als auch des NFET-Gate-Grabens mit einem zweiten HK-dielektrischen Material; Ablagern eines p-Austrittsarbeit-(p-WF)-Metalls auf dem zweiten HK-dielektrischen Material sowohl auf dem PFET- als auch dem NFET-Gate-Graben; Ablagern einer metallischen Füllschicht auf der p-WF-Metallschicht; Ausführen eines Metall-CMP, um überschüssiges Metallschichtmaterial und überschüssiges zweites HK-dielektrisches Material zu entfernen, um HK-/Metall-Gate-Stapel in dem NFET und dem PFET-Bereich auszubilden.
  2. Verfahren nach Anspruch 1, wobei die harte Maske des Hochohm-Widerstands einen hohen Ätzwiderstand während des ersten Ätzens der Gates bereitstellt und die harte Maske des Hochohm-Widerstands durch das zweite Ätzen der Gates entfernt wird.
  3. Verfahren nach Anspruch 1 oder 2, wobei eine Dicke der Seitenwand-Abstandhalter, bevor die ILD-Schicht abgelagert wird, durch eine Nassätztechnik verkleinert wird.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei eine Kontakt-Ätzstoppschicht (CESL) zwischen der ILD-Schicht und dem Halbleitersubstrat angeordnet wird.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei bei dem ersten Gate-Ätzen das Polysilizium in dem NFET unverändert bleibt, während Polysilizium in dem PFET teilweise entfernt wird.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei in dem zweiten Gate-Ätzen Polysilizium in dem PFET entfernt wird, während das Polysilizium in dem NFET teilweise entfernt wird.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei der zweite Polysilizium-Gate-Stapel in dem NFET-Bereich durch ein Gate-Zuerst-Verfahren ausgebildet wird und der HK-/Metall-Gate-Stapel in dem PFET-Bereich durch ein Gate-Zuletzt-Verfahren ausgebildet wird.
  8. Halbleitervorrichtung mit einem Hybrid-HK-/Metall-Gate, die Folgendes umfasst: ein Halbleitersubstrat, das eine Mehrzahl von Source- und Drain-Merkmalen aufweist und eine Mehrzahl von Isoliermerkmalen, um das Halbleitersubstrat in einen NFET-Bereich und einen PFET-Bereich aufzuteilen; eine Mehrzahl von Gate-Stapeln, die über dem Halbleitersubstrat ausgebildet sind und zwischen den Source- und den Drain-Merkmalen angeordnet sind, wobei ein Gate-Stapel in dem PFET-Bereich Folgendes umfasst: eine Grenzschicht, die über dem Halbleitersubstrat ausgebildet ist; eine High-k-(HK)-dielektrische Schicht, die über der Grenzschicht ausgebildet ist; eine p-Austrittsarbeit-(p-WF)-Metallschicht, die oben auf der HK-dielektrischen Schicht ausgebildet ist; eine metallische Füllschicht, die oben auf der p-WF-Metallschicht ausgebildet ist; und wobei ein Gate-Stapel in dem NFET-Bereich Folgendes umfasst: eine Grenzschicht, die über dem Halbleitersubstrat ausgebildet ist; eine HK-dielektrische Schicht, die über der Grenzschicht ausgebildet ist; eine Deckschicht, die über der HK-dielektrischen Schicht ausgebildet ist; eine Polysiliziumschicht, die über der Deckschicht ausgebildet ist; eine p-WF-Metallschicht, die oben auf der Polysiliziumschicht ausgebildet ist; eine Metallschicht, die auf der p-WF-Schicht ausgebildet ist.
  9. Vorrichtung nach Anspruch 8, die weiter Abstandshalter umfasst, die auf Seitenwänden der Gate-Stapel ausgebildet sind, wobei die Abstandshalter einen Dichtungs-Abstandshalter und einen Haupt-Abstandshalter umfassen und wobei der Haupt-Abstandshalter eine Doppelschicht-Struktur umfasst.
  10. Vorrichtung nach Anspruch 8 oder 9, die weiter Folgendes umfasst: einen Hochohm-Widerstand, der auf dem Halbleitersubstrat ausgebildet ist und der Folgendes umfasst: eine Grenzschicht, die über dem Halbleitersubstrat ausgebildet ist; eine HK-dielektrische Schicht, die über der Grenzschicht ausgebildet ist; eine Deckschicht, die über der HK-dielektrischen Schicht ausgebildet ist; eine Polysiliziumschicht, die über der Deckschicht ausgebildet ist.
DE102013100414.8A 2012-03-09 2013-01-16 Verfahren zur Herstellung von Hybrid-High-k/Metall-Gate-Stapeln Active DE102013100414B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/415,967 US9093559B2 (en) 2012-03-09 2012-03-09 Method of hybrid high-k/metal-gate stack fabrication
US13/415,967 2012-03-09

Publications (2)

Publication Number Publication Date
DE102013100414A1 true DE102013100414A1 (de) 2013-09-12
DE102013100414B4 DE102013100414B4 (de) 2020-11-12

Family

ID=49029677

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013100414.8A Active DE102013100414B4 (de) 2012-03-09 2013-01-16 Verfahren zur Herstellung von Hybrid-High-k/Metall-Gate-Stapeln

Country Status (4)

Country Link
US (1) US9093559B2 (de)
KR (1) KR101403097B1 (de)
CN (1) CN103311185B (de)
DE (1) DE102013100414B4 (de)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140108982A (ko) * 2013-03-04 2014-09-15 삼성전자주식회사 메모리 장치 및 그 제조 방법
CN104701262B (zh) * 2013-12-10 2017-11-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件的形成方法
US9397100B2 (en) * 2013-12-29 2016-07-19 Texas Instruments Incorporated Hybrid high-k first and high-k last replacement gate process
US9196708B2 (en) 2013-12-30 2015-11-24 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a semiconductor device structure
CN105225949B (zh) * 2014-05-26 2018-08-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
KR102262887B1 (ko) 2014-07-21 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9293556B2 (en) * 2014-07-29 2016-03-22 Globalfoundries Inc. Semiconductor structure including a ferroelectric transistor and method for the formation thereof
KR102240022B1 (ko) 2014-11-26 2021-04-15 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN105990341B (zh) * 2015-01-30 2019-08-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102214096B1 (ko) 2015-08-06 2021-02-09 삼성전자주식회사 반도체 장치 제조 방법
US10141417B2 (en) 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
US9887130B2 (en) * 2016-01-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming the same
US10431583B2 (en) 2016-02-11 2019-10-01 Samsung Electronics Co., Ltd. Semiconductor device including transistors with adjusted threshold voltages
US9627258B1 (en) * 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10490649B2 (en) 2017-05-30 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with adhesion layer
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
DE102017216214B4 (de) * 2017-09-13 2019-05-09 Infineon Technologies Ag Verfahren zur Herstellung eines kombinierten Halbleiterbauelements
US10490458B2 (en) 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US10312348B1 (en) * 2017-11-22 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device gate spacer structures and methods thereof
US10840153B2 (en) * 2018-06-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Notched gate structure fabrication
CN109980014B (zh) * 2019-03-26 2023-04-18 湘潭大学 一种后栅极铁电栅场效应晶体管及其制备方法
US11133321B2 (en) 2019-09-26 2021-09-28 Nanya Technology Corporation Semiconductor device and method of fabricating the same
TWI809384B (zh) * 2020-04-28 2023-07-21 台灣積體電路製造股份有限公司 積體電路結構及其形成方法
US12015066B2 (en) * 2020-06-17 2024-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Triple layer high-k gate dielectric stack for workfunction engineering
CN114068690A (zh) * 2020-07-29 2022-02-18 长鑫存储技术有限公司 半导体结构及其形成方法
CN116798956A (zh) * 2022-03-15 2023-09-22 长鑫存储技术有限公司 一种半导体器件的制备方法及半导体器件

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3023355B1 (ja) * 1998-12-25 2000-03-21 松下電器産業株式会社 半導体装置及びその製造方法
US7109079B2 (en) * 2005-01-26 2006-09-19 Freescale Semiconductor, Inc. Metal gate transistor CMOS process and method for making
US7833849B2 (en) 2005-12-30 2010-11-16 International Business Machines Corporation Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode
US7718496B2 (en) * 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks
CN101552229B (zh) * 2008-03-31 2012-04-11 联华电子股份有限公司 半导体元件及其制作方法
US8058119B2 (en) * 2008-08-27 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Device scheme of HKMG gate-last process
US7977181B2 (en) * 2008-10-06 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process
DE102009006802B3 (de) * 2009-01-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
DE102009046245B4 (de) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
DE102010001406B4 (de) * 2010-01-29 2014-12-11 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
US8389359B2 (en) * 2010-02-08 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming low resistance and uniform metal gate
US8039388B1 (en) * 2010-03-24 2011-10-18 Taiwam Semiconductor Manufacturing Company, Ltd. Main spacer trim-back method for replacement gate process
DE102010040058A1 (de) * 2010-08-31 2012-03-01 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Polysiliziumwiderstände, die in einem Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε hergestellt sind

Also Published As

Publication number Publication date
US9093559B2 (en) 2015-07-28
DE102013100414B4 (de) 2020-11-12
KR101403097B1 (ko) 2014-06-03
CN103311185A (zh) 2013-09-18
US20130234254A1 (en) 2013-09-12
CN103311185B (zh) 2015-04-15
KR20130103281A (ko) 2013-09-23

Similar Documents

Publication Publication Date Title
DE102013100414B4 (de) Verfahren zur Herstellung von Hybrid-High-k/Metall-Gate-Stapeln
DE102018100058B4 (de) Verfahren auf Basis von Atomlagenabscheidung für Kontaktsperrschicht
DE102019116730B4 (de) Teilweise barrierefreie Durchkontaktierungen für kobaltbasierte Verbindungen und Verfahren zu deren Herstellung
DE102015107272B4 (de) Struktur und Verfahren für ein 3D-FinFET-Metallgate
DE102012025824B4 (de) FinFET-Vorrichtung und Verfahren zum Herstellen einer FinFET-Vorrichtung
DE102009015747B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
DE102015112832B4 (de) Struktur und Ausbildungsverfahren einer Halbleiterbauelementstruktur mit Gatestapel
DE102014106152B4 (de) FinFET-Vorrichtung mit High-k-Metallgate-Stapel
DE102017127095B4 (de) Gesteuerte luftspalte unter kontaktmerkmalen zwischen finnen von finfets
DE102013110023B4 (de) Herstellung einer Nanodraht-Halbleitervorrichtung
DE112005001593B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit einer High-K-Gate-Dielektrikumschicht und einer Metall-Gateelektrode
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE102017119616A1 (de) Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren
DE102008054075B4 (de) Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
DE102017127708A1 (de) Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung
DE102019117656A1 (de) Gate structures having interfacial layers
DE102015116912A1 (de) Halbleiterstruktur und Verfahren zum Herstellen von dieser
DE102013101919B4 (de) Verfahren zur Herstellung einer Metallgate-Halbleitervorrichtung
DE102017120565A1 (de) Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung
JP2009026997A (ja) 半導体装置およびその製造方法
DE102020104621A1 (de) Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren
DE102018122862A1 (de) Verfahren zum Verbessern von Topografie dielektrischer Zwischenschichten
DE102015116915B4 (de) Halbleitervorrichtungsstruktur und Verfahren zum Ausbilden von dieser
DE102019113425B4 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102021104817B4 (de) Halbleitervorrichtung und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021336000

Ipc: H01L0021770000

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final