DE102017119616A1 - Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren - Google Patents

Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren Download PDF

Info

Publication number
DE102017119616A1
DE102017119616A1 DE102017119616.1A DE102017119616A DE102017119616A1 DE 102017119616 A1 DE102017119616 A1 DE 102017119616A1 DE 102017119616 A DE102017119616 A DE 102017119616A DE 102017119616 A1 DE102017119616 A1 DE 102017119616A1
Authority
DE
Germany
Prior art keywords
nanowire
semiconductor layer
spacers
layer
spacer layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102017119616.1A
Other languages
English (en)
Other versions
DE102017119616B4 (de
Inventor
Tung Ying Lee
Shao-Ming Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017119616A1 publication Critical patent/DE102017119616A1/de
Application granted granted Critical
Publication of DE102017119616B4 publication Critical patent/DE102017119616B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41758Source or drain electrodes for field effect devices for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Nanodraht-basierte integrierte Schaltungsvorrichtungen und ihre Herstellungsverfahren sind hierin offenbart. Ein beispielhaftes Verfahren umfasst das Ausbilden einer Heterostruktur über einem Substrat. Eine Gatestruktur, die einen Teil der Heterostruktur durchquert, wird so ausgebildet, dass die Gatestruktur einen Source-Bereich und einen Drain-Bereich der Heterostruktur trennt und einen Kanalbereich zwischen dem Source-Bereich und dem Drain-Bereich definiert. Ein Source/Drain-Nanodraht-Freilegungsverfahren wird auf die Heterostruktur angewendet, um einen Nanodraht in dem Source-Bereich und dem Drain-Bereich freizulegen. Nanodraht-Abstandshalter werden dann in dem Source-Bereich und dem Drain-Bereich ausgebildet. Der Nanodraht ist zwischen den Nanodraht-Abstandshaltern angeordnet. Während eines Gate-Ersetzungsverfahrens wird ein Kanal-Nanodraht-Freilegungsverfahren auf die Heterostruktur angewendet, um den Nanodraht in dem Kanalbereich freizulegen. Epitaktische Source/Drain-Elemente werden vor dem Gate-Ersetzungsverfahren über dem Nanodraht und den Nanodraht-Abstandshaltern in dem Source-Bereich und dem Drain-Bereich ausgebildet.

Description

  • Diese Anmeldung ist eine vollständige Anmeldung und beansprucht die Priorität der vorläufigen US-Patentanmeldung mit der Seriennummer 62/511 775 , eingereicht am 26. Mai 2017, deren gesamte Offenbarung hierin durch Bezugnahme aufgenommen ist.
  • HINTERGRUND
  • Die Elektronikindustrie hat eine ständig steigende Nachfrage nach kleineren und schnelleren elektronischen Vorrichtungen erlebt, die gleichzeitig eine größere Anzahl von immer komplexeren und anspruchsvolleren Funktionen unterstützen können. Um diese Anforderungen zu erfüllen, gibt es eine fortlaufende Entwicklung in der IC-Industrie, kostengünstige, leistungsstarke und sparsame ICs herzustellen. Bisher wurden diese Ziele größtenteils durch Verringerung der IC-Abmessungen (zum Beispiel der minimalen IC-Elementsgröße) erreicht, wodurch die Produktionseffizienz verbessert und die damit verbundenen Kosten gesenkt wurden. Diese Skalierung hat jedoch auch die Komplexität der IC-Herstellungsverfahren erhöht. Somit erfordert die Realisierung fortgesetzter Fortschritte bei IC-Vorrichtungen und ihrer Leistung ähnliche Fortschritte bei IC-Herstellungsverfahren und -technologien.
  • In letzter Zeit wurden Multigate-Vorrichtungen eingeführt, um die Gatesteuerung zu verbessern. Es wurde beobachtet, dass Multigate-Vorrichtungen die Gate-Kanal-Kopplung erhöhen, den Strom im AUS-Zustand reduzieren und/oder Kurzkanaleffekte (SCEs) reduzieren. Eine solche Multigate-Vorrichtung ist die Gate-All-Around- (GAA) -Vorrichtung, die eine Gatestruktur aufweist, die sich teilweise oder vollständig um einen Kanalbereich herum erstrecken kann, um auf zwei oder mehr Seiten Zugriff auf den Kanalbereich bereitzustellen. GAA-Vorrichtungen ermöglichen ein aggressives Skalieren von IC-Technologien, halten die Gatesteuerung aufrecht und minimieren SCEs, während sie sich nahtlos in herkömmliche IC-Fertigungsverfahren integrieren lassen. GAA-Vorrichtungen umfassen oft Nanodraht-basierte Strukturen, bei denen Nanodrähte unter Verwendung eines zweistufigen Freilegungsverfahrens hergestellt werden - eines Source/Drain-Nanodraht-Freilegungsverfahrens und eines Kanal-Nanodraht-Freilegungsverfahren. Während des Kanal-Nanodraht-Freilegungsverfahrens sind Herausforderungen aufgetreten, die die Vorrichtungsleistung beeinträchtigen und die Verarbeitungskomplexität erhöhen. Demgemäß sind, obwohl existierende GAA-Vorrichtungen und ihre Herstellungsverfahren allgemein für ihre beabsichtigten Zwecke geeignet waren, sie nicht in jeder Hinsicht vollständig zufriedenstellend.
  • Figurenliste
  • Die vorliegende Offenbarung wird am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Es wird betont, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Beschreibung dienen. Tatsächlich können die Abmessungen der verschiedenen Elemente zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • 1 ist ein Flussdiagramm eines Verfahrens zum Herstellen einer integrierten Schaltungsvorrichtung gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 2 ist eine dreidimensionale Perspektivansicht einer integrierten Schaltungsvorrichtung in einem Teil oder in einer Gesamtheit gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • Die 3A-13A und 3B-13B sind schematische Teilansichten einer integrierten Schaltungsvorrichtung in einem Teil oder in einer Gesamtheit in verschiedenen Herstellungsstadien (wie etwa jenen, die zu dem Verfahren von 1 gehören) gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • Die 14A-25A und 14B-25B sind schematische Teilansichten einer weiteren integrierten Schaltungsvorrichtung in einem Teil oder in einer Gesamtheit in verschiedenen Herstellungsstadien (wie z. B. jenen, die zu dem Verfahren von 1 gehören) gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • Die 26A-37A und 26B-37B sind schematische Teilansichten einer weiteren integrierten Schaltungsvorrichtung in einem Teil oder in einer Gesamtheit in verschiedenen Herstellungsstadien (wie z. B. jenen, die zu dem Verfahren von 1 gehören) gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • Die 38A-48A und 38B-48B sind schematische Teilansichten einer weiteren integrierten Schaltungsvorrichtung in einem Teil oder in einer Gesamtheit in verschiedenen Herstellungsstadien (wie z. B. jenen, die zu dem Verfahren von 1 gehören) gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft allgemein integrierte Schaltungsvorrichtungen und insbesondere Nanodraht-basierte integrierte Schaltungsvorrichtungen.
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren unterschiedlicher Merkmale bereit. Bezugszeichen und/oder Buchstaben können in den verschiedenen hier beschriebenen Beispielen wiederholt werden. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen offenbarten Ausführungsformen und/oder Konfigurationen. Des Weiteren werden spezifische Beispiele von Komponenten und Anordnungen unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Darüber hinaus kann das Ausbilden eines Elements auf, verbunden mit und/oder gekoppelt mit einem anderen Element in der vorliegenden Offenbarung Ausführungsformen umfassen, in denen die Elemente in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente so ausgebildet werden können, dass sie zwischen den Elementen angeordnet sind, so dass die Elemente nicht in direktem Kontakt stehen müssen.
  • Des Weiteren werden räumlich relative Begriffe, zum Beispiel „unterer“, „oberer“, „horizontal“, „vertikal“, „oben“, „über“, „unten“, „unter“, „nach oben“, „nach unten“, „oberster“, „unterster“ etc. sowie Varianten davon (z. B. „horizontal“, „abwärts“, „aufwärts“, etc.) hierin zur Vereinfachung der Beschreibung verwendet, um die Beziehung eines Elements oder Elements zu einem oder mehreren anderen Element oder Elementen zu beschreiben. Die räumlich relativen Begriffe sollen andere Orientierungen als diejenigen umfassen, die für eine Vorrichtung (oder ein System oder ein Gerät) gezeigt sind, das das eine oder die mehreren Elemente oder Elemente aufweist, einschließlich Orientierungen, die der Verwendung oder dem Betrieb der Vorrichtung zugeordnet sind. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • 1 ist ein Flussdiagramm eines Verfahrens 100 zum Herstellen einer integrierten Schaltungsvorrichtung gemäß verschiedenen Aspekten der vorliegenden Offenbarung. In der vorliegenden Ausführungsform stellt das Verfahren 100 eine Nanodraht-basierte integrierte Schaltungsvorrichtung wie etwa einen Gate-All-Around- (GAA) -Transistor her. Bei Block 110 wird eine Heterostruktur über einem Substrat ausgebildet. Die Heterostruktur umfasst mindestens ein Halbleiterschichtpaar mit einer ersten Halbleiterschicht und einer zweiten Halbleiterschicht, die über der ersten Halbleiterschicht angeordnet ist. Die zweite Halbleiterschicht unterscheidet sich von der ersten Halbleiterschicht. Bei Block 120 wird eine Gatestruktur über einem Teil der Heterostruktur so ausgebildet, dass die Gatestruktur einen Source-Bereich und einen Drain-Bereich der Heterostruktur trennt. Ein Kanalbereich ist zwischen dem Source-Bereich und dem Drain-Bereich definiert. Die Gatestruktur umfasst einen Dummy-Gatestapel. In einigen Implementierungen umfasst die Gatestruktur Gate-Abstandshalter. Bei Block 130 wird ein Source/Drain-Nanodraht-Freilegungsverfahren auf die Heterostruktur angewendet, so dass ein Nanodraht in dem Source-Bereich und dem Drain-Bereich freigelegt wird. In einigen Implementierungen umfasst das Source/Drain-Nanodraht-Freilegungsverfahren das selektive Entfernen der ersten Halbleiterschicht von dem Source-Bereich und dem Drain-Bereich der Heterostruktur so, dass der Nanodraht aus der zweiten Halbleiterschicht ausgebildet wird. Bei Block 140 werden Nanodraht-Abstandshalter in dem Source-Bereich und dem Drain-Bereich so ausgebildet, dass der Nanodraht zwischen den Nanodraht-Abstandshaltern angeordnet ist. Die Nanodraht-Abstandshalter erstrecken sich unter Gate-Abstandshaltern in den Kanalbereich hinein. In einigen Implementierungen ist die zweite Halbleiterschicht zwischen den Nanodraht-Abstandshaltern in dem Source-Bereich und dem Drain-Bereich angeordnet. In einigen Implementierungen werden Gate-Abstandshalter ausgebildet, während die Nanodraht-Abstandshalter ausgebildet werden. In einigen Implementierungen umfassen die Nanodraht-Abstandshalter eine Mehrschichtstruktur, wie zum Beispiel eine erste Nanodrahtschicht und eine zweite Nanodrahtschicht. In einigen Implementierungen umfasst das Ausbilden der Nanodraht-Abstandshalter das Abscheiden einer Nanodraht-Abstandsschicht über dem Nanodraht und das selektive Ätzen der Nanodraht-Abstandsschicht, um die Seitenwände des Nanodrahts freizulegen. In einigen Implementierungen wird die Nanodraht-Abstandsschicht vor dem Ätzen behandelt (zum Beispiel durch ein Ionenimplantationsverfahren). Die behandelte Nanodraht-Abstandsschicht umfasst einen behandelten Teil und einen unbehandelten Teil, wobei der behandelte Teil eine andere funktionelle Eigenschaft (zum Beispiel eine andere Ätzcharakteristik) als der unbehandelte Abschnitt aufweist. Bei Block 150 werden epitaktische Source/Drain-Elemente über dem Nanodraht und den Nanodraht-Abstandshaltern in dem Source-Bereich und dem Drain-Bereich ausgebildet. In einigen Implementierungen, in denen die Nanodraht-Abstandshalter so strukturiert (zum Beispiel geätzt) wurden, dass sie einen Raum (oder Hohlraum) einschließen, füllen die epitaktischen Source/Drain-Elemente den Raum in den Nanodraht-Abstandshaltern aus. Bei Block 160 wird während eines Gate-Ersetzungsverfahrens ein Kanal-Nanodraht-Freilegungsverfahren auf die Heterostruktur angewendet, so dass der Nanodraht in dem Kanalbereich freigelegt wird. Das Gate-Ersetzungsverfahren kann das Entfernen des Dummy-Gatestapels von der Gatestruktur umfassen, wodurch eine Öffnung in der Gatestruktur ausgebildet wird. In einigen Implementierungen legt die Öffnung einen verbleibenden Teil der ersten Halbleiterschicht frei und das Kanal-Nanodraht-Freilegungsverfahren kann das selektive Entfernen des verbleibenden Teils der ersten Halbleiterschicht von dem Kanalbereich der Heterostruktur umfassen. Ein Metall-Gatestapel kann dann in der Öffnung der Gatestruktur ausgebildet werden. Bei Block 170 kann das Verfahren 100 damit fortfahren, die Herstellung der integrierten Schaltungsvorrichtung abzuschließen. Zusätzliche Schritte können vor, während und nach dem Verfahren 100 vorgesehen sein und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen des Verfahrens 100 verschoben, ersetzt oder beseitigt werden. Die folgende Beschreibung zeigt verschiedene Ausführungsformen von Nanodraht-basierten integrierten Schaltungsvorrichtungen, die gemäß dem Verfahren 100 hergestellt werden können.
  • Die 2, 3A-13A und 3B-13B sind schematische Teilansichten einer integrierten Schaltungsvorrichtung 200 in einem Teil oder in einer Gesamtheit in verschiedenen Herstellungsstadien (wie zum Beispiel denen, die zu dem Verfahren 100 gehören) gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Insbesondere ist 2 eine dreidimensionale Perspektivansicht der integrierten Schaltungsvorrichtung 200 in einer XYZ-Ebene, die 3A-13A sind schematische Querschnittsansichten der integrierten Schaltungsvorrichtung 200 in einer XZ-Ebene entlang der Linie A-A von 2 und die 3B-13B sind schematische Querschnittsansichten der integrierten Schaltungsvorrichtung 200 in einer YZ-Ebene entlang der Linie B-B von 2. Die integrierte Schaltungsvorrichtung 200 kann in einem Mikroprozessor, einem Speicher und/oder einer anderen integrierten Schaltungsvorrichtung vorgesehen sein. In einigen Implementierungen ist die integrierte Schaltungsvorrichtung 200 ein Teil eines IC-Chips, eines System-on-Chip (SoC) oder eines Teils davon, der verschiedene passive und aktive mikroelektronische Vorrichtungen wie Widerstände, Kondensatoren, Induktoren, Dioden, p-Feldeffekttransistoren (PFETs), n-Feldeffekttransistoren (NFETs), Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metalloxid-Halbleiter- (CMOS) -Transistoren, Bipolartransistoren (BJTs), lateral diffundierte MOS-(LDMOS) -Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon umfasst. In einigen Implementierungen ist die integrierte Schaltungsvorrichtung 200 in einem nichtflüchtigen Speicher vorgesehen, wie einem nichtflüchtigen Direktzugriffsspeicher (NVRAM), einem Flash-Speicher, einem elektrisch löschbaren programmierbaren Nur-Lese-Speicher (EEPROM), einem elektrisch programmierbaren Nur-Lese-Speicher (EPROM), einem anderen geeigneten Speichertyp oder Kombinationen davon. Die 2, 3A-13A und 3B-13B wurden aus Gründen der Klarheit vereinfacht, um die erfinderischen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Elemente können in der integrierten Schaltungsvorrichtung 200 hinzugefügt werden, und einige der nachstehend beschriebenen Elemente können in anderen Ausführungsformen der integrierten Schaltungsvorrichtung 200 ersetzt, modifiziert oder eliminiert werden.
  • In den 2, 3A und 3B umfasst die integrierte Schaltungsvorrichtung 200 ein Substrat (Wafer) 202. In der gezeigten Ausführungsform umfasst das Substrat 202 Silizium. Alternativ oder zusätzlich umfasst das Substrat 202 einen anderen elementaren Halbleiter, wie beispielsweise Germanium; einen Verbindungshalbleiter, wie Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie beispielsweise Silizium-Germanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Alternativ ist das Substrat 202 ein Halbleiter-auf-Isolator-Substrat, wie beispielsweise ein Silizium-auf-Isolator- (SOI) - Substrat, ein Silizium-Germanium-auf-Isolator- (SGOI) -Substrat oder ein Germanium-auf-Isolator- (GOI) -Substrat. Halbleiter-auf-Isolator-Substrate können unter Verwendung einer Trennung durch Implantation von Sauerstoff (SIMOX), Waferbonden und/oder anderer geeigneter Verfahren hergestellt werden. Das Substrat 202 kann abhängig von den Entwurfsanforderungen der integrierten Schaltungsvorrichtung 200 verschiedene dotierte Bereiche umfassen. Beispielsweise umfasst das Substrat 202 p-dotierte Bereiche (zum Beispiel p-Wannen), die mit p-Dotierstoffen wie Bor (zum Beispiel BF), Indium, anderen p-Dotierstoffen oder Kombinationen davon dotiert sind. Alternativ oder zusätzlich umfasst das Substrat 202 n-dotierte Bereiche (zum Beispiel n-Wannen), die mit n-Dotierstoffen wie Phosphor, Arsen, anderen n-Dotierstoffen oder Kombinationen davon dotiert sind. In einigen Implementierungen umfasst das Substrat 202 dotierte Bereiche, die mit einer Kombination von p-Dotierstoffen und n-Dotierstoffen ausgebildet sind. Die verschiedenen dotierten Bereiche können direkt auf und/oder in dem Substrat 202 ausgebildet werden, zum Beispiel durch Bereitstellen einer p-Wannenstruktur, einer n-Wannenstruktur, einer Doppelwannenstruktur, einer erhabenen Struktur oder Kombinationen davon. Ein Ionenimplantationsverfahren, ein Diffusionsverfahren und/oder ein anderes geeignetes Dotierungsverfahren kann durchgeführt werden, um die verschiedenen dotierten Bereiche auszubilden.
  • Eine Heterostruktur 205 ist über dem Substrat 202 angeordnet. In den 2, 3A und 3B erstreckt sich die Heterostruktur 205 (auch als Finne und/oder Finnenstruktur bezeichnet) entlang einer x-Richtung mit einer in der x-Richtung definierten Länge, einer in einer y-Richtung definierten Breite und einer in einer Z-Richtung definierten Höhe. Ein Kanalbereich 206, ein Source-Bereich 207 und ein Drain-Bereich 208 sind in der Heterostruktur 205 definiert, wobei der Kanalbereich 206 (hierin auch als ein Gate-Bereich bezeichnet) zwischen dem Source-Bereich 207 und dem Drain-Bereich 208 (auch allgemein als Source/Drain-Bereiche bezeichnet) angeordnet ist. Der Halbleiterschichtstapel umfasst verschiedene Halbleiterschichten, wie zum Beispiel eine Halbleiterschicht 210, eine Halbleiterschicht 215, eine Halbleiterschicht 220, eine Halbleiterschicht 225, eine Halbleiterschicht 230 und eine Halbleiterschicht 235. Obwohl die Halbleiterschicht 210 als eine separate Schicht gezeigt ist, sieht die vorliegende Offenbarung Ausführungsformen vor, bei denen die Halbleiterschicht 210 eine Erweiterung des Substrats 202 ist (zum Beispiel dann, wenn Teile des Substrats 202 entfernt werden, um die Halbleiterschicht 210 aus dem Substrat 202 auszubilden). Die Halbleiterschichten umfassen ein beliebiges geeignetes Material, wie etwa Silizium, Germanium, Silizium-Germanium, ein anderes geeignetes Material oder Kombinationen davon. Die Halbleiterschichten können gleiche oder unterschiedliche Materialien, Ätzraten, atomare Anteile der Bestandteile, Gewichtsanteile der Bestandteil, Dicken (Höhen) und/oder Konfigurationen umfassen, die von den Entwurfsanforderungen der integrierten Schaltungsvorrichtung 200 abhängen. In einigen Implementierungen umfasst der Halbleiterschichtstapel mindestens ein Halbleiterschichtpaar mit einer ersten Halbleiterschicht und einer zweiten Halbleiterschicht, die über der ersten Halbleiterschicht angeordnet ist, wobei sich die zweite Halbleiterschicht von der ersten Halbleiterschicht unterscheidet. Zum Beispiel umfasst in der gezeigten Ausführungsform die Heterostruktur 205 ein Halbleiterschichtpaar 205A, das die Halbleiterschicht 210 und die Halbleiterschicht 215 umfasst, ein Halbleiterschichtpaar 205B, das die Halbleiterschicht 220 und die Halbleiterschicht 225 umfasst, und ein Halbleiterschichtpaar 205C, das die Halbleiterschicht 230 und die Halbleiterschicht 235 umfasst. In einigen Implementierungen umfassen die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 ein gleiches Material, während die Halbleiterschicht 215, die Halbleiterschicht 225 und die Halbleiterschicht 235 ein gleiches Material umfassen, das sich von dem Material der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230 unterscheidet. Die vorliegende Offenbarung zieht Ausführungsformen in Betracht, bei denen der Halbleiterschichtstapel mehr oder weniger Halbleiterschichten, Halbleiterschichtpaare und/oder Konfigurationen in Abhängigkeit von Entwurfsanforderungen der integrierten Schaltungsvorrichtung 200 umfasst.
  • In einigen Implementierungen umfasst der Halbleiterschichtstapel Halbleiterschichten, die Materialien mit unterschiedlichen Ätzraten umfassen. Zum Beispiel umfassen die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 ein Material mit einer ersten Ätzrate und die Halbleiterschicht 215, die Halbleiterschicht 225 und die Halbleiterschicht 235 ein Material mit einer zweiten Ätzrate. Das Material der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230 kann eine hohe Ätzrate relativ zu dem Material der Halbleiterschicht 215, der Halbleiterschicht 225 und der Halbleiterschicht 235 aufweisen oder umgekehrt. In einigen Implementierungen umfasst der Halbleiterschichtstapel abwechselnde Halbleiterschichten, wie z. B. Halbleiterschichten, die aus einem ersten Material bestehen, und Halbleiterschichten, die aus einem zweiten Material bestehen. Zum Beispiel kann die Heterostruktur 205 einen Halbleiterschichtstapel umfassen, in dem Siliziumschichten und Silizium-Germanium-Schichten (zum Beispiel SiGe/Si/SiGe/Si/SiGe/Si von einem Boden zu einer Oberseite der Heterostruktur 205) abwechseln. In solchen Implementierungen umfassen die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 Silizium-Germanium, und die Halbleiterschicht 215, die Halbleiterschicht 225 und die Halbleiterschicht 235 umfassen Silizium. In einigen Implementierungen umfasst der Halbleiterschichtstapel Halbleiterschichten aus dem gleichen Material, aber mit alternierenden Atomanteilen, wie Halbleiterschichten mit einem Bestandteil eines ersten Atomanteils und Halbleiterschichten mit einem Bestandteil eines zweiten Atomanteils. Zum Beispiel kann die Heterostruktur 205 einen Halbleiterschichtstapel umfassen, der Silizium-Germanium-Schichten mit alternierenden Silizium-Atomanteilen und/oder Germanium-Atomanteilen umfasst (zum Beispiel SiaGeb/SixGey/SiaGeb/SixGey/SiaGeb/SixGey von einem Boden zu einer Oberseite der Heterostruktur 205, wobei a ein Atomanteil von Silizium ist, b ein Atomanteil von Germanium ist, x ein Atomanteil von Silizium ist und y ein Atomanteil von Germanium ist). In solchen Implementierungen sind die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 Silizium-Germanium-Schichten mit einem ersten Silizium-Atomanteil und/oder einem ersten Germanium-Atomanteil und die Halbleiterschicht 215, die Halbleiterschicht 225 und die Halbleiterschicht 235 sind Silizium-Germanium-Schichten mit einem zweiten Silizium-Atomanteil und/oder einem zweiten Germanium-Atomanteil, der sich von dem ersten Silizium-Atomanteil und/oder dem ersten Germanium-Atomanteil unterscheidet. In einigen Implementierungen reicht ein Germanium-Atomanteil der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230 von ungefähr 20 % bis ungefähr 60 %, während ein Germanium-Atomanteil der Halbleiterschicht 215, der Halbleiterschicht 225 und der Halbleiterschicht 235 von ungefähr o % bis ungefähr 30 % reicht. In einigen Implementierungen reicht ein Silizium-Atomanteil der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230 von ungefähr 40 % bis ungefähr 80 %, während ein Silizium-Atomanteil der Halbleiterschicht 215, der Halbleiterschicht 225 und der Halbleiterschicht 235 von ungefähr 70 % bis ungefähr 100 % reicht. Zum Beispiel weisen die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 einen Silizium-Atomanteil von ungefähr 50 % und einen Germanium-Atomanteil von ungefähr 50 % auf, während die Halbleiterschicht 215, die Halbleiterschicht 225 und die Halbleiterschicht 235 einen Silizium-Atomanteil von etwa 80 % und einen Germanium-Atomanteil von etwa 20 % aufweisen.
  • Die Heterostruktur 205 wird über dem Substrat 202 unter Verwendung irgendeines geeigneten Verfahrens ausgebildet. In einigen Implementierungen wird die Halbleiterschicht 210 epitaktisch auf dem Substrat 202 gezüchtet, die Halbleiterschicht 215 wird epitaktisch auf der Halbleiterschicht 210 gezüchtet, die Halbleiterschicht 220 wird epitaktisch auf der Halbleiterschicht 215 gezüchtet, die Halbleiterschicht 225 wird epitaktisch auf der Halbleiterschicht 220 gezüchtet, die Halbleiterschicht 230 wird epitaktisch auf der Halbleiterschicht 225 gezüchtet und die Halbleiterschicht 235 wird epitaktisch auf der Halbleiterschicht 230 gezüchtet. Ein Epitaxieverfahren kann chemische Gasphasenabscheidungstechniken (CVD) (zum Beispiel Gasphasenepitaxie (VPE) und/oder Ultrahochvakuum-CVD (UHV-CVD)), Molekularstrahlepitaxie, andere geeignete epitaktische Wachstumsverfahren oder Kombinationen davon verwenden. In einigen Implementierungen wird ein Lithographie- und/oder Ätzverfahren durchgeführt, um die finnenartige Struktur der Heterostruktur 205 so auszubilden, dass sich die Heterostruktur 205 von dem Substrat 202 erstreckt. Das Lithographieverfahren kann das Ausbilden einer Resistschicht über der Heterostruktur 205 (zum Beispiel durch Rotationsbeschichtung), das Durchführen eines Vorbelichtungs-Backverfahrens, das Durchführen eines Belichtungsverfahrens unter Verwendung einer Maske, das Durchführen eines Nachbelichtungs-Backverfahrens und das Durchführen eines Entwicklungsverfahrens umfassen. Während des Belichtungsverfahrens wird die Resistschicht mit Strahlungsenergie (wie ultraviolettem (UV-) Licht, tiefem UV-Licht (DUV) oder extremem UV-Licht (EUV)) bestrahlt, wobei die Maske Strahlung auf die Resistschicht blockiert, durchlässt und/oder reflektiert, in Abhängigkeit von einer Maskenstruktur der Maske und/oder des Maskentyps (zum Beispiel einer binären Maske, einer Phasenverschiebungsmaske oder einer EUV-Maske), so dass ein Bild auf die Resistschicht projiziert wird, das der Maskenstruktur entspricht. Da die Resistschicht für Strahlungsenergie empfindlich ist, ändern sich freiliegende Abschnitte der Resistschicht chemisch, und belichtete (oder nicht belichtete) Abschnitte der Resistschicht werden während des Entwicklungsverfahrens in Abhängigkeit von den Eigenschaften der Resistschicht und den Eigenschaften der Entwicklungslösung aufgelöst, die im Entwicklungsverfahren verwendet werden. Nach dem Entwickeln umfasst die strukturierte Resistschicht eine Resiststruktur, die der Maske entspricht. Das Ätzverfahren entfernt Abschnitte der Heterostruktur 205 unter Verwendung der strukturierten Resistschicht als einer Ätzmaske. Das Ätzverfahren kann ein Trockenätzverfahren, ein Nassätzverfahren, ein anderes geeignetes Ätzverfahren oder Kombinationen davon umfassen. In einigen Implementierungen wird ein reaktives Ionenätz- (RIE) -Verfahren durchgeführt. Nach dem Ätzverfahren wird die strukturierte Resistschicht entfernt, zum Beispiel durch einen Resist-Ablöseverfahren. Alternativ wird die Heterostruktur 205 durch einen Mehrfachstrukturierungsverfahren ausgebildet, wie beispielsweise ein Doppelstrukturierungs-Lithographie- (DPL) -Verfahren (zum Beispiel ein Lithographie-Ätz-Lithographie-Ätz- (LELE) - Verfahren, ein selbstjustiertes Doppelstrukturierungs- (SADP) -Verfahren, ein Abstandshalter-Dielektrikum- (SID) -SADP-Verfahren, ein anderes Doppelstrukturierungsverfahren oder Kombinationen davon), ein Tripelstrukturierungsverfahren (zum Beispiel ein Lithographie-Ätz-Lithographie-Ätz-Lithographie-Ätz- (LELELE) -Verfahren, ein selbstjustiertes Triplestrukturierungs- (SATP) -Verfahren, ein anderes Tripelstrukturierungsverfahren oder Kombinationen davon), ein anderes Mehrfachstrukturierungsverfahren (zum Beispiel ein selbstjustiertes Quadrupelstrukturierungs- (SAQP) -Verfahren) oder Kombinationen davon. In einigen Implementierungen werden Techniken der gerichteten Selbstanordnung (DSA) implementiert, während die Heterostruktur 205 ausgebildet wird. Ferner kann das Belichtungsverfahren in einigen alternativen Implementierungen maskenlose Lithographie, Elektronenstrahl- (E-Beam) -Schreiben, Ionenstrahlschreiben und/oder Nanopräge-Technologie zum Strukturieren der Resistschicht implementieren.
  • Ein oder mehrere Isolationselemente 238 sind über und/oder in dem Substrat 202 ausgebildet, um verschiedene Bereiche, wie verschiedene Vorrichtungsbereiche, der integrierten Schaltungsvorrichtung 200 zu isolieren. Zum Beispiel trennen und isolieren die Isolationselemente 238 die Heterostruktur (Finnenstruktur) 205 von anderen Heterostrukturen (nicht gezeigt), die über dem Substrat 202 ausgebildet sind. Die Isolationselemente 238 umfassen Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, ein anderes geeignetes Isolationsmaterial (das zum Beispiel Silizium, Sauerstoff, Stickstoff, Kohlenstoff oder ein anderes geeignetes Isolationselement aufweist) oder Kombinationen davon. Die Isolationselemente 238 können unterschiedliche Strukturen umfassen, wie flache Grabenisolations- (STI) -Strukturen, tiefe Grabenisolations- (DTI) -Strukturen und/oder lokale Oxidation von Silizium- (LOCOS) -Strukturen. Zum Beispiel können die Isolationselemente 238 STI-Elemente umfassen, die die Heterostruktur 205 definieren und von anderen aktiven Vorrichtungsbereichen (wie anderen Heterostrukturen) und/oder passiven Vorrichtungsbereichen elektrisch isolieren. Zum Beispiel können die STI-Elemente durch Ätzen eines Grabens im Substrat 202 (zum Beispiel durch Verwenden eines Trockenätzverfahrens und/oder eines Nassätzverfahrens) und Füllen des Grabens mit Isolatormaterial (zum Beispiel durch Verwenden eines CVD-Verfahrens oder eines Spin-on-Glas-Verfahrens) ausgebildet werden. Ein chemisch-mechanisches Polier- (CMP) -Verfahren kann durchgeführt werden, um überschüssiges Isolatormaterial zu entfernen und/oder eine obere Fläche der Isolationselemente 238 zu planarisieren. In einem weiteren Beispiel können die STI-Elemente durch Abscheiden eines Isolatormaterials über dem Substrat 202 nach dem Ausbilden der Heterostruktur 205 (in einigen Implementierungen so, dass die Isolatormaterialschicht Lücken (Gräben) zwischen den Heterostrukturen füllt) und Zurückätzen der Isolatormaterialschicht ausgebildet werden, um die Isolationselemente 238 auszubilden. In einigen Ausführungsformen umfassen die STI-Elemente eine Mehrschichtstruktur, die die Gräben füllt, wie eine Siliziumnitrid umfassende Schicht, die über einer thermisches Oxid umfassenden Auskleidungsschicht angeordnet ist. In einem weiteren Beispiel umfassen die STI-Elemente eine dielektrische Schicht, die über einer dotierten Auskleidungsschicht (die zum Beispiel Borsilikatglas (BSG) oder Phosphorsilikatglas (PSG) aufweist) angeordnet ist. In noch einem weiteren Beispiel umfassen die STI-Elemente eine Bulk-Dielektrikumsschicht, die über einer Auskleidungs-Dielektrikumsschicht angeordnet ist, wobei die Bulk-Dielektrikumsschicht und die Auskleidungs-Dielektrikumsschicht Materialien umfassen, die von den Entwurfsanforderungen abhängen.
  • Wendet man sich nun den 4A und 4B zu, wird eine Gatestruktur 240 über der Heterostruktur 205 ausgebildet. Die Gatestruktur 240 umfasst einen Dummy-Gatestapel (wiedergegeben durch eine Dummy-Gateelektrode 242 und, in einigen Implementierungen, ein Dummy-Gatedielektrikum) und Gate-Abstandshalter 244. Die Gatestruktur 240 umgibt einen Teil der Heterostruktur 205 in der YZ-Ebene (nicht gezeigt), insbesondere umgibt sie einen Teil des Kanalbereichs 206. In der XZ-Ebene ist die Gatestruktur 240 über dem Kanalbereich 206 angeordnet, wobei sie zwischen dem Source-Bereich 207 und dem Drain-Bereich 208 angeordnet ist. Die Dummy-Gateelektrode 242 umfasst ein geeignetes Dummy-Gatematerial, wie etwa Polysilizium. In Implementierungen, in denen der Dummy-Gatestapel ein Dummy-Gatedielektrikum aufweist, das zwischen der Dummy-Gateelektrode 242 und der Heterostruktur 205 angeordnet ist, umfasst das Dummy-Gatedielektrikum ein Dielektrikum wie Siliziumoxid, ein High-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon. Beispiele von high-k-Dielektrika umfassen HfO, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkoniumoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid- (HfO2Al2O3) -Legierung, andere geeignete High-k-Dielektrika oder Kombinationen davon. Der Dummy-Gatestapel kann zahlreiche weitere Schichten umfassen, zum Beispiel Abdeckschichten, Grenzflächenschichten, Diffusionsschichten, Sperrschichten, Hartmaskenschichten oder Kombinationen davon. In einigen Implementierungen umfasst der Dummy-Gatestapel ferner eine Grenzschicht (die zum Beispiel Siliziumoxid aufweist), die zwischen der Dummy-Gateelektrode 242 und der Heterostruktur 205 angeordnet ist (zum Beispiel zwischen der Dummy-Gateelektrode 242 und einem Dummy-Gatedielektrikum). In einigen Implementierungen kann eine Abdeckschicht (die zum Beispiel Titan und Stickstoff (wie etwa eine TiN-Abdeckschicht) aufweist) zwischen der Dummy-Gateelektrode 242 und der Heterostruktur 205 angeordnet sein.
  • Die Gatestruktur 240 wird durch Abscheidungsverfahren, Lithographieverfahren, Ätzverfahren, andere geeignete Verfahren oder Kombinationen davon ausgebildet. Zum Beispiel wird ein Abscheidungsverfahren durchgeführt, um eine Dummy-Gateelektrodenschicht über dem Substrat 202 auszubilden, insbesondere über der Heterostruktur 205 und den Isolationselementen 238. In einigen Implementierungen wird ein Abscheidungsverfahren durchgeführt, um eine Dummy-Gatedielektrikumsschicht über der Heterostruktur 205 auszubilden, bevor die Dummy-Gateelektrodenschicht ausgebildet wird, wobei die Dummy-Gateelektrodenschicht über der Dummy-Gatedielektrikumsschicht ausgebildet wird. Der Abscheidungsverfahren umfasst CVD, physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), hochdichte Plasma-CVD (HDPCVD), metallorganische CVD (MOCVD), Remote-Plasma-CVD (RPCVD), plasmaverstärkte CVD (PECVD), Niederdruck-CVD (LPCVD), Atomlagen-CVD (ALCVD), Atmosphärendruck-CVD (APCVD), Plattieren, andere geeignete Verfahren oder Kombinationen davon. Ein Lithographie-Strukturierungs- und Ätzverfahren wird dann durchgeführt, um die Dummy-Gateelektrodenschicht (und in einigen Implementierungen die Dummy-Gatedielektrikumsschicht) zu strukturieren, um den Dummy-Gatestapel so auszubilden, dass der Dummy-Gatestapel (einschließlich der Dummy-Gateelektrode 242, des Dummy-Gatedielektrikums und/oder anderer geeigneter Schichten) einen Teil des Kanalbereichs 206 umgibt. Die Lithographie-Strukturierungsverfahren umfassen Resist-Beschichtung (zum Beispiel Rotationsbeschichtung), Weichbacken, Maskenausrichten, Belichten, Nachbelichtungsbacken, Entwickeln des Resists, Spülen, Trocknen (zum Beispiel Hartbacken), andere geeignete Verfahren oder Kombinationen davon. Alternativ wird das lithographische Belichtungsverfahren durch andere Verfahren wie maskenlose Lithographie, Elektronenstrahlschreiben oder Ionenstrahlschreiben unterstützt, implementiert oder ersetzt. In noch einer weiteren Alternative implementiert das Lithographie-Strukturierungsverfahren Nanopräge-Technologie. Die Ätzverfahren umfassen Trockenätzverfahren, Nassätzverfahren, andere Ätzverfahren oder Kombinationen davon.
  • Die Gate-Abstandshalter 244 werden benachbart zu dem Dummy-Gatestapel der Gatestruktur 240 ausgebildet. Zum Beispiel sind die Gate-Abstandshalter 244 benachbart (zum Beispiel entlang Seitenwänden) zu der Dummy-Gateelektrode 242 angeordnet. Die Gate-Abstandshalter 244 werden durch ein beliebiges geeignetes Verfahren ausgebildet und umfassen ein Dielektrikum. Das Dielektrikum kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder Siliziumkarbid) umfassen. Zum Beispiel kann in der gezeigten Ausführungsform eine dielektrische Schicht, die Silizium und Stickstoff umfasst, wie eine Siliziumnitridschicht, über der Heterostruktur 205 abgeschieden und anschließend anisotrop geätzt werden, um die Gate-Abstandshalter 244 auszubilden. In einigen Implementierungen umfassen die Gate-Abstandshalter 244 eine Mehrschichtstruktur, wie zum Beispiel eine erste dielektrische Schicht, die Siliziumnitrid umfasst, und eine zweite dielektrische Schicht, die Siliziumoxid umfasst. In einigen Implementierungen werden mehr als ein Satz von Abstandshaltern, wie etwa Dichtungsabstandshalter, Versetzungs-Abstandshalter, Opferabstandshalter, Dummy-Abstandshalter und/oder Hauptabstandshalter benachbart zu dem Dummy-Gatestapel ausgebildet. In solchen Implementierungen können die verschiedenen Sätze von Abstandshaltern Materialien mit unterschiedlichen Ätzraten umfassen. Zum Beispiel kann eine erste dielektrische Schicht, die Silizium und Sauerstoff (zum Beispiel Siliziumoxid) umfasst, über der Heterostruktur 205 abgeschieden und anschließend anisotrop geätzt werden, um einen ersten Abstandshalter benachbart zu dem Dummy-Gatestapel auszubilden, und eine zweite dielektrische Schicht, die Silizium und Stickstoff (zum Beispiel Siliziumnitrid) umfasst, kann über der Heterostruktur 205 abgeschieden und anschließend anisotrop geätzt werden, um einen zweiten Abstandshalter benachbart zu dem ersten Abstandshalter auszubilden.
  • Wendet man sich nun den 5A und 5B zu, werden Abschnitte der Heterostruktur 205 selektiv von dem Source-Bereich 207 und dem Drain-Bereich 208 entfernt, wodurch andere Abschnitte der Heterostruktur 205 teilweise freigelegt werden, um Nanodrähte auszubilden. Ein solches Verfahren wird oft als ein Source/Drain-Nanodraht-Freilegungsverfahren bezeichnet. Zum Beispiel werden die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 selektiv von dem Source-Bereich 207 und dem Drain-Bereich 208 entfernt, um die Halbleiterschicht 215, die Halbleiterschicht 225 und die Halbleiterschicht 235 in dem Source-Bereich 207 und dem Drain-Bereich 208 freizulegen, wodurch ein Nanodraht 215A, ein Nanodraht 225A und ein Nanodraht 235A ausgebildet werden. Die verbleibenden Abschnitte der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230, die in dem Kanalbereich 206 angeordnet sind, bilden eine Halbleiterinsel 210A, eine Halbleiterinsel 220A und eine Halbleiterinsel 230A. Der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A haben jeweils eine Länge (L), die sich entlang der x-Richtung erstreckt, eine Breite (W), die sich entlang der y-Richtung erstreckt, und eine Höhe (H), die sich entlang der z-Richtung erstreckt. Ein Nanodrahtabstand (S) ist zwischen benachbarten Nanodrähten, wie dem Nanodraht 225A und dem Nanodraht 235A, und/oder zwischen einem Substrat und einem benachbarten Nanodraht, wie dem Substrat 202 und dem Nanodraht 215A, definiert. In einigen Implementierungen beträgt S etwa 5 nm bis etwa 10 nm. Obwohl derselbe Nanodrahtabstand zwischen benachbarten Nanodrähten und/oder dem Substrat und den Nanodrähten gezeigt ist, zieht die vorliegende Offenbarung Ausführungsformen mit den gleichen und/oder unterschiedlichen Nanodraht-Abstandskonfigurationen in Abhängigkeit von den Entwurfsanforderungen in Betracht.
  • Ein Teil der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230 wird ebenfalls von dem Kanalbereich 206 entfernt. Zum Beispiel wird ein Teil der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230 unter den Gate-Abstandshaltern 244 so entfernt, dass eine Dicke (t) der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230, die in dem Kanalbereich 206 entfernt wird, ungefähr gleich einer Dicke der Gate-Abstandshalter 244 ist. In einigen Implementierungen beträgt t etwa 5 nm bis etwa 10 nm. In einigen Implementierungen werden Teile der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230 von dem Kanalbereich 206 so entfernt, dass eine Breite der Halbleiterinsel 210A, der Halbleiterinsel 220A und der Halbleiterinsel 230A kleiner als eine Breite der Gatestruktur 240 (in einigen Implementierungen kleiner als eine Breite des Dummy-Gatestapels, wie zum Beispiel der Dummy-Gateelektrode 242) ist. Obwohl die Halbleiterinsel 210A, die Halbleiterinsel 220A, die Halbleiterinsel 230A, der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A rechteckige Formen haben, berücksichtigt die vorliegende Offenbarung jede Konfiguration, Form und/oder Größe (einschließlich einer zylindrischen, dreieckigen, sechseckigen, trapezförmigen oder anderen gewünschten Form), abhängig von den Entwurfsanforderungen der integrierten Schaltungsvorrichtung 200.
  • In einigen Implementierungen werden die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 selektiv in dem Source-Bereich 207 und dem Drain-Bereich 208 unter Verwendung eines Trockenätzverfahrens, eines Nassätzverfahrens oder einer Kombination davon geätzt. Wenn die Heterostruktur 205 zwischen ersten Halbleiterschichten und zweiten Halbleiterschichten mit unterschiedlichen Materialien abwechselt, entfernt ein Ätzverfahren selektiv die ersten Materialschichten. Zum Beispiel kann das Ätzverfahren aufgrund einer hohen Ätzrate des Materials der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230 relativ zu dem Material der Halbleiterschicht 215, der Halbleiterschicht 225 und der Halbleiterschicht 235 Teile der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230 entfernen, während das Entfernen der Halbleiterschicht 215, der Halbleiterschicht 225 und der Halbleiterschicht 235 eliminiert (oder minimiert) wird. Verschiedene Ätzparameter können so abgestimmt werden, dass die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 selektiv geätzt werden, etwa Ätzzusammensetzung, Ätztemperatur, Ätzlösungskonzentration, Ätzzeit, Ätzdruck, Quellenleistung, HF-Vorspannung, HF-Vorleistung, Ätzmittelflussrate, andere geeignete Ätzparameter oder Kombinationen davon. In einigen Implementierungen werden die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 unter Verwendung von Ätzreaktanten mit einer hohen Ätzselektivität in Bezug auf das Material der Halbleiterschicht 210, der Halbleiterschicht 220 und der Halbleiterschicht 230 geätzt. Zum Beispiel kann in einigen Implementierungen ein Trockenätzverfahren (wie ein reaktives Ionenätz- (RIE) -Verfahren) unter Verwendung eines fluorhaltigen Gases (zum Beispiel SF6) selektiv die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 ätzen. In einigen Implementierungen kann ein Verhältnis des fluorhaltigen Gases zu einem sauerstoffhaltigen Gas (zum Beispiel O2), eine Ätztemperatur (zum Beispiel über oder unter etwa 80 °C) und/oder eine HF-Leistung so abgestimmt werden, dass Silizium-Germanium oder Silizium selektiv geätzt wird. In einem weiteren Beispiel kann bei einigen Implementierungen ein Nassätzverfahren unter Verwendung einer Ätzlösung, die NH4OH und H2O umfasst, selektiv die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 ätzen. In einigen Implementierungen kann ein chemisches Gasphasen-Ätzverfahren unter Verwendung von Chlorwasserstoff (HCl) selektiv die Halbleiterschicht 210, die Halbleiterschicht 220 und die Halbleiterschicht 230 ätzen.
  • Wendet man sich nun den 6A und 6B zu, wird eine Nanodraht-Abstandsschicht 250 über dem Substrat 202, insbesondere über der Heterostruktur 205 und der Gatestruktur 240, durch ein beliebiges geeignetes Verfahren ausgebildet, wie ein Abscheidungsverfahren (zum Beispiel CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Verfahren oder Kombinationen davon). In der gezeigten Ausführungsform umgibt die Nanodraht-Abstandsschicht 250 den Nanodraht 215A, den Nanodraht 225A und den Nanodraht 235A in dem Source-Bereich 207 und dem Drain-Bereich 208 und füllt den Nanodrahtabstand (S) benachbart zu den Nanodrähten aus. Obwohl die Nanodraht-Abstandsschicht 250 in der gezeigten Ausführungsform eine einzelne Schicht umfasst, sieht die vorliegende Offenbarung Ausführungsformen vor, bei denen die Nanodraht-Abstandsschicht 250 mehrere Schichten umfasst, wie weiter unten beschrieben wird. Die Nanodraht-Abstandsschicht 250 umfasst ein anderes Material als die Nanodrähte und die Halbleiterinseln der integrierten Schaltungsvorrichtung 200 (hier die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinseln 230A). Insbesondere umfasst die Nanodraht-Abstandsschicht 250 ein Material mit einer anderen Ätzrate als die Materialien der Nanodrähte und der Halbleiterinseln. Zum Beispiel umfasst die Nanodraht-Abstandsschicht 250 ein Material, um eine Ätzselektivität der Halbleiterinseln (hier der Halbleiterinsel 210A, der Halbleiterinsel 220A und der Halbleiterinsel 230A) gegenüber der Nanodraht-Abstandsschicht 250 zu erreichen, zum Beispiel während eines nachfolgenden Kanal-Nanodraht-Freilegungsverfahrens. In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 250 ein Material mit einer anderen Ätzcharakteristik als ein Material der Gate-Abstandshalter 244. In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 250 ein Dielektrikum. Das Dielektrikum kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid oder Siliziumoxykarbonitrid) umfassen. Für die Zwecke der folgenden Beschreibung umfasst die Nanodraht-Abstandsschicht 250 Silizium und Stickstoff (zum Beispiel Siliziumnitrid). In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 250 ein Low-k-Dielektrikum. Beispielhafte Low-k-Dielektrika umfassen Fluorsilikatglas (FSG), kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB (Benzocyclobuten), SiLK (Dow Chemical, Midland, Michigan), Polyimid, andere Low-k-Dielektrika oder Kombinationen davon. In einigen Implementierungen werden Dotierstoffe (beispielsweise p-Dotierstoffe, n-Dotierstoffe oder Kombinationen davon) in das Dielektrikum eingebracht, so dass die Nanodraht-Abstandsschicht 250 ein dotiertes Dielektrikum umfasst. Das Dotieren des Dielektrikums kann ein Profil eines Übergangs zwischen dem Source-Bereich 207 (und/oder dem Drain-Bereich 208) und dem Kanalbereich 206 verbessern, was die Leistung der integrierten Schaltungsvorrichtung 200 verbessern kann. Ein Ionenimplantationsverfahren, ein Diffusionsverfahren (zum Beispiel ein Festphasendiffusions-(SPD) -Verfahren) und/oder ein anderes geeignetes Dotierungsverfahren können durchgeführt werden, um Dotierstoffe in das Dielektrikum einzuführen.
  • Wendet man sich nun den 7A und 7B zu, wird ein Strukturierungsverfahren auf die Nanodraht-Abstandsschicht 250 angewendet, um Nanodraht-Abstandshalter auszubilden. Zum Beispiel wird die Nanodraht-Abstandsschicht 250 selektiv entfernt, um Seitenwände des Nanodrahts 215A, des Nanodrahts 225A und des Nanodrahts 235A freizulegen, wie Seitenwände 252 (zwischen denen die Länge L der Nanodrähte definiert ist) und Seitenwände 254 (zwischen denen die Breite W definiert ist). In der gezeigten Ausführungsform füllt die Nanodraht-Abstandsschicht 250, die im Source-Bereich 207 und im Drain-Bereich 208 verbleibt, vollständig Räume (definiert durch den Nanodrahtabstand S) zwischen dem Nanodraht 215A, dem Nanodraht 225A, dem Nanodraht 235A und/oder dem Substrat 202, obwohl die vorliegende Offenbarung Implementierungen in Betracht zieht, in denen die Nanodraht-Abstandsschicht 250 zwischen dem Nanodraht 215A, dem Nanodraht 225A, dem Nanodraht 235A und/oder dem Substrat 202 teilweise entfernt wird, so dass die Nanodraht-Abstandsschicht 250 Räume (oder darin definierte Hohlräume) aufweist. Die Nanodraht-Abstandsschicht 250 wird auch von der Gatestruktur 240 entfernt, wie beispielsweise der Dummy-Gateelektrode 242 und den Gate-Abstandshaltern 244, wobei eine Dicke der Nanodraht-Abstandsschicht 250, die über dem Nanodraht 235A verbleibt, während des Strukturierungsverfahrens reduziert wird. In einigen Implementierungen entfernt ein Ätzverfahren selektiv Teile der Nanodraht-Abstandsschicht 250, während das Entfernen der Gatestruktur 240 (einschließlich der Gate-Abstandshalter 244) und der Nanodrähte vermieden (oder minimiert) wird. Verschiedene Ätzparameter können so abgestimmt werden, dass die Nanodraht-Abstandsschicht 250 selektiv geätzt wird, wie beispielsweise Ätzzusammensetzung, Ätztemperatur, Ätzlösungskonzentration, Ätzzeit, Ätzdruck, Quellenleistung, HF-Vorspannung, HF-Vorspannungsleistung, Ätzmittelflussrate, andere geeignete Ätzparameter oder Kombinationen davon. In einigen Implementierungen wird die Nanodraht-Abstandsschicht 250 unter Verwendung von Ätzreaktanten geätzt, die eine hohe Ätzselektivität in Bezug auf das Material der Nanodraht-Abstandsschicht 250 aufweisen. Wenn zum Beispiel die Nanodraht-Abstandsschicht 250 Siliziumnitrid umfasst und die Nanodrähte Silizium umfassen, werden die Ätzreaktanten und/oder Ätzlösungen des Ätzverfahrens so ausgewählt, dass eine hohe Ätzselektivität für Siliziumnitrid bei minimalem (bis keinem) Ätzen von Silizium sichergestellt wird. In solchen Implementierungen kann ein Nassätzverfahren unter Verwendung einer Phosphorsäurelösung selektiv die Nanodraht-Abstandsschicht 250 mit minimalem (bis keinem) Ätzen der Nanodrähte ätzen.
  • Die Nanodraht-Abstandsschicht 250 verbleibt somit im Source-Bereich 207 und im Drain-Bereich 208 und bildet einen Nanodraht-Abstandshalter 250A (zwischen dem Substrat 202 und dem Nanodraht 215A), einen Nanodraht-Abstandshalter 250B (zwischen dem Nanodraht 215A und dem Nanodraht 225A) und einen Nanodraht-Abstandshalter 250C (zwischen dem Nanodraht 225A und dem Nanodraht 235A) und einen Nanodraht-Abstandshalter 250D (über dem Nanodraht 235A). Die Nanodraht-Abstandshalter 250A-250C erstrecken sich über den Source-Bereich 207, den Drain-Bereich 208 und einen Teil des Kanalbereichs 206 (in der gezeigten Ausführungsform einen Teil des Kanalbereichs 206 unter den Gate-Abstandshaltern 244), während der Nanodraht-Abstandshalter 250D sich über den Source-Bereich 207 und den Drain-Bereich 208 erstreckt. In der gezeigten Ausführungsform erstrecken sich die Nanodraht-Abstandshalter 250A-250D nicht (oder kaum) über den Nanodraht 215A, den Nanodraht 225A und den Nanodraht 235A entlang der x-Richtung und der y-Richtung hinaus, wodurch sichergestellt wird, dass der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A ausreichend freiliegen, um nachfolgende Source/Drain-Elemente auszubilden. Zum Beispiel weisen die Nanodraht-Abstandshalter 250A-250D jeweils eine Breite (W1) auf, die sich entlang der y-Richtung erstreckt und die im Wesentlichen gleich der Breite W der Nanodrähte ist (mit anderen Worten ist W1 ≈ W). In einigen Implementierungen ist W1 ≈ W, wenn W1 und W innerhalb von ± 5 % voneinander liegen. In Weiterführung der gezeigten Ausführungsform weisen die Nanodraht-Abstandshalter 250A-250D Seitenwände auf, die im Wesentlichen an den Seitenwänden 252 ausgerichtet sind, und Seitenwände, die im Wesentlichen an den Seitenwänden 254 ausgerichtet sind. In einigen Implementierungen werden die Seitenwände als im Wesentlichen ausgerichtet betrachtet, wenn ein Versatz (zum Beispiel Δx oder Δy) zwischen den Seitenwänden der Nanodraht-Abstandshalter 250A-250D und den Seitenwänden 252 oder den Seitenwänden 254 weniger als etwa 2 nm beträgt.
  • Wendet man sich nun den 8A und 8B zu, werden epitaktische Source-Elemente und epitaktische Drain-Elemente (bezeichnet als epitaktische Source/Drain-Elemente) in Source/Drain-Bereichen der Heterostruktur 205 ausgebildet. Zum Beispiel wird ein Halbleitermaterial epitaktisch auf freiliegenden Abschnitten der Heterostruktur 205 gezüchtet, wodurch epitaktische Source/Drain-Elemente 260 ausgebildet werden. In der gezeigten Ausführungsform umgeben die epitaktischen Source/Drain-Elemente 260 die Heterostruktur 205 in dem Source-Bereich 207 und dem Drain-Bereich 208. Wie in 8A gezeigt, wird ein Teil der Nanodraht-Abstandshalter 250A-250C im Kanalbereich 206 nicht durch die epitaktischen Source/Drain-Elemente 260 bedeckt. Ein Epitaxieverfahren kann CVD-Abscheidungstechniken (zum Beispiel VPE und/oder UHV-CVD), Molekularstrahlepitaxie, andere geeignete epitaktische Wachstumsverfahren oder Kombinationen davon verwenden. Das Epitaxieverfahren kann gasförmige und/oder flüssige Vorläufer verwenden, die mit der Zusammensetzung der Heterostruktur 205 wechselwirken (insbesondere dem Nanodraht 215A, dem Nanodraht 225A und dem Nanodraht 235A). Die epitaktischen Source/Drain-Elemente 260 sind mit n-Dotierstoffen und/oder p-Dotierstoffen dotiert. In einigen Implementierungen, in denen die integrierte Schaltungsvorrichtung 200 als eine n-Vorrichtung konfiguriert ist (zum Beispiel mit einem n-Kanal), sind die epitaktischen Source/Drain-Elemente 260 Epitaxieschichten, die Silizium und/oder Kohlenstoff aufweisen, wobei die siliziumhaltigen Epitaxieschichten oder die Silizium-Kohlenstoff-haltigen Epitaxieschichten mit Phosphor, einem anderen n-Dotierstoff oder Kombinationen davon dotiert sind (zum Beispiel durch Ausbilden einer Si:P-Epitaxieschicht oder einer Si:C:P-Epitaxieschicht). In einigen Implementierungen, in denen die integrierte Schaltungsvorrichtung 200 als eine p-Vorrichtung konfiguriert ist (zum Beispiel mit einem p-Kanal), sind die epitaktischen Source/Drain-Elemente 260 Epitaxieschichten, die Silizium und Germanium aufweisen, wobei die Silizium-Germanium-haltigen Epitaxieschichten mit Bor, einem anderen p-Dotierstoff oder Kombinationen davon dotiert sind (zum Beispiel durch Ausbilden einer Si:Ge:B-Epitaxieschicht). In einigen Implementierungen umfassen die epitaktischen Source/Drain-Elemente 260 Materialien und/oder Dotierstoffe, die eine gewünschte Zugspannung und/oder Druckspannung in dem Kanalbereich 206 erzielen. In einigen Implementierungen werden die epitaktischen Source/Drain-Elemente 260 dotiert, indem während der Abscheidung Verunreinigungen zu einem Quellenmaterial des Epitaxieverfahrens hinzugefügt werden. In einigen Implementierungen werden die epitaktischen Source/Drain-Elemente 260 durch ein Ionenimplantationsverfahren nach einem Abscheidungsverfahren dotiert. In einigen Implementierungen werden Glühverfahren durchgeführt, um Dotierstoffe in den epitaktischen Source/Drain-Elementen 260 und/oder anderen Source/Drain-Bereichen der integrierten Schaltungsvorrichtung 200 (zum Beispiel stark dotierten Source/Drain-Bereichen und/oder leicht dotierten Source/Drain- (LDD) -Bereichen, die in den Nanodrähten und/oder den epitaktischen Source/Drain-Strukturen 260 ausgebildet werden) zu aktivieren.
  • Wendet man sich nun den 9A und 9B zu, wird eine Zwischenschicht-Dielektrikums- (ILD) -Schicht 270 über dem Substrat 202 ausgebildet, zum Beispiel durch ein Abscheidungsverfahren (wie CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Verfahren oder Kombinationen davon). In der gezeigten Ausführungsform ist die ILD-Schicht 270 auf den epitaktischen Source/Drain-Elementen 260 angeordnet. Die ILD-Schicht 270 umfasst ein Dielektrikum, das beispielsweise Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, TEOS-Oxid, PSG, BPSG, Low-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon umfasst. Beispielhafte Low-k-Dielektrika umfassen FSG, kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB, SiLK (Dow Chemical, Midland, Michigan), Polyimid, ein anderes Low-k-Dielektrikum oder Kombinationen davon. In der gezeigten Ausführungsform ist die ILD-Schicht 270 eine dielektrische Schicht, die ein Low-k-Dielektrikum (im Allgemeinen als low-k-dielektrische Schicht bezeichnet) umfasst. Die ILD-Schicht 270 kann eine Mehrschichtstruktur mit mehreren Dielektrika aufweisen. Zum Beispiel umfasst in einigen Implementierungen die ILD-Schicht 270 eine Kontaktätzstoppschicht (CESL), die über dem Substrat 202 angeordnet ist, und eine ILD-Schicht, die über der CESL angeordnet ist. Im Anschluss an die Abscheidung der ILD-Schicht 270 kann ein CMP-Verfahren durchgeführt werden, so dass ein oberer Abschnitt der Gatestruktur 240 freigelegt wird, wie beispielsweise ein oberer Abschnitt (oder eine obere Fläche) der Dummy-Gateelektrode 242.
  • Wendet man sich nun den 10A und 10B zu, wird ein Teil der Gatestruktur 240 entfernt, um Teile der Heterostruktur 205 freizulegen. Zum Beispiel entfernt ein Ätzverfahren selektiv den Dummy-Gatestapel der Gatestruktur 240 (hier die Dummy-Gateelektrode 242 und/oder das Dummy-Gatedielektrikum), wodurch eine Öffnung (Graben) 275 ausgebildet wird, die einen Teil des Kanalbereichs 206 der Heterostruktur 205 freilegt. Wie in 10A gezeigt, werden der Nanodraht 235A, die Halbleiterinsel 230A, der Nanodraht 225A, die Halbleiterinsel 220A, der Nanodraht 215A und die Halbleiterinsel 210A in dem Kanalbereich 206 freigelegt, nachdem die Dummy-Gateelektrode 242 entfernt wurde. Das Ätzverfahren ist ein Trockenätzverfahren, ein Nassätzverfahren oder Kombinationen davon. Das Ätzverfahren kann wie hierin beschrieben so abgestimmt werden, dass die Dummy-Gateelektrode 242 ohne (oder mit minimalem) Ätzen anderer Elemente der integrierten Schaltungsvorrichtung 200 entfernt wird, wie der ILD-Schicht 270, der Gate-Abstandshalter 244, der Nanodrähte und/oder der Halbleiterinseln.
  • Wendet man sich nun den 11A und 11B zu, werden Teile der Heterostruktur 205 aus dem Kanalbereich 206 entfernt. Zum Beispiel werden die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A selektiv von dem Kanalbereich 206 entfernt, wodurch der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A in dem Kanalbereich 206 freigelegt werden. Ein solches Verfahren wird oft als Kanal-Nanodraht-Freilegungsverfahren bezeichnet. In einigen Implementierungen werden die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A selektiv in dem Kanalbereich 206 unter Verwendung eines Trockenätzverfahrens, eines Nassätzverfahrens oder Kombinationen davon geätzt. Zum Beispiel kann das Ätzverfahren aufgrund einer hohen Ätzrate des Materials der Halbleiterinsel 210A, der Halbleiterinsel 220A und der Halbleiterinsel 230A relativ zu der des Materials des Nanodrahts 215A, des Nanodrahts 225A und des Nanodrahts 235A die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A entfernen, ohne (oder fast ohne) den Nanodraht 215A, den Nanodraht 225A und den Nanodraht 235A zu entfernen. Verschiedene Ätzparameter können so abgestimmt werden, dass die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A selektiv geätzt werden, wie Ätzzusammensetzung, Ätztemperatur, Ätzlösungskonzentration, Ätzzeit, Ätzdruck, Quellenleistung, HF-Vorspannung, HF-Vorspannung Ätzmittelflussrate, andere geeignete Ätzparameter oder Kombinationen davon. In einigen Implementierungen werden die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A unter Verwendung von Ätzreaktanten mit einer hohen Ätzselektivität in Bezug auf das Material der Halbleiterinsel 210A, der Halbleiterinsel 220A und der Halbleiterinsel 230A geätzt. Zum Beispiel kann in einigen Implementierungen ein Trockenätzverfahren (wie ein RIE-Verfahren), das ein fluorhaltiges Gas (zum Beispiel SF6) verwendet, selektiv die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A ätzen. In einigen Implementierungen kann ein Verhältnis des fluorhaltigen Gases zu einem sauerstoffhaltigen Gas (zum Beispiel 02), eine Ätztemperatur (zum Beispiel über oder unter etwa 80 °C) und/oder eine HF-Leistung so abgestimmt werden, dass Silizium-Germanium oder Silizium selektiv geätzt wird. In einem weiteren Beispiel kann in einigen Implementierungen ein Nassätzverfahren unter Verwendung einer Ätzlösung, die NH4OH und H2O enthält, selektiv die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A ätzen. In einigen Implementierungen kann ein chemisches Gasphasenätzverfahren unter Verwendung von HCl selektiv die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A ätzen.
  • Das Steuern des lateralen Ätzens des Ätzverfahrens (entlang der x-Richtung in der gezeigten Ausführungsform) ist sehr schwierig. Dies kann zu einem unbeabsichtigten Entfernen von Material der Source/Drain-Elemente in dem Source-Bereich und dem Drain-Bereich einer integrierten Schaltungsvorrichtung führen, wie zum Beispiel dem Source-Bereich 207 und dem Drain-Bereich 208. Zum Beispiel bilden herkömmliche Nanodraht-Herstellungstechniken keine Nanodraht-Abstandshalter wie die Nanodraht-Abstandshalter 250A-250D in dem Source-Bereich und dem Drain-Bereich (die sich in einigen Implementierungen in den Kanalbereich erstrecken) benachbart zu den Halbleiterinseln aus. Stattdessen füllt das Source/Drain-Material die Zwischenräume zwischen den Nanodrähten. Zum Beispiel würden die epitaktischen Source/Drain-Elemente 260 typischerweise die Räume zwischen dem Nanodraht 215A, dem Nanodraht 225A, dem Nanodraht 235A und/oder dem Substrat 202 ausfüllen. Da jedoch die derzeitigen Ätztechniken keine ausreichende laterale Ätzsteuerung aufweisen, ätzt das Ätzverfahren, das verwendet wird, um die Halbleiterinseln (wie beispielsweise die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A) aus dem Kanalbereich zu entfernen, ungewollt (teilweise oder vollständig) das Source/Drain-Material während des Kanal-Nanodraht-Freilegungsverfahrens. Source/Drain-Elemente in einer integrierten Schaltungsanordnung, die gemäß herkömmlichen Nanodraht-Herstellungstechniken hergestellt werden, weisen somit häufig Lücken auf, insbesondere Bereiche der Source/Drain-Elemente, wo Source/Drain-Material fehlt. Unzureichende Ätzselektivität zwischen dem Material der Halbleiterinseln und dem Material des Source/Drain-Materials verschärft solche Probleme weiter. Zum Beispiel kann das Einstellen von Ätzparametern zum Vermeiden (oder Minimieren) jedes Ätzens des Source/Drain-Materials zu einem Ätzverfahren führen, das das Material der Halbleiterinseln in dem Kanalbereich nicht adäquat ätzt, so dass das Ätzverfahren die Nanodrähte in dem Kanalbereich nicht vollständig freilegt.
  • Die vorliegende Offenbarung überwindet solche Herausforderungen durch Ausbilden von Nanodraht-Abstandshaltern 250A-250D in dem Source-Bereich 207 und dem Drain-Bereich 208. Zum Beispiel fungieren die Nanodraht-Abstandshalter 250A-250C als Ätzstoppschichten, die ein laterales Ätzen in den Source-Bereich 207 und den Drain-Bereich 208 während des Kanal-Nanodraht-Freilegungsverfahrens verhindern. Die Nanodraht-Abstandshalter 250A-250C verhindern somit ein laterales Ätzen der epitaktischen Source/Drain-Elemente 260, so dass die epitaktischen Source/Drain-Elemente 260 frei von Lücken (resultierend aus fehlendem epitaktischem Source/Drain-Material) sind. In der gezeigten Ausführungsform ermöglicht das Ausdehnen der Nanodraht-Abstandshalter 250A-250C in den Kanalbereich 206, wie zum Beispiel unter die Gate-Abstandshalter 244, mehr Flexibilität für das Ätzverfahren, das während des Kanal-Nanodraht-Freilegungsverfahrens verwendet wird. Zum Beispiel kann ein unbeabsichtigtes Ätzen von Source/Drain-Material immer noch vermieden werden, selbst wenn das Ätzverfahren die Nanodraht-Abstandshalter 250A-250C leicht ätzt, wodurch die Ätzverfahrensfenster für das Kanal-Nanodraht-Freilegungsverfahren vergrößert werden. Des Weiteren vermindert das Belassen der Nanodraht-Abstandshalter 250A-250C in dem Source-Bereich 207 und dem Drain-Bereich 208 anstatt des Strukturierens der Nanodraht-Abstandsschicht 250 zur Herstellung von Nanodraht-Abstandshaltern 250A-250C, die sich nicht (oder nur minimal) in den Source-Bereich 207 und den Drain-Bereich 208 erstrecken, wesentlich die IC-Fertigungskomplexität und -kosten. Zum Beispiel führt die Strukturierung der Nanodraht-Abstandsschicht 250 zum Ausbilden der Nanodraht-Abstandshalter 250A-250C nur im Kanalbereich 206 unter den Gate-Abstandshaltern 244 zu Komplexitäten im Ätzverfahren, die Kompromisse zwischen der Erzielung einer idealen Form der Nanodraht-Abstandshalter 250A-250C und der Gewährleistung führen, dass kein Rückstand der Nanodraht-Abstandsschicht 250 auf den Nanodrähten verbleibt. Genauer gesagt führt das Abstimmen des Ätzverfahrens zum geeigneten Entfernen der Nanodraht-Abstandsschicht 250 von dem Nanodraht 215A, dem Nanodraht 225A und dem Nanodraht 235A häufig zu einem Überätzen der Nanodraht-Abstandsschicht 250, so dass die Nanodraht-Abstandshalter 250A-250C keine angemessene Seitenätzsteuerung bereitstellen können. Auf der anderen Seite führt das Abstimmen des Ätzverfahrens zum Herstellen von Nanodraht-Abstandshaltern 250A-250C, die sich nicht (oder nur minimal) in den Source-Bereich 207 und den Drain-Bereich 208 erstrecken, häufig zu einem Unterätzen der Nanodraht-Abstandsschicht 250 auf Oberflächen des Nanodrahts 215A, des Nanodrahts 225A und des Nanodrahts 235A, so dass ein Rest, etwa ein dielektrischer Rückstand, auf den Nanodrähten verbleibt. Verschiedene Ausführungsformen können verschiedene Vorteile haben, und kein besonderer Vorteil ist notwendigerweise in irgendeiner Ausführungsform erforderlich.
  • Alternativ kann bei Implementierungen, bei denen die integrierte Schaltungsvorrichtung 200 für eine Speichervorrichtung konfiguriert ist, das Ätzverfahren so abgestimmt werden, dass die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A teilweise entfernt werden, wodurch Nanokristalle in dem Kanalbereich 206 ausgebildet werden. In einigen Implementierungen ist eine Breite der Nanokristalle geringer als eine Breite der Öffnung 275 (oder der Dummy-Gateelektrode 242). In Weiterführung solcher Implementierungen wird eine Tunneloxidschicht ausgebildet, die die Nanokristalle umgibt, wodurch Nanokristall-Floating-Gates (auch als ladungsspeichernde Nanokristalle bezeichnet) ausgebildet werden, die elektrische Ladung (Elektronen oder Löcher) speichern können. Zum Beispiel wird ein thermisches Oxidationsverfahren auf die Nanokristalle (Abschnitte der Halbleiterinsel 210A, der Halbleiterinsel 220A und der Halbleiterinsel 230A, die nach dem Kanal-Nanodraht-Freilegungsverfahren verbleiben), den Nanodraht 215A, den Nanodraht 225A und den Nanodraht 235A angewendet. Eine Oxidationsrate der Nanokristalle (die in einigen Implementierungen Silizium-Germanium aufweisen) ist höher als eine Oxidationsrate der Nanodrähte (die in einigen Implementierungen Silizium aufweisen), so dass größere Teile der Nanokristalle während des thermischen Oxidationsverfahrens verbraucht werden. Eine Dicke einer auf den Nanokristallen ausgebildeten Oxidschicht ist somit größer als eine Dicke einer auf den Nanodrähten ausgebildeten Oxidschicht. Die Oxidschicht auf den Nanodrähten wird anschließend entfernt, zum Beispiel unter Verwendung eines Ätzverfahrens, so dass die Nanokristalle (die die verbleibenden Abschnitte der Halbleiterinsel 210A, der Halbleiterinsel 220A und der Halbleiterinsel 230A nach verschiedenen Verarbeitungsschritten sind, wie den beschriebenen Ätz- und Oxidationsverfahren) von Tunneloxidschichten umgeben sind. In einigen Implementierungen wird ein Nassätzverfahren so abgestimmt (zum Beispiel durch Steuern der Ätzzeit), dass sichergestellt wird, dass die Oxidschicht ausreichend von den Nanodrähten entfernt ist.
  • Wendet man sich nun den 12A und 12B zu, wird ein Metall-Gatestapel der Gatestruktur 240 in der Öffnung (dem Graben) 275 ausgebildet. Der Metall-Gatestapel umfasst ein Gatedielektrikum 280 und eine Gateelektrode 282. Der Metall-Gatestapel der Gatestruktur 240 kann zahlreiche weitere Schichten umfassen, zum Beispiel Abdeckschichten, Grenzflächenschichten, Diffusionsschichten, Sperrschichten, Hartmaskenschichten oder Kombinationen davon. In 12A ist anzumerken, dass die Nanodraht-Abstandshalter 250A-250C zwischen der Gateelektrode 282 und den epitaktischen Source/Drain-Elementen 260 angeordnet sind, so dass die Gateelektrode 282 nicht direkt mit dem Source-Bereich 207 und dem Drain-Bereich 208 in Kontakt steht (insbesondere den epitaktischen Source/Drain-Elementen 260). Es wurde beobachtet, dass die Gate-Drain-Kapazität (Cgd) ohne einen zwischen der Gateelektrode 282 und dem Source-Bereich 207 (und/oder dem Drain-Bereich 208) angeordneten Isolierbereich signifikant zunimmt. Die Nanodraht-Abstandshalter 250A-250C stellen somit einen Isolierbereich zwischen der Gateelektrode 282 und dem Source-Bereich 207 (und/oder dem Drain-Bereich 208) bereit, wodurch die Gate-Drain-Kapazität der integrierten Schaltungsvorrichtung 200 signifikant verringert wird, wodurch die Leistung (insbesondere die Geschwindigkeit) der integrierten Schaltungsvorrichtung 200 verbessert wird.
  • Das Gatedielektrikum 280 wird über freiliegenden Abschnitten der integrierten Schaltungsvorrichtung 200 in der Öffnung 275 durch ein Abscheidungsverfahren ausgebildet, wie zum Beispiel ein ALD-Verfahren. Zum Beispiel passt sich das Gatedielektrikum 280 an freiliegende Oberflächen der Öffnung 275 an, einschließlich freiliegende Oberflächen der Nanodrähte (hier des Nanodrahts 215A, des Nanodrahts 225A und des Nanodrahts 235A). Das Gatedielektrikum 280 umfasst ein Dielektrikum, das Silizium, Sauerstoff, ein High-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon umfassen kann. Beispiele für ein High-k-Dielektrikum umfassen HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkoniumoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid (HfO2-Al2O3), ein anderes geeignetes High-k-Dielektrikum oder Kombinationen davon. In einigen Implementierungen umfasst das Gatedielektrikum 280 ein High-k-Dielektrikum (und wird daher allgemein als eine high-k-dielektrische Schicht bezeichnet). In einigen Implementierungen umfasst das Gatedielektrikum 280 eine Grenzflächenschicht (die zum Beispiel Silizium und Sauerstoff aufweist, wie zum Beispiel Siliziumoxid) und eine high-k-dielektrische Schicht, die über der Grenzflächenschicht angeordnet ist.
  • Die Gateelektrode 282 wird über dem Gatedielektrikum 280 in der Öffnung 275 durch ein Abscheidungsverfahren wie etwa ein ALD-Verfahren ausgebildet. Ein CMP-Verfahren kann durchgeführt werden, um überschüssige Anteile der Gatedielektrikumsschicht und/oder Gateelektrodenschicht zu entfernen, wodurch der Metall-Gatestapel planarisiert wird. Die Gateelektrode 282 umfasst ein leitfähiges Material, wie etwa Polysilizium, Al, Cu, Ti, Ta, W, Mo, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, anderes leitfähiges Material oder Kombinationen davon. In einigen Implementierungen umfasst die Gateelektrode 282 eine Austrittsarbeitsschicht, die eine leitfähige Schicht ist, die so abgestimmt ist, dass sie eine gewünschte Austrittsarbeit aufweist (wie eine Austrittsarbeit vom n-Typ oder eine Austrittsarbeit vom p-Typ), und eine leitfähige Schicht über der Austrittsarbeitsschicht. In verschiedenen Beispielen umfasst die Austrittsarbeitsschicht Ta, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, ein anderes Material, das zum Erreichen einer gewünschten Austrittsarbeit geeignet ist, oder Kombinationen davon. Die leitfähige Schicht, die über der Austrittsarbeitsschicht ausgebildet ist, umfasst ein geeignetes leitfähiges Material, wie beispielsweise Aluminium, Wolfram oder Kupfer. Die leitfähige Schicht kann zusätzlich oder insgesamt Polysilizium, Titan, Tantal, Metalllegierungen, andere geeignete Materialien oder Kombinationen davon umfassen. In einigen Implementierungen werden Silizidelemente anschließend in den Gate-Bereichen und/oder den Source/Drain-Bereichen ausgebildet. Zum Beispiel können Silizidelemente auf den epitaktischen Source/Drain-Elementen 260 und zusätzlich auf der Gateelektrode 282 ausgebildet werden (zum Beispiel wenn die Gateelektrode 282 Polysilizium umfasst). Die Silizidelemente werden durch einen Silizidierungsverfahren ausgebildet, wie zum Beispiel ein selbstjustierendes Silizid- (Salizid) -Verfahren.
  • Wendet man sich nun den 13A und 13B zu, werden verschiedene Kontakte ausgebildet, um den Betrieb der integrierten Schaltungsvorrichtung 200 zu erleichtern. Zum Beispiel kann eine ILD-Schicht 290 ähnlich der ILD-Schicht 270 über dem Substrat 202 (in der gezeigten Ausführungsform über der ILD-Schicht 270 und der Gatestruktur 240) ausgebildet werden. Kontakte können in der ILD-Schicht 270 und/oder der ILD-Schicht 290 ausgebildet werden, wie ein Kontakt 292, der elektrisch mit einer Gatestruktur (insbesondere der Gateelektrode 282) verbunden ist, ein Kontakt 294, der elektrisch mit dem Source-Bereich 207 (insbesondere dem epitaktischen Source/Drain-Element 260) verbunden ist, und ein Kontakt 296, der elektrisch mit dem Drain-Bereich 208 (insbesondere dem epitaktischen Source/Drain-Element 260) verbunden ist. Die Kontakte 292, 294 und 296 weisen ein leitfähiges Material wie Metall auf. Metalle umfassen Aluminium, Aluminiumlegierung (wie Aluminium/Silizium/Kupferlegierung), Kupfer, Kupferlegierung, Titan, Titannitrid, Tantal, Tantalnitrid, Wolfram, Polysilizium, Metallsilizid, andere geeignete Metalle oder Kombinationen davon. Das Metallsilizid kann Nickelsilizid, Kobaltsilizid, Wolframsilizid, Tantalsilizid, Titansilizid, Platinsilizid, Erbiumsilizid, Palladiumsilizid oder Kombinationen davon umfassen. In einigen Implementierungen sind die ILD-Schicht 270, die ILD-Schicht 290, der Kontakt 292, der Kontakt 294 und/oder der Kontakt 296 ein Teil eines Mehrschichtverbindungs- (MLI) -Elements, das über dem Substrat 202 angeordnet ist. Das MLI-Element verbindet verschiedene Komponenten der integrierten Schaltungsvorrichtung 200 elektrisch so, dass die verschiedenen Komponenten geeignet sind, so zu funktionieren, wie es durch die Entwurfsanforderungen spezifiziert ist. Das MLI-Element kann eine Kombination von Metallschichten und ILD-Schichten umfassen, die so konfiguriert sind, dass vertikale Verbindungselemente wie Kontakte und/oder Durchkontaktierungen und/oder horizontale Verbindungselemente wie beispielsweise Leitungen ausgebildet werden. Die verschiedenen leitfähigen Elemente umfassen Materialien ähnlich den Kontakten 292, 294 und 296. In einigen Implementierungen wird ein Damascene-Verfahren und/oder ein Dual-Damascene-Verfahren verwendet, um eine mehrschichtige Verbindungsstruktur auf Kupferbasis auszubilden. Die integrierte Schaltungsvorrichtung 200 kann einer nachfolgenden Verarbeitung unterzogen werden, um die Herstellung in Abhängigkeit von Entwurfsanforderungen abzuschließen.
  • Die 14A-25A und 14B-25B sind schematische Teilansichten einer integrierten Schaltungsvorrichtung 300 in einem Teil oder in einer Gesamtheit in verschiedenen Herstellungsstadien (wie etwa jenen, die zu dem Verfahren 100 gehören) gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Die 14A-25A sind schematische Querschnittsansichten der integrierten Schaltungsvorrichtung 300 in einer XZ-Ebene und die 14B-25B sind schematische Querschnittsansichten der integrierten Schaltungsvorrichtung 300 in einer YZ-Ebene. Die integrierte Schaltungsvorrichtung 300 kann in einem Mikroprozessor, einem Speicher und/oder einer anderen integrierten Schaltungsvorrichtung vorgesehen sein. In einigen Implementierungen ist die integrierte Schaltungsvorrichtung 300 ein Teil eines IC-Chips, eines SoC oder eines Teils davon, der verschiedene passive und aktive mikroelektronische Vorrichtungen wie Widerstände, Kondensatoren, Induktoren, Dioden, PFETs, NFETs, MOSFETs, CMOS-Transistoren BJTs, LDMOS-Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon umfasst. In einigen Implementierungen ist die integrierte Schaltungsvorrichtung 300 in einem nichtflüchtigen Speicher vorgesehen, wie z. B. einem NVRAM, einem Flash-Speicher, einem EEPROM, einem EPROM, einem anderen geeigneten Speichertyp oder Kombinationen davon. Die integrierte Schaltungsvorrichtung 300 gleicht in vielerlei Hinsicht der integrierten Schaltungsvorrichtung 200. Dementsprechend sind ähnliche Elemente in den 14A-25A, 14B-25B und den 3A-13A, 3B-13B aus Gründen der Klarheit und Einfachheit mit den gleichen Bezugszeichen versehen. Die 14A-25A und 14B-25B sind der Klarheit halber vereinfacht, um die erfinderischen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Elemente können in der integrierten Schaltungsvorrichtung 300 hinzugefügt werden, und einige der nachstehend beschriebenen Elemente können in anderen Ausführungsformen der integrierten Schaltungsvorrichtung 300 ersetzt, modifiziert oder eliminiert werden.
  • Die Herstellung der integrierten Schaltungsvorrichtung 300 beginnt ähnlich wie die Herstellung der integrierten Schaltungsvorrichtung 200. Zum Beispiel umfasst in den 14A und 14B die integrierte Schaltungsvorrichtung 300 ein Substrat 202 und eine darüber angeordnete Heterostruktur 205, wie oben im Detail mit Bezug auf die 3A und 3B beschrieben wurde. In den 15A und 15B wird die Gatestruktur 240 über der Heterostruktur 205 der integrierten Schaltungsvorrichtung 300 ausgebildet, wie oben im Detail mit Bezug auf die 4A und 4B beschrieben wurde. In den 16A und 16B wird die integrierte Schaltungsvorrichtung 300 einem Source/Drain-Nanodraht-Freilegungsverfahren unterzogen, wodurch ein Nanodraht 215A, ein Nanodraht 225A und ein Nanodraht 235A ausgebildet werden (wobei die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A im Kanalbereich 206 verbleiben), wie oben im Detail mit Bezug auf die 5A und 5B beschrieben wurde. Die Herstellung der integrierten Schaltungsvorrichtung 300 fährt dann mit dem Ausbilden von Nanodraht-Abstandshaltern fort, mit der Ausnahme, dass im Gegensatz zur Herstellung der integrierten Schaltungsvorrichtung 200 die Nanodraht-Abstandshalter eine Mehrschichtstruktur aufweisen, wobei jede Schicht eine andere Ätzcharakteristik aufweist, wie nachstehend unter Bezugnahme auf die 17A-19A und 17B-19B beschrieben wird. Die Mehrschichtstruktur ermöglicht eine größere Flexibilität bei nachfolgenden Ätzverfahren, wie sie während eines Kanal-Nanodraht-Freilegungsverfahrens verwendet werden, insbesondere durch Vergrößern von Ätz-Abstimmungsfenstern, die zum Sicherstellen eines selektiven Ätzens erforderlich sind, wodurch die mit der Herstellung der integrierten Schaltungsvorrichtung 300 verbundenen Einschränkungen gelockert werden.
  • Wendet man sich nun den 17A und 17B zu, wird eine Nanodraht-Abstandsschicht 346 über dem Substrat 202 ausgebildet, insbesondere über der Heterostruktur 205 und der Gatestruktur 240. Die Nanodraht-Abstandsschicht 346 wird durch ein beliebiges geeignetes Verfahren ausgebildet, wie beispielsweise ein Abscheidungsverfahren (zum Beispiel CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Verfahren oder Kombinationen davon). Die Nanodraht-Abstandsschicht 346 umgibt den Nanodraht 215A, den Nanodraht 225A, den Nanodraht 235A, füllt jedoch nicht die Räume zwischen dem Nanodraht 215A, dem Nanodraht 225A, dem Nanodraht 235A und/oder dem Substrat 202 (definiert beispielsweise durch den Nanodraht-Abstand S). In einigen Implementierungen wird die Nanodraht-Abstandsschicht 346 konform über der Gatestruktur 240, den Nanodrähten, den Halbleiterinseln und dem Substrat 202 so abgeschieden, dass die Nanodraht-Abstandsschicht 346 eine Dicke t1 aufweist. In einigen Implementierungen ist t1 ungefähr gleich einer Dicke der Gate-Abstandshalter 244 (zum Beispiel t1 ≈ t). In einigen Implementierungen ist t1 kleiner als t (zum Beispiel t1<t). In einigen Implementierungen beträgt t1 ungefähr 1 nm bis ungefähr 10 nm. Die Nanodraht-Abstandsschicht 346 umfasst ein Material, das sich von dem der Nanodrähte und der Halbleiterinseln unterscheidet. Insbesondere umfasst die Nanodraht-Abstandsschicht 346 ein Material mit einer anderen Ätzrate als die Materialien der Nanodrähte und der Halbleiterinseln. Zum Beispiel umfasst die Nanodraht-Abstandsschicht 346 ein derartiges Material, dass eine Ätzselektivität der Halbleiterinsel 210A, der Halbleiterinsel 220A und der Halbleiterinsel 230A gegenüber der Nanodraht-Abstandsschicht 346 erreicht wird, zum Beispiel während eines nachfolgenden Kanal-Nanodraht-Freilegungsverfahrens. In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 346 ein Material mit einer anderen Ätzcharakteristik als ein Material der Gate-Abstandshalter 244. In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 346 ein Dielektrikum. Das Dielektrikum kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid oder Siliziumoxykarbonitrid) umfassen. Für die Zwecke der folgenden Beschreibung umfasst die Nanodraht-Abstandsschicht 346 Silizium, Sauerstoff, Kohlenstoff und Stickstoff (zum Beispiel SiOCN). In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 346 ein Low-k-Dielektrikum. Beispielhafte Low-k-Dielektrika umfassen FSG, kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB (Benzocyclobuten), SiLK (Dow Chemical, Midland, Michigan), Polyimid, andere Low-k-Dielektrika oder Kombinationen davon. In einigen Implementierungen werden Dotierstoffe (beispielsweise p-Dotierstoffe, n-Dotierstoffe oder Kombinationen davon) in das Dielektrikum eingebracht, so dass die Nanodraht-Abstandsschicht 346 ein dotiertes Dielektrikum umfasst. Das Dotieren des Dielektrikums kann ein Profil eines Übergangs zwischen dem Source-Bereich 207 (und/oder dem Drain-Bereich 208) und dem Kanalbereich 206 verbessern. Ein Ionenimplantationsverfahren, ein Diffusionsverfahren (zum Beispiel ein SPD-Verfahren) und/oder ein anderes geeignetes Dotierungsverfahren kann durchgeführt werden, um Dotierstoffe in das Dielektrikum einzuführen.
  • Wendet man sich nun den 18A und 18B zu, wird eine Nanodraht-Abstandsschicht 348 über der Nanodraht-Abstandsschicht 346 ausgebildet, wobei die Nanodraht-Abstandsschicht 348 und die Nanodraht-Abstandsschicht 346 zusammen als eine Nanodraht-Abstands-Mehrfachschicht 350 bezeichnet werden. Die Nanodraht-Abstandsschicht 348 wird durch ein beliebiges geeignetes Verfahren ausgebildet, wie beispielsweise ein Abscheidungsverfahren (zum Beispiel CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Verfahren oder Kombinationen davon). Die Nanodraht-Abstandsschicht 348 füllt allen verbleibenden Raum zwischen dem Nanodraht 215A, dem Nanodraht 225A, dem Nanodraht 235A und/oder dem Substrat 202, so dass die Nanodraht-Abstands-Mehrfachschicht 350 die Nanodrahtabstände S vollständig ausfüllt. Die Nanodraht-Abstandsschicht 348 weist ein anderes Material auf als die Nanodraht-Abstandsschicht 346, die Nanodrähte und die Halbleiterinseln. Insbesondere umfasst die Nanodraht-Abstandsschicht 348 ein Material mit einer anderen Ätzrate als Materialien der Nanodraht-Abstandsschicht 346, der Nanodrähte und der Halbleiterinseln. Zum Beispiel umfasst die Nanodraht-Abstandsschicht 348 ein derartiges Material, dass eine Ätzselektivität der Halbleiterinsel 210A, der Halbleiterinsel 220A und der Halbleiterinsel 230A gegenüber der Nanodraht-Abstandsschicht 348 erreicht wird, zum Beispiel während eines nachfolgenden Kanal-Nanodraht-Freilegungsverfahrens. Materialien der Nanodraht-Abstandsschicht 348 und der Nanodraht-Abstandsschicht 346 werden ebenfalls so ausgewählt, dass eine derartige Ätzselektivität der Nanodraht-Abstandsschicht 348 zur Nanodraht-Abstandsschicht 346 erreicht wird, dass die Nanodraht-Abstandsschicht 348 relativ zur Nanodraht-Abstandsschicht 346 leicht entfernt (selektiv geätzt) werden kann. In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 348 ein Dielektrikum. Das Dielektrikum kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid oder Siliziumoxykarbonitrid) umfassen. Für die Zwecke der folgenden Beschreibung umfasst die Nanodraht-Abstandsschicht 348 Silizium und Stickstoff (zum Beispiel Siliziumnitrid). Die Nanodraht-Abstandsschicht 348 kann somit das gleiche Material wie die Gate-Abstandshalter 244 umfassen, obwohl die vorliegende Offenbarung Ausführungsformen in Betracht zieht, bei denen die Nanodraht-Abstandsschicht 348 ein Material mit einer anderen Ätzcharakteristik als ein Material der Gate-Abstandshalter 244 aufweist. In einigen Implementierungen ist eine Dielektrizitätskonstante (k) eines Materials der Nanodraht-Abstandsschicht 348 höher als eine Dielektrizitätskonstante eines Materials der Nanodraht-Abstandsschicht 346. In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 348 ein Low-k-Dielektrikum. Beispielhafte Low-k-Dielektrika umfassen FSG, kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB (Benzocyclobuten), SiLK (Dow Chemical, Midland, Michigan), Polyimid, andere Low-k-Dielektrika oder Kombinationen davon. In einigen Implementierungen werden Dotierstoffe (beispielsweise p-Dotierstoffe, n-Dotierstoffe oder Kombinationen davon) in das Dielektrikum eingebracht, so dass die Nanodraht-Abstandsschicht 348 ein dotiertes Dielektrikum umfasst. Das Dotieren des Dielektrikums kann ein Profil eines Übergangs zwischen dem Source-Bereich 207 (und/oder dem Drain-Bereich 208) und dem Kanalbereich 206 verbessern. Ein Ionenimplantationsverfahren, ein Diffusionsverfahren (zum Beispiel ein SPD-Verfahren) und/oder ein anderes geeignetes Dotierungsverfahren kann durchgeführt werden, um Dotierstoffe in das Dielektrikum einzuführen.
  • Wendet man sich nun den 19A und 19B zu, wird ein Strukturierungsverfahren auf die Nanodraht-Abstands-Mehrfachschicht 350 (hier die Nanodraht-Abstandhalterschicht 346 und die Nanodraht-Abstandhalterschicht 348) angewendet, um Nanodraht-Abstandshalter auszubilden. Zum Beispiel werden die Nanodraht-Abstandsschicht 346 und die Nanodraht-Abstandsschicht 348 selektiv entfernt, um Seitenwände 252 und Seitenwände 254 des Nanodrahts 215A, des Nanodrahts 225A und des Nanodrahts 235A freizulegen, jedoch verbleiben sie in Räumen zwischen dem Nanodraht 215A, dem Nanodraht 225A, dem Nanodraht 235A und/oder dem Substrat 202. In der gezeigten Ausführungsform wird die Nanodraht-Abstandsschicht 348 über der Gatestruktur 240 entfernt, wobei eine Dicke der Nanodraht-Abstandsschicht 348, die über der Nanodraht-Abstandsschicht 346 und dem Nanodraht 235A verbleibt, während des Strukturierungsverfahrens reduziert wird. In Weiterführung der gezeigten Ausführungsform verbleibt die Nanodraht-Abstandsschicht 346 nach dem Strukturierungsverfahren über der Gatestruktur 240 und dem Nanodraht 235A. In einigen Implementierungen wird die Nanodraht-Abstandsschicht 348 vollständig von der Nanodraht-Abstandsschicht 346 und dem Nanodraht 235A entfernt. In solchen Implementierungen kann eine Dicke der Nanodraht-Abstandsschicht 346, die über dem Nanodraht 235A verbleibt, während des Strukturierungsverfahrens reduziert werden. In einigen Implementierungen zieht die vorliegende Offenbarung eine minimale Dicke der Nanodraht-Abstandsschicht 348 in Betracht, die über der Gatestruktur 240 verbleibt. In einigen Implementierungen entfernt ein Ätzverfahren selektiv Teile der Nanodraht-Abstandsschicht 348, während die Entfernung der Nanodrähte und/oder der Nanodraht-Abstandsschicht 346 vermieden (oder minimiert) wird. Verschiedene Ätzparameter können so abgestimmt werden, dass die Nanodraht-Abstandsschicht 348 selektiv geätzt wird, wie beispielsweise Ätzzusammensetzung, Ätztemperatur, Ätzlösungskonzentration, Ätzzeit, Ätzdruck, Quellenleistung, HF-Vorspannung, HF-Vorspannungsleistung, Ätzmittelflussrate, andere geeignete Ätzparameter oder Kombinationen davon. In einigen Implementierungen wird die Nanodraht-Abstandsschicht 348 unter Verwendung von Ätzreaktanten geätzt, die eine hohe Ätzselektivität in Bezug auf das Material der Nanodraht-Abstandsschicht 348 aufweisen. Wenn zum Beispiel die Nanodraht-Abstandsschicht 348 Siliziumnitrid umfasst, die Nanodraht-Abstandsschicht 346 Siliziumoxykarbonitrid umfassen und die Nanodrähte Silizium umfassen, werden die Ätzreagenzien und/oder Ätzlösungen des Ätzverfahrens so ausgewählt, dass eine hohe Ätzselektivität für Siliziumnitrid mit minimalem (bis keinem) Ätzen von Siliziumoxykarbonitrid und/oder Silizium sichergestellt wird. In solchen Implementierungen kann ein Nassätzverfahren unter Verwendung einer Phosphorsäurelösung selektiv die Nanodraht-Abstandsschicht 348 mit minimalem (bis keinem) Ätzen der Nanodrähte und/oder Nanodraht-Abstandsschicht 346 ätzen.
  • Die Nanodraht-Abstands-Mehrfachschicht 350 verbleibt somit im Source-Bereich 207 und im Drain-Bereich 208, wodurch ein Nanodraht-Abstandshalter 350A (zwischen dem Substrat 202 und dem Nanodraht 215A), ein Nanodraht-Abstandshalter 350B (zwischen dem Nanodraht 215A und dem Nanodraht 225A), ein Nanodraht-Abstandshalter 350C (zwischen dem Nanodraht 225A und dem Nanodraht 235A) und ein Nanodraht-Abstandshalter 350D (über dem Nanodraht 235A) ausgebildet werden. Die Nanodraht-Abstandshalter 350A-350C erstrecken sich über den Source-Bereich 207, den Drain-Bereich 208 und einen Teil des Kanalbereichs 206 (in der gezeigten Ausführungsform einen Teil des Kanalbereichs 206 unter den Gate-Abstandshaltern 244), während der Nanodraht-Abstandshalter 350D sich über den Source-Bereich 207 und den Drain-Bereich 208 erstreckt. In der gezeigten Ausführungsform erstrecken sich die Nanodraht-Abstandshalter 350A-350D nicht (oder kaum) über den Nanodraht 215A, den Nanodraht 225A und den Nanodraht 235A entlang der x-Richtung und der y-Richtung hinaus, wodurch sichergestellt wird, dass der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A ausreichend freiliegen, um nachfolgende Source/Drain-Elemente auszubilden. Zum Beispiel weisen die Nanodraht-Abstandshalter 350A-350D jeweils eine Breite W2 auf, die sich entlang der y-Richtung erstreckt und die im Wesentlichen gleich der Breite W der Nanodrähte ist (mit anderen Worten, W2 ≈ W). In einigen Implementierungen ist W2 ≈ W, wenn W2 und W innerhalb von ± 5 % voneinander liegen. In Weiterführung der gezeigten Ausführungsform weisen die Nanodraht-Abstandhalter 350A-350D Seitenwände auf, die im Wesentlichen an den Seitenwänden 252 ausgerichtet sind, und Seitenwände, die im Wesentlichen an den Seitenwänden 254 ausgerichtet sind. In einigen Implementierungen werden die Seitenwände als im Wesentlichen ausgerichtet betrachtet, wenn eine Versetzung (beispielsweise Δx oder Δy) zwischen den Seitenwänden der Nanodraht-Abstandshalter 350A-350D und den Seitenwänden 252 oder den Seitenwänden 254 weniger als etwa 2 nm beträgt.
  • Die Herstellung der integrierten Schaltungsvorrichtung 300 schreitet dann ähnlich wie die Herstellung der integrierten Schaltungsvorrichtung 200 fort. Zum Beispiel werden in den 20A und 20B epitaktische Source/Drain-Elemente 260 in dem Source-Bereich 207 und dem Drain-Bereich 208 der Heterostruktur 205 der integrierten Schaltungsvorrichtung 300 ausgebildet, wie vorstehend unter Bezugnahme auf die 8A und 8B detailliert beschrieben wurde. Wie in 20A gezeigt, ist ein Teil der Nanodraht-Abstandshalter 350A-350C (einschließlich der Nanodraht-Abstandsschicht 346 und der Nanodraht-Abstandsschicht 348) in dem Kanalbereich 206 nicht durch die epitaktischen Source/Drain-Elemente 260 bedeckt. In den 21A und 21B wird die ILD-Schicht 270 über dem Substrat 202 ausgebildet, wie vorstehend unter Bezugnahme auf die 9A und 9B detailliert beschrieben wurde. In der gezeigten Ausführungsform wird ein CMP-Verfahren (oder Rückätzverfahren) auf die ILD-Schicht 270 und irgendwelche Anteile der Nanodraht-Abstandsschicht(en) über der Gatestruktur 240 (hier der Nanodraht-Abstandsschicht 346) angewendet, um einen oberen Abschnitt der Gatestruktur 240 freizulegen, etwa einen oberen Abschnitt (oder eine obere Fläche) der Dummy-Gateelektrode 242. Verbleibende Abschnitte der Nanodraht-Abstandsschicht(en) bilden Abstandshalter 272, die benachbart zu den Gate-Abstandshaltern 244 angeordnet sind, wodurch zwei Abstandshalter-Sätze bereitgestellt werden. In den 22A und 22B wird ein Teil der Gatestruktur 240 entfernt, um den Kanalbereich 206 der integrierten Schaltungsvorrichtung 300 freizulegen, wodurch die Öffnung 275 ausgebildet wird, wie vorstehend unter Bezugnahme auf die 10A und 10B detailliert beschrieben wurde. In den 23A und 23B wird die integrierte Schaltungsvorrichtung 300 einem Kanal-Nanodraht-Freilegungsverfahren unterzogen, wodurch der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A in dem Kanalbereich 206 freigelegt werden, wie vorstehend unter Bezugnahme auf die 11A und 11B detailliert beschrieben wurde. Ähnlich wie die Nanodraht-Abstandhalter 250A-250C fungieren die Nanodraht-Abstandshalter 350A-350C als Ätzstoppschichten während des Kanal-Nanodraht-Freilegungsverfahrens, wodurch eine laterale Ätzsteuerung bereitgestellt wird und ein unbeabsichtigtes Entfernen von Source/Drain-Material verhindert wird, etwa der epitaktischen Source/Drain-Elemente 260. Die Nanodraht-Abstandshalter 350A-350C stellen auch einen Isolationsbereich zwischen einem nachfolgend ausgebildeten Metall-Gatestapel und dem Source-Bereich 207 (und dem Drain-Bereich 208) bereit, wodurch die Leistung der integrierten Schaltungsvorrichtung 300 verbessert wird. In den 24A und 24B wird ein Metall-Gatestapel der Gatestruktur 240 (einschließlich zum Beispiel des Gatedielektrikums 280 und der Gateelektrode 282) in der Öffnung 275 ausgebildet, wie vorstehend unter Bezugnahme auf die 12A und 12B detailliert beschrieben wurde. In den 25A und 25B werden verschiedene Kontakte ausgebildet, um den Betrieb der integrierten Schaltungsvorrichtung 300 zu erleichtern (beispielsweise der Kontakt 292, der Kontakt 294 und der Kontakt 296, die sich durch die ILD-Schicht 270 und/oder die ILD-Schicht 290 erstrecken), wie vorstehend unter Bezugnahme auf die 13A und 13B detailliert beschrieben wurde.
  • Die 26A-37A und 26B-37B sind schematische Teilansichten einer integrierten Schaltungsvorrichtung 400 in einem Teil oder in einer Gesamtheit in verschiedenen Herstellungsstadien (wie etwa jenen, die zu dem Verfahren 100 gehören) gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Die 26A-37A sind schematische Querschnittsansichten der integrierten Schaltungsvorrichtung 400 in einer XZ-Ebene und die 26B-37B sind schematische Querschnittsansichten der integrierten Schaltungsvorrichtung 400 in einer YZ-Ebene. Die integrierte Schaltungsvorrichtung 400 kann in einem Mikroprozessor, einem Speicher und/oder einer anderen integrierten Schaltungsvorrichtung enthalten sein. In einigen Implementierungen ist die integrierte Schaltungsvorrichtung 400 ein Teil eines IC-Chips, eines SoC oder eines Teils davon, der verschiedene passive und aktive mikroelektronische Vorrichtungen wie Widerstände, Kondensatoren, Induktoren, Dioden, PFETs, NFETs, MOSFETs, CMOS-Transistoren BJTs, LDMOS-Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon umfasst. In einigen Implementierungen ist die integrierte Schaltungsvorrichtung 400 in einem nichtflüchtigen Speicher vorgesehen, wie z. B. einem NVRAM, einem Flash-Speicher, einem EEPROM, einem EPROM, einem anderen geeigneten Speichertyp oder Kombinationen davon. Die integrierte Schaltungsvorrichtung 400 gleicht in vielerlei Hinsicht der integrierten Schaltungsvorrichtung 200. Dementsprechend sind ähnliche Elemente in den 26A-37A, 26B-37B und den 3A-13A, 3B-13B aus Gründen der Klarheit und Einfachheit mit den gleichen Bezugszeichen versehen. Die 26A-37A und 26B-37B sind zum Zwecke der Klarheit vereinfacht, um die erfinderischen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Elemente können in der integrierten Schaltungsvorrichtung 400 hinzugefügt werden, und einige der nachstehend beschriebenen Elemente können in anderen Ausführungsformen der integrierten Schaltungsvorrichtung 400 ersetzt, modifiziert oder eliminiert werden.
  • Die Herstellung der integrierten Schaltungsvorrichtung 400 beginnt ähnlich wie die Herstellung der integrierten Schaltungsvorrichtung 200. Wendet man sich beispielsweise den 26A und 26B zu, umfasst die integrierte Schaltungsvorrichtung 400 das Substrat 202 und die darüber angeordnete Heterostruktur 205, wie vorstehend unter Bezugnahme auf die 3A und 3B detailliert beschrieben wurde. In den 27A und 27B wird die Gatestruktur 240 über der Heterostruktur 205 der integrierten Schaltungsvorrichtung 400 ausgebildet, wie oben im Detail mit Bezug auf die 4A und 4B beschrieben wurde. In den 28A und 28B wird ein Source/Drain-Nanodraht-Freilegungsverfahren auf die integrierten Schaltungsvorrichtung 400 angewendet, wodurch der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A ausgebildet werden (wobei die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A in dem Kanalbereich 206 verbleiben), wie vorstehend unter Bezugnahme auf die 5A und 5B detailliert beschrieben wurde. Die Herstellung der integrierten Schaltungsvorrichtung 400 fährt dann mit dem Ausbilden von Nanodraht-Abstandshaltern fort, mit der Ausnahme, dass im Gegensatz zur Herstellung der integrierten Schaltungsvorrichtung 200 die Nanodraht-Abstandshalter eine Mehrschichtstruktur aufweisen, wobei jede Schicht eine andere Ätzcharakteristik aufweist. Die Mehrschichtstruktur ermöglicht eine größere Flexibilität bei nachfolgenden Ätzverfahren, wie sie während eines Kanal-Nanodraht-Freilegungsverfahrens verwendet werden, insbesondere durch Vergrößern von Ätz-Abstimmungsfenstern, die zum Sicherstellen eines selektiven Ätzens erforderlich sind, wodurch die mit der Herstellung der integrierten Schaltungsvorrichtung 400 verbundenen Einschränkungen gelockert werden.
  • Wendet man sich nun den 29A und 29B zu, wird eine Nanodraht-Abstandsschicht 446 über dem Substrat 202, insbesondere über der Heterostruktur 205 und der Gatestruktur 240, durch ein beliebiges geeignetes Verfahren ausgebildet, wie ein Abscheidungsverfahren (zum Beispiel CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Verfahren oder Kombinationen davon). Die Nanodraht-Abstandsschicht 446 füllt Räume zwischen den Nanodrähten der integrierten Schaltungsvorrichtung 400 (hier dem Nanodraht 215A, dem Nanodraht 225A und dem Nanodraht 235A). Obwohl die Nanodraht-Abstandsschicht 446 in der gezeigten Ausführungsform eine einzelne Schicht umfasst, sieht die vorliegende Offenbarung Ausführungsformen vor, bei denen die Nanodraht-Abstandsschicht 446 mehrere Schichten umfasst, wie weiter unten beschrieben wird. Die Nanodraht-Abstandsschicht 446 umfasst ein anderes Material als die Gate-Abstandshalter 244, die Nanodrähte und die Halbleiterinseln. Insbesondere umfasst die Nanodraht-Abstandsschicht 446 ein Material mit einer anderen Ätzrate als Materialien von Gate-Abstandshaltern 244, den Nanodrähten und den Halbleiterinseln. Zum Beispiel umfasst die Nanodraht-Abstandsschicht 446 ein Material, um eine Ätzselektivität der Halbleiterinseln (hier der Halbleiterinsel 210A, der Halbleiterinsel 220A und der Halbleiterinsel 230A) gegenüber der Nanodraht-Abstandsschicht 446 zu erreichen, zum Beispiel während eines nachfolgenden Kanal-Nanodraht-Freilegungsverfahrens. In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 446 ein Dielektrikum. Das Dielektrikum kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid oder Siliziumoxykarbonitrid) umfassen. Für die Zwecke der folgenden Beschreibung umfasst die Nanodraht-Abstandsschicht 446 Silizium und Sauerstoff (zum Beispiel SiO2). In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 446 ein Low-k-Dielektrikum. Beispielhafte Low-k-Dielektrika umfassen FSG, kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB, SiLK (Dow Chemical, Midland, Michigan), Polyimid, ein anderes Low-k-Dielektrikum oder Kombinationen davon. In einigen Implementierungen werden Dotierstoffe in das Dielektrikum eingebracht, so dass die Nanodraht-Abstandsschicht 446 ein dotiertes Dielektrikum umfasst. Das Dotieren des Dielektrikums kann ein Profil eines Übergangs zwischen dem Source-Bereich 207 (und/oder dem Drain-Bereich 208) und dem Kanalbereich 206 verbessern, was die Leistung der integrierten Schaltungsvorrichtung 400 verbessern kann. Ein Ionenimplantationsverfahren, ein Diffusionsverfahren (zum Beispiel ein SPD-Verfahren) und/oder ein anderes geeignetes Dotierungsverfahren können durchgeführt werden, um Dotierstoffe in das Dielektrikum einzuführen.
  • Wendet man sich nun den 30A und 30B zu, wird ein Behandlungsverfahren auf die Nanodraht-Abstandsschicht 446 angewendet, so dass die Nanodraht-Abstandsschicht 446 unbehandelte Abschnitte 446A und behandelte Abschnitte 446B umfasst. Das Behandlungsverfahren ändert eine Eigenschaft (oder Charakteristik) von Abschnitten der Nanodraht-Abstandsschicht 446, so dass die behandelten Abschnitte 446B eine andere Charakteristik aufweisen als die unbehandelten Abschnitte 446A. Zum Beispiel ändert das Behandlungsverfahren eine Ätzcharakteristik von Abschnitten der Nanodraht-Abstandsschicht 446, so dass die behandelten Abschnitte 446B eine andere Ätzrate (in einigen Implementierungen eine höhere Ätzrate) aufweisen als die unbehandelten Abschnitte 446A. In einem weiteren Beispiel ändert das Behandlungsverfahren eine elektrische Eigenschaft von Abschnitten der Nanodraht-Abstandsschicht 446, so dass die behandelten Abschnitte 446B eine andere elektrische Eigenschaft (in einigen Implementierungen eine höhere Leitfähigkeit) aufweisen als die unbehandelten Abschnitte 446A. In einigen Implementierungen ist das Behandlungsverfahren ein Ionenimplantationsverfahren, das Dotierstoffe in die Nanodraht-Abstandsschicht 446 einführt, so dass die behandelten Abschnitte 446B dotiert sind und die unbehandelten Abschnitte undotiert sind. In Implementierungen, in denen die Nanodraht-Abstandsschicht 446 Siliziumoxid umfasst, bleiben die unbehandelten Abschnitte 446A nach dem Behandlungsverfahren Siliziumoxid, während die behandelten Abschnitte 446B dotiertes Siliziumoxid umfassen. In einigen Implementierungen sind die Dotierstoffe p-Dotierstoffe, n-Dotierstoffe oder eine Kombination davon.
  • Wendet man sich nun den 31A und 31B zu, wird ein Strukturierungsverfahren auf die Nanodraht-Abstandsschicht 446 angewendet, um Nanodraht-Abstandshalter auszubilden. Zum Beispiel werden die behandelten Abschnitte 446B der Nanodraht-Abstandsschicht 446 selektiv entfernt, um die Seitenwände 252 und die Seitenwände 254 des Nanodrahts 215A, des Nanodrahts 225A und des Nanodrahts 235A freizulegen, verbleiben jedoch in den Räumen zwischen dem Nanodraht 215A, dem Nanodraht 225A, dem Nanodraht 235A und/oder dem Substrat 202. Die behandelten Abschnitte 446B der Nanodraht-Abstandsschicht 446 werden auch von der Gatestruktur 240 entfernt, etwa der Dummy-Gateelektrode 242 und dem Gate-Abstandshalter 244, wobei eine Dicke der behandelten Abschnitte 446B der Nanodraht-Abstandsschicht 446, die über dem Nanodraht 235A verbleiben, während des Strukturierungsverfahrens reduziert wird. In einigen Implementierungen entfernt ein Ätzverfahren selektiv die behandelten Abschnitte 446B der Nanodraht-Abstandsschicht 446, während die Entfernung der Gatestruktur 240 (einschließlich der Gate-Abstandshalter 244) und der Nanodrähte vermieden (oder minimiert) wird. Verschiedene Ätzparameter können abgestimmt werden, um die behandelten Abschnitte 446B der Nanodraht-Abstandsschicht 446 selektiv zu ätzen, wie Ätzzusammensetzung, Ätztemperatur, Ätzlösungskonzentration, Ätzzeit, Ätzdruck, Quellenleistung, HF-Vorspannung, HF-Vorspannungsleistung, Ätzmittelflussrate andere geeignete Ätzparameter oder Kombinationen davon. In einigen Implementierungen werden die behandelten Abschnitte 446B der Nanodraht-Abstandsschicht 446 unter Verwendung von Ätzreaktanten mit einer hohen Ätzselektivität in Bezug auf das Material der behandelten Abschnitte 446B geätzt. Wenn beispielsweise die behandelten Abschnitte 446B dotiertes Siliziumoxid umfassen und die Nanodrähte Silizium umfassen, werden die Ätzreaktanten und/oder Ätzlösungen des Ätzverfahrens so ausgewählt, dass sie eine hohe Ätzselektivität gegenüber dotiertem Siliziumoxid bei minimalem (bis keinem) Ätzen von Silizium sicherstellen.
  • Die Nanodraht-Abstandsschicht 246 (insbesondere die unbehandelten Abschnitte 446A und die behandelten Abschnitte 446B) verbleibt somit im Source-Bereich 207 und im Drain-Bereich 208 und bildet einen Nanodraht-Abstandshalter 450A (zwischen dem Substrat 202 und dem Nanodraht 215A), einen Nanodraht-Abstandshalter 450B (zwischen dem Nanodraht 215A und dem Nanodraht 225A), einen Nanodraht-Abstandshalter 450C (zwischen dem Nanodraht 225A und dem Nanodraht 235A) und einen Nanodraht-Abstandshalter 450D (über dem Nanodraht 235A). Die Nanodraht-Abstandshalter 450A bis 450C erstrecken sich über den Source-Bereich 207, den Drain-Bereich 208 und einen Teil des Kanalbereichs 206 (in der gezeigten Ausführungsform einen Teil des Kanalbereichs 206 unter den Gate-Abstandshaltern 244), während der Nanodraht-Abstandshalter 450D sich über den Source-Bereich 207 und den Drain-Bereich 208 erstreckt. In der gezeigten Ausführungsform erstrecken sich die Nanodraht-Abstandshalter 450A-450D nicht (oder kaum) über den Nanodraht 215A, den Nanodraht 225A und den Nanodraht 235A entlang der x-Richtung und der y-Richtung hinaus, wodurch sichergestellt wird, dass der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A ausreichend freiliegen, um nachfolgende Source/Drain-Elemente auszubilden. Beispielsweise haben die Nanodraht-Abstandshalter 450A-450D jeweils eine Breite (W3), die sich entlang der y-Richtung erstreckt und die im Wesentlichen gleich der Breite W der Nanodrähte ist (in anderen Worten, W3 ≈ W). In einigen Implementierungen ist W3 ≈ W, wenn W3 und W innerhalb von ± 5 % voneinander liegen. In Weiterführung der gezeigten Ausführungsform weisen die Nanodraht-Abstandshalter 450A-450D Seitenwände auf, die im Wesentlichen an den Seitenwänden 252 ausgerichtet sind, und Seitenwände, die im Wesentlichen an den Seitenwänden 254 ausgerichtet sind. In einigen Implementierungen werden die Seitenwände als im Wesentlichen ausgerichtet betrachtet, wenn eine Versetzung (zum Beispiel Δx oder Δy) zwischen den Seitenwänden der Nanodraht-Abstandshalter 450A-450D und den Seitenwänden 252 oder den Seitenwänden 254 weniger als etwa 2 nm beträgt.
  • Die Herstellung der integrierten Schaltungsvorrichtung 400 schreitet dann ähnlich wie die Herstellung der integrierten Schaltungsvorrichtung 200 fort. Zum Beispiel werden in den 32A und 32B epitaktische Source/Drain-Elemente 260 in dem Source-Bereich 207 und dem Drain-Bereich 208 der Heterostruktur 205 der integrierten Schaltungsvorrichtung 400 ausgebildet, wie vorstehend unter Bezugnahme auf die 8A und 8B detailliert beschrieben wurde. Wie in 32A gezeigt, ist ein Abschnitt der Nanodraht-Abstandshalter 450A-450C (insbesondere die unbehandelten Abschnitte 446A) in dem Kanalbereich 206 nicht durch die epitaktischen Source/Drain-Elemente 260 bedeckt. In den 33A und 33B wird die ILD-Schicht 270 über dem Substrat 202 ausgebildet, wie vorstehend unter Bezugnahme auf die 9A und 9B detailliert beschrieben wurde. In den 34A und 34B wird ein Teil der Gatestruktur 240 entfernt, um den Kanalbereich 206 der integrierten Schaltungsvorrichtung 400 freizulegen, wodurch die Öffnung 275 ausgebildet wird, wie vorstehend unter Bezugnahme auf die 10A und 10B detailliert beschrieben wurde. In den 35A und 35B wird die integrierte Schaltkreisvorrichtung 400 einem Kanal-Nanodraht-Freilegungsverfahren unterzogen, wodurch der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A in dem Kanalbereich 206 freigelegt werden, wie vorstehend unter Bezugnahme auf die 11A und 11B detailliert beschrieben wurde. Ähnlich wie die Nanodraht-Abstandhalter 250A-250C fungieren die Nanodraht-Abstandshalter 450A-450C als Ätzstoppschichten während des Kanal-Nanodraht-Freilegungsverfahrens, wodurch eine laterale Ätzsteuerung bereitgestellt wird und ein unbeabsichtigtes Entfernen von Source/Drain-Material, wie der epitaktischen Source/Drain-Elemente 260, verhindert wird. Die Nanodraht-Abstandshalter 450A-450C stellen auch einen Isolationsbereich zwischen einem nachfolgend ausgebildeten Metall-Gatestapel und dem Source-Bereich 207 (und dem Drain-Bereich 208) bereit, wodurch die Leistung der integrierten Schaltungsvorrichtung 400 verbessert wird. In den 36A und 36B wird ein Metall-Gatestapel der Gatestruktur 240 (einschließlich zum Beispiel des Gatedielektrikums 280 und der Gateelektrode 282) in der Öffnung 275 ausgebildet, wie vorstehend unter Bezugnahme auf die 12A und 12B detailliert beschrieben wurde. In den 37A und 37B werden verschiedene Kontakte ausgebildet, um den Betrieb der integrierten Schaltungsvorrichtung 400 zu erleichtern (beispielsweise der Kontakt 292, der Kontakt 294 und der Kontakt 296, die sich durch die ILD-Schicht 270 und/oder die ILD-Schicht 290 erstrecken), wie vorstehend unter Bezugnahme auf die 13A und 13B detailliert beschrieben wurde.
  • Die 38A-48A und 38B-48B sind schematische Teilansichten einer integrierten Schaltungsvorrichtung 500 in einem Teil oder in einer Gesamtheit in verschiedenen Herstellungsstadien (wie etwa jenen, die zu dem Verfahren 100 gehören) gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Die 38A-48A sind schematische Querschnittsansichten der integrierten Schaltungsvorrichtung 500 in einer XZ-Ebene und die 38B-48B sind schematische Querschnittsansichten der integrierten Schaltungsvorrichtung 500 in einer YZ-Ebene. Die integrierte Schaltungsvorrichtung 500 kann in einem Mikroprozessor, einem Speicher und/oder einer anderen integrierten Schaltungsvorrichtung vorgesehen sein. In einigen Implementierungen ist die integrierte Schaltungsvorrichtung 500 ein Teil eines IC-Chips, eines SoC oder eines Teils davon, der verschiedene passive und aktive mikroelektronische Vorrichtungen wie Widerstände, Kondensatoren, Induktoren, Dioden, PFETs, NFETs, MOSFETs, CMOS-Transistoren BJTs, LDMOS-Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon umfasst. In einigen Implementierungen ist die integrierte Schaltungsvorrichtung 500 in einem nichtflüchtigen Speicher vorgesehen, wie z. B. einem NVRAM, einem Flash-Speicher, einem EEPROM, einem EPROM, einem anderen geeigneten Speichertyp oder Kombinationen davon. Die integrierte Schaltungsvorrichtung 500 gleicht in vielerlei Hinsicht der integrierten Schaltungsvorrichtung 200. Dementsprechend sind ähnliche Elemente in den 38A-48A, 38B-48B und 3A-13A, 3B-13B aus Gründen der Klarheit und Einfachheit mit den gleichen Bezugszeichen versehen. Die 38A-48A und 38B-48B sind der Klarheit halber vereinfacht, um die erfinderischen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Elemente können in der integrierten Schaltungsvorrichtung 500 hinzugefügt werden, und einige der nachstehend beschriebenen Elemente können in anderen Ausführungsformen der integrierten Schaltungsvorrichtung 500 ersetzt, modifiziert oder eliminiert werden.
  • Die Herstellung der integrierten Schaltungsvorrichtung 500 beginnt ähnlich wie die Herstellung der integrierten Schaltungsvorrichtung 200. Zum Beispiel umfasst in den 38A und 38B die integrierte Schaltungsvorrichtung 500 ein Substrat 202 und eine darüber angeordnete Heterostruktur 205, wie oben im Detail mit Bezug auf die 3A und 3B beschrieben wurde. In den 39A und 39B wird die Gatestruktur 240 über der Heterostruktur 205 der integrierten Schaltungsvorrichtung 500 ausgebildet, wie oben im Detail mit Bezug auf die 4A und 4B beschrieben wurde. Im Gegensatz zur Herstellung der integrierten Schaltungsvorrichtung 200 bildet die Herstellung der integrierten Schaltungsvorrichtung 500 keine Gate-Abstandshalter 244 benachbart zu dem Dummy-Gatestapel der Gatestruktur 240 (insbesondere benachbart zu der Dummy-Gateelektrode 242) aus. Stattdessen werden, wie unten weiter beschrieben, die Gate-Abstandshalter und die Nanodraht-Abstandshalter gleichzeitig ausgebildet, wodurch Zeit und Komplexität verringert werden, die mit der Herstellung der integrierten Schaltungsvorrichtung 500 verbunden sind. In den 40A und 40B wird die integrierte Schaltungsvorrichtung 500 einem Source/Drain-Nanodraht-Freilegungsverfahren unterzogen, wodurch ein Nanodraht 215A, ein Nanodraht 225A und ein Nanodraht 235A ausgebildet werden (wobei die Halbleiterinsel 210A, die Halbleiterinsel 220A und die Halbleiterinsel 230A im Kanalbereich 206 verbleiben), wie oben im Detail mit Bezug auf die 5A und 5B beschrieben wurde. Die Herstellung der integrierten Schaltungsvorrichtung 500 fährt dann mit dem Ausbilden der Nanodraht-Abstandshalter fort, mit der Ausnahme, dass im Gegensatz zur Herstellung der integrierten Schaltungsvorrichtung 200 die Gate-Abstandshalter ausgebildet werden während die Nanodraht-Abstandshalter für die integrierte Schaltungsvorrichtung 500 ausgebildet werden.
  • Wendet man sich nun den 41A und 41B zu, wird eine Nanodraht-Abstandsschicht 550 über dem Substrat 202, insbesondere über der Heterostruktur 205 und der Gatestruktur 240, durch ein beliebiges geeignetes Verfahren ausgebildet, wie ein Abscheidungsverfahren (zum Beispiel CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Verfahren oder Kombinationen davon). Die Nanodraht-Abstandsschicht 550 füllt Räume zwischen den Nanodrähten der integrierten Schaltungsvorrichtung 500 (hier dem Nanodraht 215A, dem Nanodraht 225A und dem Nanodraht 235A). Obwohl die Nanodraht-Abstandsschicht 550 in der gezeigten Ausführungsform eine einzelne Schicht umfasst, zieht die vorliegende Offenbarung Ausführungsformen in Betracht, bei denen die Nanodraht-Abstandsschicht 550 mehrere Schichten umfasst, wie hierin beschrieben wird. Die Nanodraht-Abstandsschicht 550 umfasst ein Material, das sich von dem der Nanodrähte und der Halbleiterinseln unterscheidet. Insbesondere umfasst die Nanodraht-Abstandsschicht 550 ein Material mit einer anderen Ätzrate als Materialien der Nanodrähte und der Halbleiterinseln. Zum Beispiel umfasst die Nanodraht-Abstandsschicht 550 ein Material, um eine Ätzselektivität der Halbleiterinseln (hier der Halbleiterinsel 210A, der Halbleiterinsel 220A und der Halbleiterinsel 230A) gegenüber der Nanodraht-Abstandsschicht 550 zu erreichen, zum Beispiel während eines nachfolgenden Kanal-Nanodraht-Freilegungsverfahrens. In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 550 ein Dielektrikum. Das Dielektrikum kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid oder Siliziumoxykarbonitrid) umfassen. Für die Zwecke der folgenden Beschreibung umfasst die Nanodraht-Abstandsschicht 550 Silizium und Stickstoff (zum Beispiel Siliziumnitrid). In einigen Implementierungen umfasst die Nanodraht-Abstandsschicht 550 ein Low-k-Dielektrikum. Beispielhafte Low-k-Dielektrika umfassen FSG, kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB, SiLK (Dow Chemical, Midland, Michigan), Polyimid, ein anderes Low-k-Dielektrikum oder Kombinationen davon. In einigen Implementierungen werden Dotierstoffe in das Dielektrikum eingebracht, so dass die Nanodraht-Abstandsschicht 550 ein dotiertes Dielektrikum umfasst. Das Dotieren des Dielektrikums kann ein Profil eines Übergangs zwischen dem Source-Bereich 207 (und/oder dem Drain-Bereich 208) und dem Kanalbereich 206 verbessern, was die Leistung der integrierten Schaltungsvorrichtung 500 verbessern kann. Ein Ionenimplantationsverfahren, ein Diffusionsverfahren (zum Beispiel ein SPD-Verfahren) und/oder ein anderes geeignetes Dotierungsverfahren können durchgeführt werden, um Dotierstoffe in das Dielektrikum einzuführen.
  • Wendet man sich nun den 42A und 42B zu, wird ein Strukturierungsverfahren auf die Nanodraht-Abstandsschicht 550 angewendet, um die Nanodraht-Abstandshalter und die Gate-Abstandshalter auszubilden. Zum Beispiel wird die Nanodraht-Abstandsschicht 550 selektiv entfernt, um die Seitenwände 252 und die Seitenwände 254 des Nanodrahts 215A, des Nanodrahts 225A und des Nanodrahts 235A freizulegen, verbleibt jedoch in den Räumen zwischen dem Nanodraht 215A, dem Nanodraht 225A, dem Nanodraht 235A und/oder dem Substrat 202. Im Gegensatz zur Herstellung der integrierten Schaltungsvorrichtung 200 wird die Nanodraht-Abstandsschicht 550 nur von einem oberen Abschnitt (oder einer oberen Fläche) der Gatestruktur 240 entfernt, wie beispielsweise einer oberen Fläche der Dummy-Gateelektrode 242, wobei eine Dicke der Nanodraht-Abstandsschicht 550, die über dem Nanodraht 235A verbleibt, während des Strukturierungsverfahrens reduziert wird. In einigen Implementierungen entfernt ein Ätzverfahren selektiv Teile der Nanodraht-Abstandsschicht 550, während die Entfernung der Gatestruktur 240 und der Nanodrähte verhindert (oder minimiert) wird. Verschiedene Ätzparameter können so abgestimmt werden, dass die Nanodraht-Abstandsschicht 550 selektiv geätzt wird, wie beispielsweise Ätzzusammensetzung, Ätztemperatur, Ätzlösungskonzentration, Ätzzeit, Ätzdruck, Quellenleistung, HF-Vorspannung, HF-Vorspannungsleistung, Ätzmittelflussrate, andere geeignete Ätzparameter oder Kombinationen davon. In einigen Implementierungen wird die Nanodraht-Abstandsschicht 550 unter Verwendung von Ätzreaktanten geätzt, die eine hohe Ätzselektivität in Bezug auf das Material der Nanodraht-Abstandsschicht 550 aufweisen. Wenn zum Beispiel die Nanodraht-Abstandsschicht 550 Siliziumnitrid umfasst und die Nanodrähte Silizium umfassen, werden die Ätzreaktanten und/oder Ätzlösungen des Ätzverfahrens so ausgewählt, dass eine hohe Ätzselektivität für Siliziumnitrid bei minimalem (bis keinem) Ätzen von Silizium sichergestellt wird. In solchen Implementierungen kann ein Nassätzverfahren unter Verwendung einer Phosphorsäurelösung selektiv die Nanodraht-Abstandsschicht 550 mit minimalem (bis keinem) Ätzen der Nanodrähte ätzen.
  • Die Nanodraht-Abstandsschicht 550 verbleibt somit im Source-Bereich 207 und im Drain-Bereich 208 und bildet einen Nanodraht-Abstandshalter 550A (zwischen dem Substrat 202 und dem Nanodraht 215A), einen Nanodraht-Abstandshalter 550B (zwischen dem Nanodraht 215A und dem Nanodraht 225A) und einen Nanodraht-Abstandshalter 550C (zwischen dem Nanodraht 225A und dem Nanodraht 235A) und einen Nanodraht-Abstandshalter 550D (über dem Nanodraht 235A). Ein verbleibender Abschnitt der Nanodraht-Abstandsschicht 550 bildet auch Gate-Abstandshalter 552 benachbart zu den Dummy-Gatestapel der Gatestruktur 240 (hier der Dummy-Gateelektrode 242). Die Nanodraht-Abstandshalter 550A bis 550C erstrecken sich über den Source-Bereich 207, den Drain-Bereich 208 und einen Teil des Kanalbereichs 206 (in der gezeigten Ausführungsform einen Teil des Kanalbereichs 206 unter den Gate-Abstandshaltern 552), während der Nanodraht-Abstandshalter 550D sich über den Source-Bereich 207 und den Drain-Bereich 208 erstreckt. In der gezeigten Ausführungsform erstrecken sich die Nanodraht-Abstandshalter 550A-550D nicht (oder kaum) über den Nanodraht 215A, den Nanodraht 225A und den Nanodraht 235A entlang der x-Richtung und der y-Richtung hinaus, wodurch sichergestellt wird, dass der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A ausreichend freiliegen, um nachfolgende Source/Drain-Elemente auszubilden. Zum Beispiel haben die Nanodraht-Abstandshalter 550A-550D jeweils eine Breite (W4), die sich entlang der y-Richtung erstreckt und die im Wesentlichen gleich der Breite W der Nanodrähte ist (mit anderen Worten, W4 ≈ W). In einigen Implementierungen ist W4 ≈ W, wenn W4 und W innerhalb von ± 5 % voneinander liegen. In Weiterführung der gezeigten Ausführungsform weisen die Nanodraht-Abstandhalter 550A-550D Seitenwände auf, die im Wesentlichen an den Seitenwänden 252 ausgerichtet sind, und Seitenwände, die im Wesentlichen an den Seitenwänden 254 ausgerichtet sind. In einigen Implementierungen werden die Seitenwände als im Wesentlichen ausgerichtet betrachtet, wenn eine Versetzung (zum Beispiel Δx oder Δy) zwischen den Seitenwänden der Nanodraht-Abstandshalter 550A-550D und den Seitenwänden 252 oder den Seitenwänden 254 weniger als etwa 2 nm beträgt.
  • Die Herstellung der integrierten Schaltungsvorrichtung 500 schreitet dann ähnlich wie die Herstellung der integrierten Schaltungsvorrichtung 200 fort. Zum Beispiel werden in den 43A und 43B epitaktische Source/Drain-Elemente 260 in dem Source-Bereich 207 und dem Drain-Bereich 208 der Heterostruktur 205 der integrierten Schaltungsvorrichtung 500 ausgebildet, wie vorstehend unter Bezugnahme auf die 8A und 8B detailliert beschrieben wurde. Wie in 43A gezeigt, ist ein Teil der Nanodraht-Abstandshalter 550A-550C in dem Kanalbereich 206 nicht von den epitaktischen Source/Drain-Elementen 260 abgedeckt. In den 44A und 44B wird die ILD-Schicht 270 über dem Substrat 202 ausgebildet, wie vorstehend unter Bezugnahme auf die 9A und 9B detailliert beschrieben wurde. In den 45A und 45B wird ein Teil der Gatestruktur 240 entfernt, um den Kanalbereich 206 der integrierten Schaltungsvorrichtung 500 freizulegen, wodurch die Öffnung 275 ausgebildet wird, wie vorstehend unter Bezugnahme auf die 10A und 10B detailliert beschrieben wurde. In den 46A und 46B wird die integrierte Schaltkreisvorrichtung 500 einem Kanal-Nanodraht-Freilegungsverfahren unterzogen, wodurch der Nanodraht 215A, der Nanodraht 225A und der Nanodraht 235A in dem Kanalbereich 206 freigelegt werden, wie vorstehend unter Bezugnahme auf die 11A und 11B detailliert beschrieben wurde. Ähnlich wie die Nanodraht-Abstandshalter 250A-250C fungieren die Nanodraht-Abstandshalter 550A-550C als Ätzstoppschichten während des Kanal-Nanodraht-Freilegungsverfahrens, wodurch eine laterale Ätzsteuerung bereitgestellt wird und ein unbeabsichtigtes Entfernen von Source/Drain-Material verhindert wird, wie etwa der epitaktischen Source/Drain-Elemente 260. Die Nanodraht-Abstandshalter 550A-550C stellen auch einen Isolationsbereich zwischen einem nachfolgend ausgebildeten Metall-Gatestapel und dem Source-Bereich 207 (und/oder dem Drain-Bereich 208) bereit, wodurch die Leistung der integrierten Schaltungsvorrichtung 500 verbessert wird. In den 47A und 47B wird ein Metall-Gatestapel der Gatestruktur 240 (einschließlich zum Beispiel des Gatedielektrikums 280 und der Gateelektrode 282) in der Öffnung 275 ausgebildet, wie vorstehend unter Bezugnahme auf die 12A und 12B detailliert beschrieben wurde. In den 48A und 48B werden verschiedene Kontakte ausgebildet, um den Betrieb der integrierten Schaltungsvorrichtung 500 zu erleichtern (beispielsweise der Kontakt 292, der Kontakt 294 und der Kontakt 296, die sich durch die ILD-Schicht 270 und/oder die ILD-Schicht 290 erstrecken), wie vorstehend unter Bezugnahme auf die 13A und 13B detailliert beschrieben wurde.
  • Die vorliegende Offenbarung sieht viele verschiedene Ausführungsformen vor. Ein beispielhaftes Verfahren zum Herstellen einer Nanodraht-basierten integrierten Schaltungsvorrichtung umfasst das Ausbilden einer Heterostruktur über einem Substrat. Eine Gatestruktur, die einen Teil der Heterostruktur durchquert, wird so ausgebildet, dass die Gatestruktur einen Source-Bereich und einen Drain-Bereich der Heterostruktur trennt, und ein Kanalbereich wird zwischen dem Source-Bereich und dem Drain-Bereich definiert. Ein Source/Drain-Nanodraht-Freilegungsverfahren wird auf die Heterostruktur angewendet, so dass ein Nanodraht in dem Source-Bereich und dem Drain-Bereich freigelegt wird. Nanodraht-Abstandshalter werden dann in dem Source-Bereich und dem Drain-Bereich so ausgebildet, dass der Nanodraht zwischen den Nanodraht-Abstandshaltern angeordnet ist. Während eines Gate-Ersetzungsverfahrens wird ein Kanal-Nanodraht-Freilegungsverfahren auf die Heterostruktur angewendet, so dass der Nanodraht in dem Kanalbereich freigelegt wird. In einigen Implementierungen umfasst das Verfahren ferner vor dem Gate-Ersetzungsverfahren das Ausbilden epitaktischer Source/Drain-Elemente über dem Nanodraht und den Nanodraht-Abstandshaltern in dem Source-Bereich und dem Drain-Bereich. In einigen Ausführungsformen umfasst die Heterostruktur mindestens ein Halbleiterschichtpaar mit einer ersten Halbleiterschicht und einer über der ersten Halbleiterschicht angeordneten zweiten Halbleiterschicht, wobei sich die zweite Halbleiterschicht von der ersten Halbleiterschicht unterscheidet. In solchen Implementierungen umfasst das Durchführen des Source/Drain-Nanodraht-Freilegungsverfahrens das selektive Entfernen der ersten Halbleiterschicht von dem Source-Bereich und dem Drain-Bereich. In solchen Implementierungen umfasst das Durchführen des Kanal-Nanodraht-Freilegungsverfahrens das selektive Entfernen der ersten Halbleiterschicht von dem Kanalbereich, so dass der Nanodraht aus der zweiten Halbleiterschicht gebildet wird.
  • In einigen Implementierungen fungieren die Nanodraht-Abstandshalter als eine Ätzstoppschicht während des Kanal-Nanodraht-Freilegungsverfahrens. In einigen Implementierungen umfasst das Ausbilden der Nanodraht-Abstandshalter das Abscheiden einer Nanodraht-Abstandsschicht über dem Nanodraht und das Strukturieren der Nanodraht-Abstandsschicht so, dass die Nanodraht-Abstandsschicht von den Seitenwänden des Nanodrahts entfernt wird. In einigen Implementierungen wird die Nanodraht-Abstandsschicht vor der Strukturierung behandelt (zum Beispiel durch ein Ionenimplantationsverfahren), so dass die Nanodraht-Abstandsschicht einen behandelten Teil und einen unbehandelten Teil umfasst, wobei der behandelte Teil eine andere funktionelle Eigenschaft aufweist (z. B. eine Ätzcharakteristik und/oder eine elektrische Charakteristik) als der unbehandelte Teil. In einigen Implementierungen umfasst das Strukturieren der Nanodraht-Abstandsschicht das Ausbilden von Gate-Abstandshaltern benachbart zu einem Dummy-Gatestapel der Gatestruktur. In einigen Implementierungen umfasst das Ausbilden der Nanodraht-Abstandsschicht das Ausbilden einer ersten Nanodraht-Abstandsschicht über dem Nanodraht und das Ausbilden einer zweiten Nanodraht-Abstandsschicht über der ersten Nanodraht-Abstandsschicht.
  • Ein weiteres beispielhaftes Verfahren zum Herstellen einer Nanodraht-basierten integrierten Schaltungsvorrichtung umfasst das Ausbilden eines Halbleiterschichtstapels über einem Substrat. Der Halbleiterschichtstapel umfasst mindestens eine erste Halbleiterschicht aus einem ersten Halbleitermaterial und mindestens eine zweite Halbleiterschicht aus einem zweiten Halbleitermaterial, wobei sich das zweite Halbleitermaterial von dem ersten Halbleitermaterial unterscheidet. Eine Gatestruktur wird über einem Kanalbereich des Halbleiterschichtstapels ausgebildet, wobei die Gatestruktur einen Dummy-Gatestapel umfasst. Das Verfahren umfasst ferner das selektive Entfernen der mindestens einen ersten Halbleiterschicht von einem Source-Bereich und einem Drain-Bereich des Halbleiterschichtstapels. Nanodraht-Abstandshalter werden dann in dem Source-Bereich und dem Drain-Bereich so ausgebildet, dass die zumindest eine zweite Halbleiterschicht zwischen den Nanodraht-Abstandshaltern angeordnet ist. Das Verfahren umfasst ferner das Ausbilden von epitaktischen Source/Drain-Elementen über der mindestens einen zweiten Halbleiterschicht und den Nanodraht-Abstandshaltern in dem Source-Bereich und dem Drain-Bereich. Das Verfahren kann ferner das Durchführen eines Gate-Ersetzungsverfahrens umfassen. Zum Beispiel kann der Dummy-Gatestapel entfernt werden, um eine Öffnung in der Gatestruktur auszubilden, die den Halbleiterschichtstapel in dem Kanalbereich freilegt, und ein Metall-Gatestapel kann in der Öffnung der Gatestruktur ausgebildet werden. Während des Gate-Ersetzungsverfahrens umfasst das Verfahren ferner das selektive Entfernen der mindestens einen ersten Halbleiterschicht von dem Kanalbereich des Halbleiterschichtstapels. Die Nanodraht-Abstandshalter können als eine Ätzstoppschicht fungieren, wenn die zumindest eine erste Halbleiterschicht selektiv von dem Kanalbereich entfernt wird.
  • In einigen Implementierungen umfasst das Verfahren ferner das Ausbilden von Gate-Abstandshaltern benachbart zu dem Gatestapel, während die Nanodraht-Abstandshalter ausgebildet werden. In einigen Implementierungen umfasst das Ausbilden der Nanodraht-Abstandshalter das Abscheiden einer Nanodraht-Abstandsschicht über der mindestens einen zweiten Halbleiterschicht und das selektive Ätzen der Nanodraht-Abstandsschicht, um Seitenwände der mindestens einen zweiten Halbleiterschicht freizulegen. In einigen Implementierungen umfasst das Abscheiden der Nanodraht-Abstandsschicht über der mindestens einen zweiten Halbleiterschicht das Abscheiden einer ersten Nanodraht-Abstandsschicht über der mindestens einen zweiten Halbleiterschicht in dem Source-Bereich und dem Drain-Bereich und das Abscheiden einer zweiten Nanodraht-Abstandsschicht über der ersten Nanodraht-Abstandsschicht. Die erste Nanodraht-Abstandsschicht und die zweite Nanodraht-Abstandsschicht füllen einen zu der mindestens einen zweiten Halbleiterschicht benachbarten Raum in dem Source-Bereich und dem Drain-Bereich vollständig aus. In einigen Implementierungen umfasst das selektive Ätzen der Nanodraht-Abstandsschicht das teilweise Entfernen der zweiten Nanodraht-Abstandsschicht, um einen Raum in den Nanodraht-Abstandshaltern auszubilden. In einigen Implementierungen umfasst das Ausbilden der Nanodraht-Abstandshalter ferner das Anwenden eines Ionenimplantationsverfahrens auf die Nanodraht-Abstandsschicht vor dem selektiven Ätzen der Nanodraht-Abstandsschicht.
  • In einigen Implementierungen umfasst das selektive Entfernen der mindestens einen ersten Halbleiterschicht von dem Source-Bereich und dem Drain-Bereich des Halbleiterschichtstapels das Entfernen eines Teils der mindestens einen ersten Halbleiterschicht von dem Kanalbereich. In solchen Implementierungen kann das Ausbilden der Nanodraht-Abstandshalter das Ausbilden der Nanodraht-Abstandshalter in dem Kanalbereich dort umfassen, wo der Teil der mindestens einen ersten Halbleiterschicht entfernt wurde. Zur Weiterführung solcher Implementierungen umfasst das selektive Entfernen der mindestens einen ersten Halbleiterschicht von dem Kanalbereich des Halbleiterschichtstapels das Entfernen eines verbleibenden Teils der mindestens einen ersten Halbleiterschicht.
  • Eine beispielhafte Nanodraht-basierte integrierte Vorrichtung umfasst einen Nanodraht, der über einem Substrat angeordnet ist. Eine Gatestruktur durchquert einen Teil des Nanodrahts so, dass die Gatestruktur einen Source-Bereich und einen Drain-Bereich des Nanodrahts trennt. Ein Kanalbereich des Nanodrahts ist zwischen dem Source-Bereich und dem Drain-Bereich definiert. Die integrierte Schaltungsvorrichtung umfasst ferner einen Nanodraht-Abstandshalter, der benachbart zu dem Nanodraht in dem Source-Bereich und dem Drain-Bereich so angeordnet ist, dass ein Teil der Gatestruktur zwischen dem Nanodraht-Abstandshalter angeordnet ist. Die integrierte Schaltungsvorrichtung umfasst ferner ein epitaktisches Source/Drain-Element, das über dem Nanodraht und dem Nanodraht-Abstandshalter in dem Source-Bereich und dem Drain-Bereich angeordnet ist. In einigen Implementierungen umfasst die Gatestruktur Gate-Abstandshalter und der Nanodraht-Abstandshalter erstreckt sich in den Kanalbereich unter den Gate-Abstandshaltern. In einigen Implementierungen ist das epitaktische Source/Drain-Element nur direkt auf Seitenwänden des Nanodrahts angeordnet.
  • Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um andere Verfahren und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/511775 [0001]

Claims (20)

  1. Verfahren, umfassend: Ausbilden einer Heterostruktur über einem Substrat; Ausbilden einer Gatestruktur, die einen Teil der Heterostruktur durchquert, so, dass die Gatestruktur einen Source-Bereich und einen Drain-Bereich der Heterostruktur trennt, wobei ein Kanalbereich zwischen dem Source-Bereich und dem Drain-Bereich definiert ist; Anwenden eines Source/Drain-Nanodraht-Freilegungsverfahrens auf die Heterostruktur, so dass ein Nanodraht in dem Source-Bereich und dem Drain-Bereich freigelegt wird; Ausbilden von Nanodraht-Abstandshaltern in dem Source-Bereich und dem Drain-Bereich so, dass der Nanodraht zwischen den Nanodraht-Abstandshaltern angeordnet ist; und Anwenden, während eines Gate-Ersetzungsverfahrens, eines Kanal-Nanodraht-Freilegungsverfahrens auf die Heterostruktur, so dass der Nanodraht in dem Kanalbereich freigelegt wird.
  2. Verfahren nach Anspruch 1, das ferner vor dem Gate-Ersetzungsverfahren das Ausbilden epitaktischer Source/Drain-Elemente über dem Nanodraht und den Nanodraht-Abstandshaltern in dem Source-Bereich und dem Drain-Bereich umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei die Nanodraht-Abstandshalter während des Kanal-Nanodraht-Freilegungsverfahrens als eine Ätzstoppschicht fungieren.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ausbilden der Nanodraht-Abstandshalter umfasst: Abscheiden einer Nanodraht-Abstandsschicht über dem Nanodraht so, dass der Nanodraht von der Nanodraht-Abstandsschicht umgeben ist; und Strukturieren der Nanodraht-Abstandsschicht so, dass die Nanodraht-Abstandsschicht von Seitenwänden des Nanodrahts entfernt wird.
  5. Verfahren nach Anspruch 4, wobei das Ausbilden der Nanodraht-Abstandshalter ferner das Behandeln der Nanodraht-Abstandsschicht vor dem Strukturieren umfasst, so dass die Nanodraht-Abstandsschicht einen behandelten Teil und einen unbehandelten Teil umfasst, wobei der behandelte Teil eine andere funktionelle Eigenschaft aufweist als der unbehandelte Teil.
  6. Verfahren nach Anspruch 5, wobei das Behandeln das Anwenden eines Ionenimplantationsverfahrens auf die Nanodraht-Abstandsschicht umfasst.
  7. Verfahren nach einem der Ansprüche 4 bis 6, wobei das Strukturieren der Nanodraht-Abstandsschicht das Ausbilden von Gate-Abstandshaltern benachbart zu einem Dummy-Gatestapel der Gatestruktur umfasst.
  8. Verfahren nach einem der Ansprüche 4 bis 7, wobei das Ausbilden der Nanodraht-Abstandsschicht umfasst: Ausbilden einer ersten Nanodraht-Abstandsschicht über dem Nanodraht; und Ausbilden einer zweiten Nanodraht-Abstandsschicht über der ersten Nanodraht-Abstandsschicht.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei: die Heterostruktur mindestens ein Halbleiterschichtpaar mit einer ersten Halbleiterschicht und einer über der ersten Halbleiterschicht angeordneten zweiten Halbleiterschicht umfasst, wobei sich die zweite Halbleiterschicht von der ersten Halbleiterschicht unterscheidet. das Anwenden des Source/Drain-Nanodraht-Freilegungsverfahrens das selektive Entfernen der ersten Halbleiterschicht von dem Source-Bereich und dem Drain-Bereich umfasst; und das Anwenden des Kanal-Nanodraht-Freilegungsverfahrens das selektive Entfernen der ersten Halbleiterschicht von dem Kanalbereich so umfasst, dass der Nanodraht aus der zweiten Halbleiterschicht gebildet wird.
  10. Verfahren nach Anspruch 9, wobei das Ausbilden der Nanodraht-Abstandshalter das Ausbilden der Nanodraht-Abstandshalter in dem Kanalbereich dort umfasst, wo ein Teil der ersten Halbleiterschicht während des Anwendens des Source/Drain-Nanodraht-Freilegungsverfahrens entfernt wurde.
  11. Verfahren, umfassend: Ausbilden eines Halbleiterschichtstapels über einem Substrat, wobei der Halbleiterschichtstapel mindestens eine erste Halbleiterschicht aus einem ersten Halbleitermaterial und mindestens eine zweite Halbleiterschicht aus einem zweiten Halbleitermaterial umfasst, wobei sich das zweite Halbleitermaterial von dem ersten Halbleitermaterial unterscheidet; Ausbilden einer Gatestruktur über einem Kanalbereich des Halbleiterschichtstapels, wobei die Gatestruktur einen Dummy-Gatestapel umfasst; selektives Entfernen der mindestens einen ersten Halbleiterschicht von einem Source-Bereich und einem Drain-Bereich des Halbleiterschichtstapels; Ausbilden von Nanodraht-Abstandshaltern in dem Source-Bereich und dem Drain-Bereich so, dass die zumindest eine zweite Halbleiterschicht zwischen den Nanodraht-Abstandshaltern angeordnet ist; Ausbilden von epitaktischen Source/Drain-Elementen über der mindestens einen zweiten Halbleiterschicht und den Nanodraht-Abstandshaltern in dem Source-Bereich und dem Drain-Bereich; Entfernen des Dummy-Gatestapels, um eine Öffnung in der Gatestruktur auszubilden, die den Halbleiterschichtstapel in dem Kanalbereich freilegt; selektives Entfernen der mindestens einen ersten Halbleiterschicht von dem Kanalbereich des Halbleiterschichtstapels; und Ausbilden eines Metall-Gatestapels in der Öffnung der Gatestruktur.
  12. Verfahren nach Anspruch 11, wobei: das selektive Entfernen der mindestens einen ersten Halbleiterschicht von dem Source-Bereich und dem Drain-Bereich des Halbleiterschichtstapels das Entfernen eines Teils der mindestens einen ersten Halbleiterschicht von dem Kanalbereich umfasst; das Ausbilden der Nanodraht-Abstandshalter das Ausbilden der Nanodraht-Abstandshalter in dem Kanalbereich dort umfasst, wo der Teil der mindestens einen Halbleiterschicht entfernt wurde; und das selektive Entfernen der mindestens einen ersten Halbleiterschicht von dem Kanalbereich des Halbleiterschichtstapels das Entfernen eines verbleibenden Teils der mindestens einen ersten Halbleiterschicht umfasst.
  13. Verfahren nach Anspruch 11 oder 12, wobei das Ausbilden der Nanodraht-Abstandshalter umfasst: Abscheiden einer Nanodraht-Abstandsschicht über der mindestens einen zweiten Halbleiterschicht; und selektives Ätzen der Nanodraht-Abstandsschicht, um Seitenwände der mindestens einen zweiten Halbleiterschicht freizulegen.
  14. Verfahren nach Anspruch 13, wobei das Abscheiden der Nanodraht-Abstandsschicht über der mindestens einen zweiten Halbleiterschicht umfasst: Abscheiden einer ersten Nanodraht-Abstandsschicht über der mindestens einen zweiten Halbleiterschicht in dem Source-Bereich und dem Drain-Bereich, und Abscheiden einer zweiten Nanodraht-Abstandsschicht über der ersten Nanodraht-Abstandsschicht, wobei die erste Nanodraht-Abstandsschicht und die zweite Nanodraht-Abstandsschicht einen zu der mindestens einen Halbleiterschicht benachbarten Raum in dem Source-Bereich und dem Drain-Bereich vollständig ausfüllen.
  15. Verfahren nach Anspruch 14, wobei das selektive Ätzen der Nanodraht-Abstandsschicht das Entfernen der zweiten Nanodraht-Abstandsschicht über der Gatestruktur umfasst.
  16. Verfahren nach einem der Ansprüche 13 bis 15, wobei das Ausbilden der Nanodraht-Abstandshalter ferner das Anwenden eines Ionenimplantationsverfahrens auf die Nanodraht-Abstandsschicht vor dem selektiven Ätzen der Nanodraht-Abstandsschicht umfasst.
  17. Verfahren nach einem der Ansprüche 11 bis 16, das ferner das Ausbilden von Gate-Abstandshaltern benachbart zu dem Gatestapel umfasst, wenn die Nanodraht-Abstandshalter ausgebildet werden.
  18. Integrierte Schaltungsvorrichtung, umfassend: einen Nanodraht, der über einem Substrat angeordnet ist; eine Gatestruktur, die einen Teil des Nanodrahts so durchquert, dass die Gatestruktur einen Source-Bereich und einen Drain-Bereich des Nanodrahts trennt, wobei ein Kanalbereich des Nanodrahts zwischen dem Source-Bereich und dem Drain-Bereich definiert ist; einen Nanodraht-Abstandshalter, der benachbart zu dem Nanodraht in dem Source-Bereich und dem Drain-Bereich so angeordnet ist, dass ein Teil der Gatestruktur zwischen dem Nanodraht-Abstandshalter angeordnet ist; und ein epitaktisches Source/Drain-Element, das über dem Nanodraht und dem Nanodraht-Abstandshalter in dem Source-Bereich und dem Drain-Bereich angeordnet ist.
  19. Integrierte Schaltungsvorrichtung nach Anspruch 18, wobei: die Gatestruktur Gate-Abstandshalter umfasst; und der Nanodraht-Abstandshalter sich in den Kanalbereich unter den Gate-Abstandshaltern erstreckt.
  20. Integrierte Schaltungsvorrichtung nach Anspruch 18 oder 19, wobei das epitaktische Source/Drain-Element direkt nur auf Seitenwänden des Nanodrahts angeordnet ist.
DE102017119616.1A 2017-05-26 2017-08-28 Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren Active DE102017119616B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762511775P 2017-05-26 2017-05-26
US62/511,775 2017-05-26
US15/679,681 2017-08-17
US15/679,681 US10475902B2 (en) 2017-05-26 2017-08-17 Spacers for nanowire-based integrated circuit device and method of fabricating same

Publications (2)

Publication Number Publication Date
DE102017119616A1 true DE102017119616A1 (de) 2018-11-29
DE102017119616B4 DE102017119616B4 (de) 2023-02-16

Family

ID=64109535

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017119616.1A Active DE102017119616B4 (de) 2017-05-26 2017-08-28 Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren

Country Status (5)

Country Link
US (2) US10475902B2 (de)
KR (1) KR102023251B1 (de)
CN (1) CN108933102B (de)
DE (1) DE102017119616B4 (de)
TW (1) TWI675003B (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020107101B3 (de) * 2019-12-26 2021-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung
DE102021113003A1 (de) 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-fet-halbleiterbauelement und verfahren zur bildung

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10290548B2 (en) * 2017-08-31 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10374059B2 (en) * 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
US10971584B2 (en) * 2018-03-07 2021-04-06 International Business Machines Corporation Low contact resistance nanowire FETs
US11069793B2 (en) * 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US10734286B1 (en) * 2019-02-07 2020-08-04 International Business Machines Corporation Multiple dielectrics for gate-all-around transistors
US10916630B2 (en) 2019-04-29 2021-02-09 International Business Machines Corporation Nanosheet devices with improved electrostatic integrity
US11183574B2 (en) * 2019-05-24 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Work function layers for transistor gate electrodes
CN110246899B (zh) * 2019-06-05 2021-05-25 华东师范大学 一种具有双层侧墙结构的纳米片环栅场效应晶体管
KR102183131B1 (ko) * 2019-06-24 2020-11-26 포항공과대학교 산학협력단 에피텍셜 구조를 갖는 소스/드레인 영역이 축소된 전계효과 트랜지스터 및 이의 제조방법
KR20210000780A (ko) 2019-06-25 2021-01-06 삼성전자주식회사 반도체 장치
US11081568B2 (en) * 2019-07-22 2021-08-03 International Business Machines Corporation Protective bilayer inner spacer for nanosheet devices
CN112309860B (zh) * 2019-07-30 2023-07-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11087831B2 (en) 2019-08-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around memory devices
US11894368B2 (en) 2019-12-26 2024-02-06 Intel Corporation Gate-all-around integrated circuit structures fabricated using alternate etch selective material
US11430867B2 (en) 2020-01-24 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Channel mobility improvement
US11264508B2 (en) 2020-01-24 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage prevention structure and method
US11404417B2 (en) 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11152477B2 (en) 2020-02-26 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with different threshold voltages
US11855225B2 (en) 2020-02-27 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial bridge feature and methods of forming the same
US11424338B2 (en) 2020-03-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal source/drain features
US11195937B2 (en) 2020-03-31 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate transistor structure
DE102020119940A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrfachgatetransistorstruktur
US11532711B2 (en) 2020-04-16 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. PMOSFET source drain
US11417766B2 (en) 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US11289584B2 (en) 2020-04-24 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer features for multi-gate transistors
DE102020122139B4 (de) * 2020-04-29 2022-03-03 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
US11670723B2 (en) 2020-05-12 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon channel tempering
US11532627B2 (en) 2020-05-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11948987B2 (en) 2020-05-28 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned backside source contact structure
DE102021108179A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Multigate-bauelemente mit mehrschichtigen inneren abstandshaltern und verfahren zu deren fertigung
US11532626B2 (en) 2020-05-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reduction of gate-drain capacitance
US11232988B2 (en) 2020-05-29 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Wavy profile mitigation
US11508736B2 (en) 2020-06-08 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming different types of devices
US11295988B2 (en) * 2020-06-11 2022-04-05 International Business Machines Corporation Semiconductor FET device with bottom isolation and high-κ first
US11637109B2 (en) 2020-06-29 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature separation structure
US11245036B1 (en) 2020-07-21 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Latch-up prevention
US11735669B2 (en) 2020-07-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertically-oriented complementary transistor
US11984488B2 (en) 2020-07-31 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multigate device with air gap spacer and backside rail contact and method of fabricating thereof
US11329168B2 (en) 2020-07-31 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fish bone structure and methods of forming the same
US11450673B2 (en) 2020-07-31 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Connection between source/drain and gate
US11450662B2 (en) 2020-08-10 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation structure
DE102020131140A1 (de) 2020-08-10 2022-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gateisolierungsstruktur
US11437373B2 (en) 2020-08-13 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device structure
US20220069135A1 (en) * 2020-08-31 2022-03-03 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial Features
US11355502B2 (en) 2020-09-21 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate recess and methods of forming the same
US11437245B2 (en) 2020-09-30 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium hump reduction
US11289591B1 (en) * 2020-09-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Bipolar junction device
US11404576B2 (en) 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric fin structure
US11600625B2 (en) 2020-10-14 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having an offset source/drain feature and method of fabricating thereof
US11532744B2 (en) 2020-10-26 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gate cut structure and method of forming the same
US11489078B2 (en) 2020-10-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lightly-doped channel extensions
US11462612B2 (en) 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
US11444178B2 (en) 2020-11-13 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Inner spacer liner
US11362217B1 (en) 2020-11-23 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming transistors of different configurations
US11699760B2 (en) 2021-01-04 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for stacked multi-gate device
US11527534B2 (en) 2021-01-06 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Gap-insulated semiconductor device
US11735647B2 (en) 2021-01-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device
US11728394B2 (en) 2021-01-27 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming backside power rails
US11710737B2 (en) 2021-02-05 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid semiconductor device
US11901428B2 (en) 2021-02-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with backside gate isolation structure and method for forming the same
US11605720B2 (en) 2021-02-26 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate cap
US11444170B1 (en) 2021-03-12 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside self-aligned power rail and methods of forming the same
US11854896B2 (en) 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with S/D bottom isolation and methods of forming the same
US11916105B2 (en) 2021-03-26 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with corner isolation protection and methods of forming the same
US11615987B2 (en) 2021-03-26 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Backside via with a low-k spacer
US11605638B2 (en) 2021-04-21 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with multiple threshold voltages
US11791402B2 (en) 2021-05-14 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having strained channels
US11973128B2 (en) 2021-05-27 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming multi-gate transistors
US11532733B1 (en) 2021-06-25 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric isolation structure for multi-gate transistors
US11855081B2 (en) 2021-07-16 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epitaxial features
US20230071998A1 (en) * 2021-09-08 2023-03-09 Globalfoundries U.S. Inc. Bipolar junction transistors with a nanosheet intrinsic base

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140203327A1 (en) 2013-01-24 2014-07-24 Ravi Pillarisetty Deep gate-all-around semiconductor device having germanium or group iii-v active layer
US20150348848A1 (en) 2014-05-28 2015-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned nanowire formation using double patterning

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7727830B2 (en) * 2007-12-31 2010-06-01 Intel Corporation Fabrication of germanium nanowire transistors
CN102005483B (zh) * 2010-10-25 2012-08-22 友达光电股份有限公司 薄膜晶体管
FR2989515B1 (fr) * 2012-04-16 2015-01-16 Commissariat Energie Atomique Procede ameliore de realisation d'une structure de transistor a nano-fils superposes et a grille enrobante
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
WO2014018201A1 (en) 2012-07-27 2014-01-30 Intel Corporation Nanowire transistor devices and forming techniques
US8748940B1 (en) 2012-12-17 2014-06-10 Intel Corporation Semiconductor devices with germanium-rich active layers and doped transition layers
CN105229793B (zh) * 2013-03-15 2019-04-30 英特尔公司 利用硬掩模层的纳米线晶体管制造
SG11201505765SA (en) * 2013-03-15 2015-08-28 Intel Corp Nanowire transistor with underlayer etch stops
US20150069600A1 (en) * 2013-09-12 2015-03-12 Texas Instruments Incorporated Embedded Silver Nanomaterials into Die Backside to Enhance Package Performance and Reliability
US9276064B1 (en) 2014-11-07 2016-03-01 Globalfoundries Inc. Fabricating stacked nanowire, field-effect transistors
US9525036B2 (en) 2015-03-19 2016-12-20 Samsung Electronics Co., Ltd. Semiconductor device having gate electrode with spacers on fin structure and silicide layer filling the recess
US9780166B2 (en) * 2015-03-30 2017-10-03 International Business Machines Corporation Forming multi-stack nanowires using a common release material
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9601379B1 (en) * 2015-12-23 2017-03-21 Globalfoundries Inc. Methods of forming metal source/drain contact structures for semiconductor devices with gate all around channel structures
US10236362B2 (en) * 2016-06-30 2019-03-19 International Business Machines Corporation Nanowire FET including nanowire channel spacers
US9653289B1 (en) * 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140203327A1 (en) 2013-01-24 2014-07-24 Ravi Pillarisetty Deep gate-all-around semiconductor device having germanium or group iii-v active layer
US20150348848A1 (en) 2014-05-28 2015-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned nanowire formation using double patterning
US9633907B2 (en) 2014-05-28 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned nanowire formation using double patterning

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020107101B3 (de) * 2019-12-26 2021-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung
US11664420B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11901411B2 (en) 2019-12-26 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102021113003A1 (de) 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-fet-halbleiterbauelement und verfahren zur bildung
DE102021113003B4 (de) 2021-02-12 2023-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-fet-halbleiterbauelement und verfahren zur bildung

Also Published As

Publication number Publication date
US20180342596A1 (en) 2018-11-29
US10475902B2 (en) 2019-11-12
US10825915B2 (en) 2020-11-03
CN108933102B (zh) 2020-10-16
KR102023251B1 (ko) 2019-09-19
TWI675003B (zh) 2019-10-21
CN108933102A (zh) 2018-12-04
DE102017119616B4 (de) 2023-02-16
US20200075743A1 (en) 2020-03-05
KR20180129604A (ko) 2018-12-05
TW201900550A (zh) 2019-01-01

Similar Documents

Publication Publication Date Title
DE102017119616B4 (de) Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren
DE102018115909B4 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102019116861B4 (de) Verfahren zum Strukturieren von Finnen und integrierte Schaltkreis-Vorrichtung mit benachbarten FinFET-Vorrichtungen
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE102018113843B4 (de) Finnen- und Gateabmessungen zum Optimieren einer Gateausbildung
DE102017127708A1 (de) Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102018100114B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102021100877B4 (de) Mittellinien-Verbindungsstruktur mit Luftspalt und Verfahren zu deren Herstellung
DE102020104621A1 (de) Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren
DE102018122862A1 (de) Verfahren zum Verbessern von Topografie dielektrischer Zwischenschichten
DE102019130454A1 (de) Gate-strukturen mit neutralen zonen zur minimierung von metallischen gate-grenzeffekten und verfahren zu deren herstellung
DE102019118061A1 (de) Selektive doppelsilizidherstellung unter verwendung eines maskenlosen herstellungsprozessablaufs
DE102020129544A1 (de) Gatestrukturen in transistoren und verfahren zu deren ausbildung
DE102019113425B4 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102019128758A1 (de) Verfahren zur herstellung von halbleitervorrichtungen undhalbleitervorrichtungen
DE102019121282A1 (de) Finnenfeldeffekttransistorvorrichtung und Verfahren zum Bilden derselben
DE102020131611A1 (de) Halbleitervorrichtung mit luftspalten und verfahren zu deren herstellung
DE102023101602A1 (de) Metallgatestruktur und verfahren zu deren herstellung
DE102017126435A1 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102021103461A1 (de) Gate-isolation für multigate-vorrichtung
DE102021104811A1 (de) Ätzprofilsteuerung für eine gate-kontaktöffnung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final