CN103311185A - 制造混合高k/金属栅堆叠件的方法 - Google Patents

制造混合高k/金属栅堆叠件的方法 Download PDF

Info

Publication number
CN103311185A
CN103311185A CN2013100055245A CN201310005524A CN103311185A CN 103311185 A CN103311185 A CN 103311185A CN 2013100055245 A CN2013100055245 A CN 2013100055245A CN 201310005524 A CN201310005524 A CN 201310005524A CN 103311185 A CN103311185 A CN 103311185A
Authority
CN
China
Prior art keywords
zone
pfet
nfet
semiconductor substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013100055245A
Other languages
English (en)
Other versions
CN103311185B (zh
Inventor
黄仁安
陈柏年
钟升镇
杨宝如
庄学理
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103311185A publication Critical patent/CN103311185A/zh
Application granted granted Critical
Publication of CN103311185B publication Critical patent/CN103311185B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

公开了制造具有混合HK/金属栅极堆叠件的半导体器件的方法。该方法包括提供半导体衬底,该半导体衬底具有位于PFET和NFET区域之间的多个隔离部件,和在半导体衬底上形成栅极堆叠件。在PFET区域中,栅极堆叠件形成为HK/金属栅极。在NFET区域中,栅极堆叠件形成为多晶硅栅极。通过利用另一个多晶硅栅极将高电阻器形成在半导体衬底上。

Description

制造混合高k/金属栅堆叠件的方法
技术领域
本发明涉及制造半导体器件的方法,具体而言,涉及制造混合高k/金属栅极堆叠件的方法。
背景技术
半导体集成电路(IC)工业经历了快速发展。由于IC材料和设计的技术改进,产生了若干IC代,每一代与上一代相比都拥有更小和更复杂的电路。但是,这些进步使加工与生产IC变得更复杂。为了实现这些进步,需要IC加工和生产方面的相应发展。当诸如金属氧化物半导体场效应晶体管(MOSFET)的半导体部件通过各种技术节点按比例缩小,使用了若干策略用于提高部件性能,诸如使用高K(HK)介电材料与金属栅级(MG)电极结构、应变工程、3-D栅极晶体管和超薄体(UTB)。这就需要开发工艺整合来提供高级HK/MG和传统多晶硅栅极工艺之间的灵活性和易适性。
发明内容
为了解决现有技术中存在的问题,根据本发明的一个方面,提供了一种制造半导体器件的方法,所述方法包括:提供带有多个绝缘部件和第一高k(HK)介电材料的半导体衬底;在所述半导体衬底上形成第一多晶硅栅极堆叠件、第二多晶硅栅极堆叠件和第三多晶硅栅极堆叠件;在所述多晶硅栅极堆叠件上形成侧壁间隔件;在所述半导体衬底上形成源极和漏极;在所述半导体衬底上形成层间介电(ILD)层;对ILD层实施化学机械平坦化(CMP);在所述第一多晶硅栅极堆叠件上形成经图案化的硬掩模以限定所述半导体衬底上的高电阻器;在所述半导体衬底上图案化和限定带有所述第二多晶硅栅极堆叠件的n-型场效应晶体管(NFET)区域和带有所述第三多晶硅栅极堆叠件的p-型场效应晶体管(PFET)区域;实施第一栅极蚀刻以部分地去除位于PFET区域中的所述第三多晶硅栅极堆叠件;所述第一栅极蚀刻完成后,暴露出NFET区域、PFET区域和高电阻器;实施第二栅极蚀刻以部分地去除位于NFET区域中的所述第二多晶硅栅极堆叠件以形成NFET栅极沟槽;以及去除位于PFET区域中的多晶硅以形成PFET栅极沟槽;去除位于所述第一多晶硅栅极堆叠件上的所述经图案化的硬掩模;用第二HK介电材料填充所述PFET栅极沟槽和所述NFET栅极沟槽;在所述PFET栅极沟槽和所述NFET栅极沟槽上的所述第二HK介电材料上沉积p-型功函数(p-WF)金属;在p-WF金属层上沉积填充金属层;实施金属CMP以去除多余的金属层和多余的第二HK介电材料以在所述NFET区域和所述PFET区域中形成HK/金属栅极堆叠件。
在上述方法中,其中所述高电阻器的硬掩模在所述第一栅极蚀刻期间提供高蚀刻电阻。
在上述方法中,其中在沉积所述ILD层之前,通过湿法蚀刻技术将所述侧壁间隔件的厚度减薄。
在上述方法中,其中在所述ILD层和所述半导体衬底之间设置接触蚀刻停止层(CESL)。
在上述方法中,其中在所述第一栅极蚀刻中,NFET中的多晶硅保持完好而PFET中的多晶硅被部分地去除。
在上述方法中,其中在所述第二栅极蚀刻中,PFET中的多晶硅被去除而NFET中的多晶硅被部分去除。
在上述方法中,其中所述第二HK介电层同时在PFET和NFET的栅极沟槽上沉积。
在上述方法中,其中所述p-WF金属同时在PFET和NFET的栅极沟槽上沉积。
在上述方法中,其中所述NFET区域中的所述第二多晶硅栅极堆叠件通过先栅极方法形成。
在上述方法中,其中所述PFET区域中的所述HK/金属栅极堆叠件通过后栅极方法形成。
在上述方法中,其中所述高电阻器的硬掩模通过所述第二栅极蚀刻去除。
根据本发明的另一方面,还提供了一种具有混合HK/金属栅极的半导体器件,包括:包括多个源极和漏极部件的半导体衬底,和多个隔离部件,以将所述半导体衬底分隔成NFET区域和PFET区域;形成在所述半导体衬底上方并设置在所述源极和漏极部件之间的多个栅极堆叠件,其中所述PFET区域中的栅极堆叠件包括:形成在所述半导体衬底上方的界面层;形成在所述界面层上方的高k(HK)介电层;形成在HK介电层顶部上的p-型功函数(p-WF)金属层;形成在p-WF金属层上方的填充金属层;而位于所述NFET区域中的栅极堆叠件包括:形成在所述半导体衬底上方的界面层;形成在所述界面层上方的HK介电层;形成在所述HK介电层上方的保护层;形成在所述保护层上方的多晶硅层;形成在所述多晶硅层的顶部上的p-WF金属层;形成在p-WF层上的金属层。
在上述器件中,还包括形成在所述栅极堆叠件的侧壁上的间隔件,其中所述间隔件包括密封间隔件和主间隔件。
在上述器件中,其中所述密封间隔件包含氮化硅。
在上述器件中,其中所述主间隔件包括双层结构。
在上述器件中,还包括:形成在所述半导体衬底上的高电阻器,包括:形成在所述半导体衬底上的界面层;形成在所述界面层上方的HK介电层;形成在所述HK介电层上方的保护层;形成在所述保护层上方的多晶硅层。
根据本发明的又一方面,还提供了一种制造半导体器件的方法,所述方法包括:提供具有多个浅沟槽隔离(STI)部件的半导体衬底,所述多个浅沟槽隔离部件用于分隔NFET区域和PFET区域;在所述半导体衬底上形成多个多晶硅栅极堆叠件;在每个所述多晶硅栅极堆叠件上形成侧壁间隔件;在所述半导体衬底上形成源极和漏极;在所述半导体衬底上形成层间介电(ILD)层;在所述多晶硅栅极堆叠件之一上形成经图案化的硬掩模,从而在所述半导体衬底上限定出高电阻器;暴露所述PFET区域而不暴露NFET区域,并且实施第一栅极蚀刻以从所述PFET区域中的多晶硅栅极堆叠件部分地去除多晶硅;完成所述第一栅极蚀刻后,暴露所述NFET区域和所述PFET区域,并且实施第二栅极蚀刻以从所述NFET区域中的多晶硅栅极堆叠件部分地去除多晶硅从而形成NFET栅极沟槽,并从所述PFET区域中的多晶硅栅极堆叠件部分地去除多晶硅从而形成PFET栅极沟槽;用高k(HK)介电材料填充所述PFET栅极沟槽和所述NFET栅极沟槽;在所述PFET栅极沟槽和所述NFET栅极沟槽上沉积填充金属层从而形成HK/金属栅极堆叠件。
在上述方法中,其中在同一蚀刻步骤中去除PFET中的多晶硅层且部分去除NFET中的多晶硅层。
在上述方法中,其中通过后栅极方法形成PFET中的所述HK/金属栅极堆叠件。
在上述方法中,其中通过先栅极方法形成NFET中的所述HK/金属栅极堆叠件。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的方面。应该强调的是,根据工业中的标准实践,各种元件没有被按比例绘制。实际上,为了清楚地讨论,各种元件的尺寸可以被任意增加或减少。
图1是根据本发明的各个方面制造具有混合高k/金属栅堆叠结构的半导体器件的示例方法的流程图。
图2-图9是根据图1的方法构建的处于各个制造阶段的具有混合HK/金属栅极堆叠结构的示例半导体器件的横截面图。
具体实施方式
据了解为了实施各个实施例的不同元件,以下公开提供了许多不同的实施例或实例。以下描述元件和布置的特定实例以简化本公开。当然这些仅仅是实例并不打算限定。此外,本公开可能在各个实例中重复参考数字和/或字母。这种重复只是为了简明的目的且其本身并不指定各个实施例和/或所讨论的结构之间的关系。再者,以下描述中第一部件形成在第二部件上方或形成在第二部件上可包括其中第一部件和第二部件以直接接触形成的实施例,并且也可包括其中额外的元件形成在第一部件和第二部件之间的实施例,使得第一部件和第二部件不直接接触。
图1是根据本发明的各个方面制造具有混合高k/金属栅堆叠结构的半导体器件的方法100的一个实施例的流程图。图2-图9是处于各个制造阶段的具有栅极堆叠件的半导体器件200的一个实施例的截面图。参考图1至图9统一描述半导体器件200及其制造方法100。
方法100开始于提供半导体衬底210的步骤102。衬底210包括硅。可选地,衬底可以包括锗、硅锗、砷化镓或其他适合的半导体材料。可选地,半导体衬底210也可以包括外延层。例如,衬底210可以具有覆盖块状半导体的外延层。另外,衬底210可以为了性能增强而应变。例如,外延层可以包括与块状半导体的半导体材料不同的半导体材料,诸如通过包括选择外延生长(SEG)的工艺形成的覆盖体硅的硅锗层或覆盖体硅锗的硅层。另外,衬底210可以包括诸如隐埋介电层的绝缘体上半导体(SOI)结构。亦或,衬底可能包括诸如埋氧(BOX)层的隐埋介电层,诸如通过被称为注氧隔离(SIMOX)技术、晶圆接合、SEG的方法,或者其他适当的方法形成的层。事实上,各个实施例可以包括任一各种衬底结构和材料。
半导体衬底210也包括各种掺杂区域,诸如通过合适的技术(例如离子注入)形成的n-阱和p-阱。半导体衬底210也包括形成在衬底中用于隔离各个器件的各种隔离部件(诸如浅沟道隔离(STI)212)。STI212的形成可以包括在衬底中蚀刻沟槽和利用诸如氧化硅、氮化硅或氮氧化硅的绝缘材料填充沟槽。填充的沟槽可以具有多层结构,诸如其中用氮化硅填充沟槽的热氧化衬垫层。在一个实施例中,STI212结构可以利用诸如以下的工艺序列形成:生长焊盘氧化物,形成低压化学汽相沉积(LPCVD)氮化层,用光刻胶和掩模图案化STI开口,在衬底中蚀刻沟槽,可选地生长热氧化沟槽衬垫以改善沟槽界面,用CVD氧化物填充沟槽,以及使用化学机械平坦化(CMP)去除多余的介电金属层。
方法100通过在半导体衬底210上形成多个栅极堆叠件进行到步骤104。在一个实施例中,界面层213在硅衬底210上形成。界面层213可以包含通过合适的技术形成的氧化硅,诸如原子层沉积(ALD)、热氧化或者UV-臭氧氧化。
第一高k(HK)介电层214沉积在界面层213上方。在本实施例中,第一HK介电层214包含HfO2。或者,第一HK介电层214可以包含HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、二氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的HK介电材料、或者这些的组合。第一HK介电层214通过诸如ALD的合适工艺形成。形成高k介电材料层的其他方法包括金属有机化学汽相沉积(MOCVD)、物理汽相沉积(PVD),UV-臭氧氧化或者分子束外延(MBE)。
保护层215可以形成在HK介电层214上。保护层可以包含难熔金属和通过本领域公知的合适工艺形成的它们的氮化物(例如TiN、TaN、W2N、TiSiN、TaSiN)。多晶硅层220在保护层215上形成。多晶硅层220通过合适的技术(诸如以传统方式实施的CVD)形成。
经图案化的硬掩模222形成在多晶硅层220上从而限定各个栅极堆叠区域和使待移除的栅极堆叠材料层暴露的各个开口。经图案化的硬掩模222包含氮化硅和/或氧化硅、或可选地包含光刻胶。经图案化的硬掩模222还可以包括双层。在本实施例中,硬掩模222包括通过CVD工艺沉积的氧化硅和氮化硅双层。采用形成经图案化的光刻胶层的光刻工艺和在经图案化的光刻胶层的开口内蚀刻氧化硅和氮化硅的蚀刻工艺进一步图案化氮化硅层和氧化硅层。
再参照图2,通过将经图案化的硬掩模222用作蚀刻掩模,通过蚀刻多晶硅层220、保护层215、第一HK介电层214和界面层213的蚀刻工艺来形成栅极堆叠件230。蚀刻工艺包括干法蚀刻、湿法蚀刻或干法蚀刻和湿法蚀刻的组合。干法蚀刻工艺可以使用含氟气体(例如,CF4、SF6、CH2F2、CHF3、和/或C2F6)、含氯气体(例如Cl2、CHCl3、CCl4、和/或BCl3)、含溴气体(HBr和/或CHBr3)、含碘气体、其他合适的气体和/或等离子体、和/或这些的组合。蚀刻工艺可以包括多步骤蚀刻以获得蚀刻选择性、灵活性以及期望的蚀刻轮廓。在本实施例中,以传统的方式使用多步骤干法蚀刻。
如图3所示,方法100通过在栅极堆叠件230的侧壁上形成第一栅极间隔件302(称为密封间隔件)和第二栅极间隔件306(称为主间隔件)进行到步骤106。栅极间隔件302与306包含一种或多种介电材料,诸如氧化硅、氮化硅、氮氧化硅或这些的组合。密封间隔件302在栅极堆叠件230的侧壁上形成,主间隔件306形成在密封间隔件302上。在一个实施例中,间隔件包括附加层。例如,首先介电层304形成在密封间隔件302上,之后主间隔件306形成在介电层304上。因此,主间隔件可以被认为是双层间隔件,具有间隔件304和306。在本实施例中,密封间隔件302包含氮化硅,介电层304包含氧化硅,主间隔件306包含氮化硅。间隔件302、304与306通过以传统方式实施的沉积、光刻和蚀刻工艺形成。
再参照图3,通过诸如一个或多个离子注入的合适技术形成源极和漏极区域310。在一个实施例中,硅化物部件(未示出)可以进一步形成在源极和漏极区域310上以降低接触电阻。硅化物部件可以通过诸如自对准硅化物工艺(salicide)的技术形成,这种工艺包括金属沉积(例如镍沉积)在硅衬底上,热退火使金属与硅反应形成硅化物(硅化镍)并通过蚀刻来去除未反应的金属。源极和漏极区域310可以进一步包括基本上与密封间隔件302对准的轻掺杂(LDD)区域,以及基本上与主间隔件306对准的重掺杂区域。
在形成源极和漏极(S/D)区域310后,实施一个或多个退火工艺激活S/D区域。退火工艺包含快速热退火(RTA)、激光退火工艺、或其他合适的退火工艺。作为实例,虽然高温热退火步骤可以使用900℃-1100℃范围内的任意温度,但是其他实施例可以使用不同范围内的温度。作为另一个例子,高温退火包括“尖峰”退火工艺,该工艺的持续时间很短。
在另一个实施例中,源极和漏极区域310可以包括起适当应变作用的外延生长的半导体材料,从而提高沟道中的载流子迁移率。在一个实施例中,硅锗在p-型FET(PFET)的源极和漏极区域中外延生长。在另一个实施例中,碳化硅在n-型FET(NFET)的源极和漏极区域中外延生长。形成应变结构的方法包括通过蚀刻在衬底中形成凹槽和通过外延生长在凹槽中形成晶体半导体材料。
方法100通过在衬底上和在栅极堆叠件230之间形成层间介电(ILD)层330进行到108步骤。ILD层330通过诸如CVD的合适技术沉积。ILD层330包含介电材料,例如氧化硅、氮化硅、低k介电材料或它们的组合。在一个实施例中,在沉积ILD层330之前使用其他工艺。其他工艺例如包括首先通过湿法蚀刻部分地去除(减薄)主间隔件306,然后通过干法蚀刻去除硬掩模222并通过CVD工艺在衬底和栅极堆叠件230(带有减薄的主间隔件)上沉积接触蚀刻停止层(CESL)320。如图4所示,之后应用化学机械抛光(CMP)工艺使ILD层330的表面平坦。
如图5所示,方法100通过使高电阻器410图案化进行到步骤110。多个栅极堆叠件230可以被用作高电阻器410(称为第一栅极堆叠件230)。形成经图案化的硬掩模415用于在以后的蚀刻工艺中保护第一栅极堆叠件230(高电阻器410)。在本实施例中,硬掩模415包含氮化钛。经图案化的硬掩模415通过本领域公知的适当的沉积、光刻和蚀刻工艺形成。
如图6所示,方法100通过在PFET区域420(称为第二栅极堆叠件230)中的栅极堆叠件230中部分地去除多晶硅层220进行到步骤112。光刻胶被图案化用于覆盖预定的NFET区域430(称为第三栅极堆叠件230)以限定PFET区域和NFET区域的边界。光刻胶也覆盖第一栅极堆叠件230(高电阻器410)。实施第一栅极蚀刻以部分地去除PFET区域420中的第二栅极堆叠件230中的多晶硅层220,而NFET区域430中的第三栅极堆叠件230保持不变,第一栅极堆叠件230(高电阻器410)也保持不变。第一栅极蚀刻包括干法蚀刻、湿法蚀刻或者干法蚀刻和湿法蚀刻的组合。
如图7所示,方法100通过部分地去除NFET区域430中的第三栅极堆叠件230中的多晶硅层220和去除PFET区域420中的第二栅极堆叠件230中的多晶硅层220的剩余部分进行到步骤114。首先,经图案化的光刻胶(用于第一栅极蚀刻)被去除并且NFET区域430现在暴露出来。应用第二栅极蚀刻并有控制地去除PFET区域420的第二栅极堆叠件230中的剩余多晶硅层220、保护层215和第一HK介电层214并形成PFET栅极沟槽440。同时第二栅极蚀刻实现了部分去除NFET区域430中的第三栅极堆叠件230中的多晶硅层220并形成NFET栅极沟槽450。第二栅极蚀刻也去除了第一栅极堆叠件230(高电阻器410)上的经图案化的硬掩模415。可以使用多步骤蚀刻以获得蚀刻的选择性和灵活性。
如图8所示,方法100通过实施替换栅极方法在PFET区域420中形成HK/金属栅极进行到步骤116。在替换栅极方法中,形成伪栅极结构并被用于自对准源极和漏极注入和退火。在完成高温工艺(例如源极和漏极退火)之后,伪栅极被替换为HK/金属栅极。第二HK介电层514沉积在PFET栅极沟槽440和NFET栅极沟槽450中。第二HK介电层514的形成与以上关于第一HK介电层214所讨论的内容在很多方面相似。
再参照图8,p-型功函数(p-WF)金属层516沉积在第二HK介电层514上。p-WF金属层516包括单个的金属层或诸如具有填充金属层、衬垫层、润湿层和粘合层的多金属层结构。p-WF金属层516包含TiN、TaN、Ru、Mo、WN以及它们的组合。p-WF金属层516可以由ALD、PVD、CVD或其他合适的工艺形成。填充金属层520沉积在p-WF金属层516上。填充金属层520可以包括铝、钨、铜或其他合适的金属。通过诸如PVD或电镀的技术沉积填充金属层520。
方法100通过实施金属CMP去除多余的金属层520和516以及第二HK介电层514进行到步骤118。在半导体衬底210中,HK/金属栅极550形成在PFET区域420中(通过后栅极方法),多晶硅栅极560以多金属层(p-WF金属层516)作为NFET区域430中的栅极堆叠件的顶部的方式形成(通过先栅极方法),多晶硅高电阻器410也形成。如图9所示,CMP工艺为栅极堆叠件550、560、高电阻器410和ILD330提供基本平坦的表面。
方法100可以进一步包括形成多层互连。多层互连(未示出)可以包括诸如传统的通孔或接触件的垂直互连,以及诸如金属线的水平互连。各种互连部件可以使用不同的导电材料,包括铜、钨和硅化物。在一个实例中,镶嵌工艺被用于形成与铜相关的多层互连结构。在另一个实施例中,钨被用于形成接触孔中的钨塞。
尽管已经详细描述了本发明的实施例,本领域普通技术人员应该理解,在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。在一个实施例中,栅电极可以可选地或另外地包括其他合适的金属。
基于以上所述,可以看出本发明提供了一种同时在NFET中形成先栅极多晶栅极和在PFET中形成后栅极HK/金属栅极,以及形成可兼容的高电阻器的混合栅极集成方案。此外,NFET中的先栅极多晶硅栅极配有位于多晶硅栅极顶部上的p-WF金属和填充金属,其降低了栅极电阻(与在多晶硅化物栅极中观察到的类似)。该混合栅极集成方案为HK/金属栅极与传统多晶硅栅极的直接融合提供了技术解决办法并为工艺集成提供了显著的灵活性。
本发明提供了一种方法从而实现了在同一蚀刻步骤中去除多硅晶层(例如PFET中)和部分去除多晶硅层(例如NFET中)。同时制造后栅极HK/金属栅极(在PFET中)和具有减少的栅极电组的先栅极多晶栅极(在NFET中)。该方法通过保护多晶硅栅极堆叠件免受栅极蚀刻而提供了一种非常简便的制造高电阻器的方法。该方法也采用单个金属CMP来改进工艺窗口、减少缺陷和改进工艺可控性。该方法便于适用于现有的生产工艺流程。
上面列举了若干实施例的特征。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的处理和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种制造半导体器件的方法,所述方法包括:
提供带有多个绝缘部件和第一高k(HK)介电材料的半导体衬底;
在所述半导体衬底上形成第一多晶硅栅极堆叠件、第二多晶硅栅极堆叠件和第三多晶硅栅极堆叠件;
在所述多晶硅栅极堆叠件上形成侧壁间隔件;
在所述半导体衬底上形成源极和漏极;
在所述半导体衬底上形成层间介电(ILD)层;
对ILD层实施化学机械平坦化(CMP);
在所述第一多晶硅栅极堆叠件上形成经图案化的硬掩模以限定所述半导体衬底上的高电阻器;
在所述半导体衬底上图案化和限定带有所述第二多晶硅栅极堆叠件的n-型场效应晶体管(NFET)区域和带有所述第三多晶硅栅极堆叠件的p-型场效应晶体管(PFET)区域;
实施第一栅极蚀刻以部分地去除位于PFET区域中的所述第三多晶硅栅极堆叠件;
所述第一栅极蚀刻完成后,暴露出NFET区域、PFET区域和高电阻器;
实施第二栅极蚀刻以部分地去除位于NFET区域中的所述第二多晶硅栅极堆叠件以形成NFET栅极沟槽;以及
去除位于PFET区域中的多晶硅以形成PFET栅极沟槽;
去除位于所述第一多晶硅栅极堆叠件上的所述经图案化的硬掩模;
用第二HK介电材料填充所述PFET栅极沟槽和所述NFET栅极沟槽;
在所述PFET栅极沟槽和所述NFET栅极沟槽上的所述第二HK介电材料上沉积p-型功函数(p-WF)金属;
在p-WF金属层上沉积填充金属层;
实施金属CMP以去除多余的金属层和多余的第二HK介电材料以在所述NFET区域和所述PFET区域中形成HK/金属栅极堆叠件。
2.根据权利要求1所述的方法,其中所述高电阻器的硬掩模在所述第一栅极蚀刻期间提供高蚀刻电阻。
3.根据权利要求1所述的方法,其中在沉积所述ILD层之前,通过湿法蚀刻技术将所述侧壁间隔件的厚度减薄。
4.根据权利要求1所述的方法,其中在所述ILD层和所述半导体衬底之间设置接触蚀刻停止层(CESL)。
5.一种具有混合HK/金属栅极的半导体器件,包括:
包括多个源极和漏极部件的半导体衬底,和
多个隔离部件,以将所述半导体衬底分隔成NFET区域和PFET区域;
形成在所述半导体衬底上方并设置在所述源极和漏极部件之间的多个栅极堆叠件,其中所述PFET区域中的栅极堆叠件包括:
形成在所述半导体衬底上方的界面层;
形成在所述界面层上方的高k(HK)介电层;
形成在HK介电层顶部上的p-型功函数(p-WF)金属层;
形成在p-WF金属层上方的填充金属层;
而位于所述NFET区域中的栅极堆叠件包括:
形成在所述半导体衬底上方的界面层;
形成在所述界面层上方的HK介电层;
形成在所述HK介电层上方的保护层;
形成在所述保护层上方的多晶硅层;
形成在所述多晶硅层的顶部上的p-WF金属层;
形成在p-WF层上的金属层。
6.根据权利要求5所述的器件,还包括形成在所述栅极堆叠件的侧壁上的间隔件,其中所述间隔件包括密封间隔件和主间隔件。
7.根据权利要求6所述的器件,其中所述密封间隔件包含氮化硅。
8.一种制造半导体器件的方法,所述方法包括:
提供具有多个浅沟槽隔离(STI)部件的半导体衬底,所述多个浅沟槽隔离部件用于分隔NFET区域和PFET区域;
在所述半导体衬底上形成多个多晶硅栅极堆叠件;
在每个所述多晶硅栅极堆叠件上形成侧壁间隔件;
在所述半导体衬底上形成源极和漏极;
在所述半导体衬底上形成层间介电(ILD)层;
在所述多晶硅栅极堆叠件之一上形成经图案化的硬掩模,从而在所述半导体衬底上限定出高电阻器;
暴露所述PFET区域而不暴露NFET区域,并且实施第一栅极蚀刻以从所述PFET区域中的多晶硅栅极堆叠件部分地去除多晶硅;
完成所述第一栅极蚀刻后,暴露所述NFET区域和所述PFET区域,并且实施第二栅极蚀刻以从所述NFET区域中的多晶硅栅极堆叠件部分地去除多晶硅从而形成NFET栅极沟槽,并从所述PFET区域中的多晶硅栅极堆叠件部分地去除多晶硅从而形成PFET栅极沟槽;
用高k(HK)介电材料填充所述PFET栅极沟槽和所述NFET栅极沟槽;
在所述PFET栅极沟槽和所述NFET栅极沟槽上沉积填充金属层从而形成HK/金属栅极堆叠件。
9.根据权利要求8所述的方法,其中在同一蚀刻步骤中去除PFET中的多晶硅层且部分去除NFET中的多晶硅层。
10.根据权利要求8所述的方法,其中通过后栅极方法形成PFET中的所述HK/金属栅极堆叠件。
CN201310005524.5A 2012-03-09 2013-01-07 制造混合高k/金属栅堆叠件的方法 Expired - Fee Related CN103311185B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/415,967 US9093559B2 (en) 2012-03-09 2012-03-09 Method of hybrid high-k/metal-gate stack fabrication
US13/415,967 2012-03-09

Publications (2)

Publication Number Publication Date
CN103311185A true CN103311185A (zh) 2013-09-18
CN103311185B CN103311185B (zh) 2015-04-15

Family

ID=49029677

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310005524.5A Expired - Fee Related CN103311185B (zh) 2012-03-09 2013-01-07 制造混合高k/金属栅堆叠件的方法

Country Status (4)

Country Link
US (1) US9093559B2 (zh)
KR (1) KR101403097B1 (zh)
CN (1) CN103311185B (zh)
DE (1) DE102013100414B4 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104701262A (zh) * 2013-12-10 2015-06-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件的形成方法
CN105990341A (zh) * 2015-01-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN107068757A (zh) * 2016-01-29 2017-08-18 台湾积体电路制造股份有限公司 Finfet器件及其形成方法
CN109494193A (zh) * 2017-09-13 2019-03-19 英飞凌科技股份有限公司 一种用于制造组合半导体器件的方法
CN109585378A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 切割金属栅极的方法、半导体器件及其形成方法
CN110648919A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 带有凹口的栅极结构制造
CN113571514A (zh) * 2020-04-28 2021-10-29 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
WO2022022016A1 (zh) * 2020-07-29 2022-02-03 长鑫存储技术有限公司 半导体结构及其形成方法
WO2023173505A1 (zh) * 2022-03-15 2023-09-21 长鑫存储技术有限公司 一种半导体器件的制备方法及半导体器件

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140108982A (ko) * 2013-03-04 2014-09-15 삼성전자주식회사 메모리 장치 및 그 제조 방법
US9397100B2 (en) * 2013-12-29 2016-07-19 Texas Instruments Incorporated Hybrid high-k first and high-k last replacement gate process
US9196708B2 (en) 2013-12-30 2015-11-24 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a semiconductor device structure
CN105225949B (zh) * 2014-05-26 2018-08-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
KR102262887B1 (ko) 2014-07-21 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9293556B2 (en) * 2014-07-29 2016-03-22 Globalfoundries Inc. Semiconductor structure including a ferroelectric transistor and method for the formation thereof
KR102240022B1 (ko) 2014-11-26 2021-04-15 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102214096B1 (ko) 2015-08-06 2021-02-09 삼성전자주식회사 반도체 장치 제조 방법
US10141417B2 (en) * 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
US10431583B2 (en) 2016-02-11 2019-10-01 Samsung Electronics Co., Ltd. Semiconductor device including transistors with adjusted threshold voltages
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10490649B2 (en) 2017-05-30 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with adhesion layer
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10312348B1 (en) * 2017-11-22 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device gate spacer structures and methods thereof
CN109980014B (zh) * 2019-03-26 2023-04-18 湘潭大学 一种后栅极铁电栅场效应晶体管及其制备方法
US11133321B2 (en) 2019-09-26 2021-09-28 Nanya Technology Corporation Semiconductor device and method of fabricating the same
DE102021112556A1 (de) * 2020-06-17 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Dreischichtiger high-k gate-dielektrikumstapell für austrittsarbeitskontrolle

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004270A1 (en) * 1998-12-25 2002-01-10 Masaru Moriwaki Semiconductor device and method of manufacturing the same
CN101552229A (zh) * 2008-03-31 2009-10-07 联华电子股份有限公司 半导体元件及其制作方法
US20100052070A1 (en) * 2008-08-27 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. novel device scheme of hkmg gate-last process
US20100087055A1 (en) * 2008-10-06 2010-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109079B2 (en) * 2005-01-26 2006-09-19 Freescale Semiconductor, Inc. Metal gate transistor CMOS process and method for making
US7833849B2 (en) 2005-12-30 2010-11-16 International Business Machines Corporation Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode
US7718496B2 (en) * 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks
DE102009006802B3 (de) * 2009-01-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
DE102009046245B4 (de) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
DE102010001406B4 (de) * 2010-01-29 2014-12-11 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
US8389359B2 (en) * 2010-02-08 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming low resistance and uniform metal gate
US8039388B1 (en) * 2010-03-24 2011-10-18 Taiwam Semiconductor Manufacturing Company, Ltd. Main spacer trim-back method for replacement gate process
DE102010040058A1 (de) * 2010-08-31 2012-03-01 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Polysiliziumwiderstände, die in einem Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε hergestellt sind

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004270A1 (en) * 1998-12-25 2002-01-10 Masaru Moriwaki Semiconductor device and method of manufacturing the same
CN101552229A (zh) * 2008-03-31 2009-10-07 联华电子股份有限公司 半导体元件及其制作方法
US20100052070A1 (en) * 2008-08-27 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. novel device scheme of hkmg gate-last process
US20100087055A1 (en) * 2008-10-06 2010-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104701262A (zh) * 2013-12-10 2015-06-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件的形成方法
CN104701262B (zh) * 2013-12-10 2017-11-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件的形成方法
CN105990341A (zh) * 2015-01-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN105990341B (zh) * 2015-01-30 2019-08-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN107068757A (zh) * 2016-01-29 2017-08-18 台湾积体电路制造股份有限公司 Finfet器件及其形成方法
CN109494193A (zh) * 2017-09-13 2019-03-19 英飞凌科技股份有限公司 一种用于制造组合半导体器件的方法
CN109494193B (zh) * 2017-09-13 2023-09-12 英飞凌科技股份有限公司 一种用于制造组合半导体器件的方法
CN109585378B (zh) * 2017-09-29 2023-08-04 台湾积体电路制造股份有限公司 切割金属栅极的方法、半导体器件及其形成方法
CN109585378A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 切割金属栅极的方法、半导体器件及其形成方法
CN110648919B (zh) * 2018-06-27 2022-10-18 台湾积体电路制造股份有限公司 带有凹口的栅极结构制造
CN110648919A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 带有凹口的栅极结构制造
CN113571514A (zh) * 2020-04-28 2021-10-29 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
CN113571514B (zh) * 2020-04-28 2024-04-12 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
WO2022022016A1 (zh) * 2020-07-29 2022-02-03 长鑫存储技术有限公司 半导体结构及其形成方法
CN114068690A (zh) * 2020-07-29 2022-02-18 长鑫存储技术有限公司 半导体结构及其形成方法
WO2023173505A1 (zh) * 2022-03-15 2023-09-21 长鑫存储技术有限公司 一种半导体器件的制备方法及半导体器件

Also Published As

Publication number Publication date
US9093559B2 (en) 2015-07-28
DE102013100414B4 (de) 2020-11-12
CN103311185B (zh) 2015-04-15
KR101403097B1 (ko) 2014-06-03
DE102013100414A1 (de) 2013-09-12
US20130234254A1 (en) 2013-09-12
KR20130103281A (ko) 2013-09-23

Similar Documents

Publication Publication Date Title
CN103311185B (zh) 制造混合高k/金属栅堆叠件的方法
US11004847B2 (en) Semiconductor device and fabricating the same
US10622480B2 (en) Forming gate stacks of FinFETs through oxidation
KR101769211B1 (ko) Finfet 디바이스를 위한 방법 및 구조체
KR101334465B1 (ko) 개선된 실리사이드 형성 및 연관된 장치
CN103022126B (zh) 具有由高k保护金属层诱导的应变沟道的半导体器件
TWI594332B (zh) 半導體裝置的製作方法
US10490661B2 (en) Dopant concentration boost in epitaxially formed material
US10062769B2 (en) Methods of fabricating semiconductor devices
KR20090019693A (ko) 스트레인된 반도체 장치 및 이의 제조 방법
JP2021507533A (ja) 垂直型トランジスタのための自己整合された底部スペーサを形成する方法及び半導体デバイス
US20160013293A1 (en) MOS Devices with Mask Layers and Methods for Forming the Same
CN104934474A (zh) 组合FinFET及其形成方法
US20120264262A1 (en) Method for forming semiconductor structure
CN103426821B (zh) 半导体集成电路制造的方法
KR20120133652A (ko) 반도체 소자의 제조 방법
CN103390649A (zh) 用于高k和金属栅极堆叠件的器件和方法
JP3998665B2 (ja) 半導体装置およびその製造方法
JP2011238780A (ja) 半導体装置及びその製造方法
CN103578953B (zh) 半导体集成电路制造的方法
CN104183496A (zh) 鳍式场效应晶体管器件的制造方法
TW201427019A (zh) 半導體結構及積體電路之製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150415