JP2021507533A - 垂直型トランジスタのための自己整合された底部スペーサを形成する方法及び半導体デバイス - Google Patents

垂直型トランジスタのための自己整合された底部スペーサを形成する方法及び半導体デバイス Download PDF

Info

Publication number
JP2021507533A
JP2021507533A JP2020534321A JP2020534321A JP2021507533A JP 2021507533 A JP2021507533 A JP 2021507533A JP 2020534321 A JP2020534321 A JP 2020534321A JP 2020534321 A JP2020534321 A JP 2020534321A JP 2021507533 A JP2021507533 A JP 2021507533A
Authority
JP
Japan
Prior art keywords
germanium
layer
silicon
fins
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020534321A
Other languages
English (en)
Other versions
JP7266352B2 (ja
Inventor
リー、チョンヒョン
省吾 望月
省吾 望月
バオ、ルキアン
ジャガナサン、へマンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2021507533A publication Critical patent/JP2021507533A/ja
Application granted granted Critical
Publication of JP7266352B2 publication Critical patent/JP7266352B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】 垂直型トランジスタのための自己整合された底部スペーサを形成する方法を提供する。【解決手段】 半導体デバイスを製造する方法が、基板上にフィンを形成することを含む。フィンの両側の基板上にソース/ドレイン領域が配置される。方法は、ソース/ドレイン領域上に半導体層を堆積することを含む。方法は、フィン及び半導体層上にゲルマニウム含有層を堆積することを含む。方法は、半導体層をゲルマニウム含有層と化学的に反応させ、酸化シリコン層を形成するように構成されたアニール操作を適用することをさらに含む。【選択図】 図22

Description

本発明は、一般に、半導体デバイスのための製造方法及び結果として生じる構造に関する。より具体的には、本発明は、垂直型トランジスタのための自己整合された底部スペーサの形成に関する。
半導体デバイスは、ウェハの活性領域を用いて形成される。活性領域は、隣接した半導体デバイスを分離し、電気的に絶縁するために使用される分離領域によって定められる。例えば、複数の金属酸化膜半導体電界効果トランジスタ(MOSFET)を有する集積回路において、各MOSFETは、半導体材料の層内にn型又はp型不純物を注入することによって半導体層の活性領域に形成されるソース及びドレインを有する。チャネル(又はボディ)領域がソースとドレインとの間に配置される。ゲート電極がボディ領域の上に配置される。ゲート電極及びボディは、ゲート誘電体層によって離間される。
垂直型トランジスタのための自己整合された底部スペーサを形成する方法、及び半導体デバイスを提供する。
本発明の実施形態は、半導体デバイスを製造するための方法に向けられる。方法の非限定的な例は、基板上にフィンを形成することを含む。フィンの両側の基板上にソース/ドレイン領域が配置される。方法は、ソース/ドレイン領域上に半導体層を堆積することを含む。方法は、フィン及び半導体層上にゲルマニウム含有層を堆積することを含む。方法は、半導体層をゲルマニウム含有層と化学的に反応させ、酸化シリコン層を形成するように構成されたアニール操作を適用することをさらに含む。
方法の別の非限定的な例は、基板上にフィンを形成することを含む。フィンの両側の基板上にソース/ドレイン領域が配置される。方法は、ソース/ドレイン領域上に第1のシリコンゲルマニウム層を堆積することを含む。方法は、フィン及び第1のシリコンゲルマニウム層上にゲルマニウム含有層を堆積することを含む。方法は、アニールを行って、第1のシリコンゲルマニウム層をゲルマニウム含有層と化学的に反応させ、第1のシリコンゲルマニウム層よりも増大したゲルマニウム含有量を有する第2のシリコンゲルマニウム層上に配置された酸化シリコン層を形成することをさらに含む。方法は、窒化プロセスを行って、酸化シリコン層の窒素含有量を増大させ、底部スペーサを形成することを含む。
方法の別の非限定的な例は、基板上に配置されたソース/ドレイン領域上に第1のシリコンゲルマニウム層を堆積することを含む。方法は、フィン及び第1のシリコンゲルマニウム層上に酸化ゲルマニウム層を堆積することを含む。方法は、第1のシリコンゲルマニウム層を酸化ゲルマニウム層と化学的に反応させ、第1のシリコンゲルマニウム層より増大したゲルマニウム含有量を有する第2のシリコンゲルマニウム層上に配置された酸化シリコン層を形成することをさらに含む。
本発明の実施形態は、半導体デバイスに向けられる。半導体デバイスの非限定的な例は、基板上に配置されたフィンを含む。半導体デバイスは、フィンに隣接して基板上に配置されたソース/ドレイン領域を含む。半導体デバイスは、ソース/ドレイン領域上に配置されたシリコンゲルマニウム層及びシリコンゲルマニウム層上に配置された酸窒化シリコン層を含む底部ゲート・スペーサをさらに含む。
半導体デバイスの別の非限定的な例は、基板上に配置されたフィンと、フィンの側壁上に配置されたゲルマニウム含有層とを含む。半導体デバイスは、フィンに隣接して基板上に配置されたソース/ドレイン領域を含む。半導体デバイスは、酸化シリコン層及びソース/ドレイン領域上に配置されたシリコンゲルマニウム層を含む底部ゲート・スペーサをさらに含む。
付加的な技術的特徴及び利点が、本発明の技術を通じて実現される。本発明の実施形態及び態様は、本明細書において詳細に記載され、特許請求される主題の一部であると考えられる。よりよい理解のために、詳細な説明及び図面を参照されたい。
本明細書に記載された排他的権利の詳細は、明細書の結論部分にある特許請求の範囲において具体的に指摘され、明確に特許請求されている。本発明の実施形態の上記及び他の特徴と利点は、添付図面と共に以下の詳細な説明を読むことから明らかになる。
図1〜図22は、本発明の実施形態による半導体デバイスを製造するための方法を示す。
基板上に形成されたフィンを有する半導体デバイスの側断面図を示す。 フィン上にフィン・スペーサ層を堆積した後の側断面図を示す。 フィンをリセスする側断面図を示す。 半導体材料を堆積した後の側断面図を示す。 アニール後の側断面図を示す。 犠牲層を堆積した後の側断面図を示す。 ゲルマニウム含有層を堆積した後の側断面図を示す。 アニール後の側断面図を示す。 窒化プロセスを実行した後の側断面図を示す。 フィンからゲルマニウム含有層を除去した後の側断面図を示す。 前洗浄し、ゲート誘電体層及び仕事関数金属層を堆積した後の側断面図を示す。 平坦化層を堆積し、ゲート・スタックをパターン形成した後の側断面図を示す。 平坦化層を除去し、誘電体層を堆積した後の側断面図を示す。 酸化物層を堆積した後の側断面図を示す。 上部スペーサを形成した後の側断面図を示す。 トランジスタを分離した後の側断面図を示す。 酸化物層を堆積した後の側断面図を示す。 酸化物層をリセスした後の側断面図を示す。 半導体層を堆積した後の側断面図を示す。 ライナ及び別の酸化物層を堆積した後の側断面図を示す。 実施形態による、コンタクトを形成した後の側断面図を示す。 実施形態による、コンタクトを形成した後の側断面図を示す。
本明細書で示される図面は、例示的なものである。本発明の趣旨から逸脱することなく、本明細書に記載される図面又は動作には、多くの変更があり得る。例えば、動作は異なる順序で行うことができ、又は、動作を追加、削除、又は変更することが可能である。また、「結合されている(coupled)」という用語及びその変形は、2つの要素間に連絡経路を有することを記載し、それらの要素間に介在する要素/接続がない、要素間の直接的接続を意味するものではない。これらの変形の全ては、本明細書の一部であると見なされる。
添付図面及び記載される実施形態の以下の詳細な説明では、図面に示される様々な要素には、2桁又は3桁の参照番号が付されている。わずかな例外を除いて、各々の参照番号の左端の数字は、その要素が最初に示された図に対応する。
簡潔にするために、半導体デバイス及び集積回路(IC)の製造に関連する従来の技術については、本明細書で詳細に説明される場合も又は説明されない場合もある。さらに、本明細書に記載の様々なタスク及びプロセス・ステップは、本明細書で詳細に記載されていない追加のステップ若しくは機能を有する、より包括的な手順又はプロセスに組み込むことができる。特に、半導体デバイス及び半導体ベースのICの製造における様々なステップは周知であり、従って、簡潔にするために、本明細書では、多くの従来のステップについては、周知のプロセスの詳細を与えることなく、単に簡潔に述べられるか又は完全に省略される。
ここで、本発明の態様により具体的に関連する技術の概要を説明すると、MOSFETが、より小さい寸法にスケーリングされるとき、デバイス性能を改善するために、様々な設計及び技術が用いられる。ソース/ドレイン領域が、ゲートに取り囲まれる垂直型チャネル領域(又はフィン)の両端に配置された垂直型トランジスタは、より小さい寸法にスケーリングするための魅力的な候補である。従って、垂直型トランジスタは、中間工程(middle-of-line、MOL)の製造の複雑さを軽減する、より高密度のスケーリングを提供することができる。
垂直型トランジスタにおいて、上部ゲート・スペーサ及び底部ゲート・スペーサが、それぞれ、ゲートと、上部ソース/ドレイン領域及び底部ソース/ドレイン領域との間に配置される。ゲート・スペーサは、窒化シリコン及び/又は酸化シリコンを含むことができ、その両方が課題をもたらし得る。窒化シリコン・スペーサは、高密度プラズマ(HDP)を用いて形成することができるが、それはフィン・チャネルの酸化シリコン側壁ライナの窒化を引き起こし、フィン側壁上にSiONを形成し得る。フィン側壁上のSiONは、エッチングにより除去するのが困難である場合があり、どちらも窒化シリコンを含む、底部スペーサ及びフィン・ハードマスクの非選択的エッチングももたらし得る。底部スペーサのための酸化シリコンの使用は、フィン側壁の上述の窒化、及び結果として生じるエッチングの非選択性を回避できるが、酸化シリコンの使用により別のセットの課題がもたらされる。酸化シリコンが基板及びフィン上に堆積され、エッチバックされて底部スペーサを形成した後、スペーサの形状は、フィンと基板との界面においてメニスカス形状になることがあり、それが、ゲート制御性の損失を招くことがある。これらの理由により、窒化シリコン底部スペーサの方が好ましい。
ここで本発明の態様の概要を説明すると、本発明の1つ又は複数の実施形態は、SiON底部スペーサを形成するための方法を提供することにより、従来技術の上述の欠点に対処する。本発明の実施形態において、SiON底部スペーサは、酸化ゲルマニウム(GeO)をシリコンゲルマニウム(SiGe)と反応させ、アニールを行って、酸化シリコン(SiO)底部スペーサを形成することによって形成される。酸化シリコン層が窒化されて、SiON底部スペーサを形成する。
本発明の上述の態様は、いずれのパターン形成も行わずに、NFETデバイス及びPFETデバイスの両方のSiON底部スペーサについての実質的に同等の均一な厚さを確実にするための、簡単かつ効果的な方法を提供することによって、従来技術の欠点に対処する。底部スペーサの均一な厚さは、デバイスにおける予測可能かつ正確なチャネル長を提供する。この方法は、フィン側壁ライナを除去する際、フィン・ハードマスク及び底部スペーサの損失を緩和する構造体を提供する。
ここで本発明の態様のより詳細な説明をすると、図1〜図22は、本発明の実施形態による、半導体デバイスを製造するための方法を示す。図1は、フィン120、121が基板101上に形成された半導体デバイスの側断面図を示す。第1のフィン120は、第1の半導体デバイス110の一部であり、第2のフィン121は、第2の半導体デバイスの一部である。例示的実施形態によると、第1の半導体デバイス110はNFETであり、第2の半導体デバイス111はPFETである。
好適な基板101の材料の非限定的な例として、Si(シリコン)、歪みSi、SiC(炭化シリコン)、Ge(ゲルマニウム)、SiGe(シリコンゲルマニウム)、SiGeC(シリコン・ゲルマニウム・炭素)、Si合金、Ge合金、III−V族材料(例えば、GaAs(ヒ化ガリウム)、InAS(ヒ化インジウム)、InP(リン化インジウム)、又はヒ化アルミニウム(AlAs))、II−VI族材料(例えば、CdSe(セレン化カドミウム)、CdS(硫化カドミウム)、CdTe(テルル化カドミウム)、ZnO(酸化亜鉛)、ZnSe(セレン化亜鉛)、ZnS(硫化亜鉛)、又はZnTe(テルル化亜鉛))、又はそれらの任意の組み合わせが挙げられる。
フィン120、121はそれぞれ、ハードマスク・キャップ103を含む。フィン120、121は、基板101の上にハードマスク材料を堆積し、続いてパターン形成及びエッチングを行うことによって、基板101内に形成することができる。フィン110は、例えば、側壁イメージ転写によって、基板内にパターン形成することもできる。
第1のトランジスタ110の活性領域と第2のトランジスタ111の活性領域との間に、分離領域102が形成される。分離領域102は、例えば、リソグラフィ及びエッチングを行って基板101内にトレンチを形成し、次に、トレンチを二酸化シリコンなどの絶縁材料で充填することを含む、当技術分野における任意の既知の方法によって形成することができる。分離領域102を形成した後、活性領域は、分離領域の対の間の領域として定められる。1つ又は複数の実施形態によると、分離領域102は、浅いトレンチ分離領域(STI)である。しかしながら、分離領域は、トレンチ分離領域、フィールド酸化膜分離領域(図示せず)、又は当技術分野で既知の任意の他の同等物とすることができる。分離領域102は、隣接するゲート構造領域間の分離を与え、隣接するゲートが、例えばNFET及びPFETなどの反対の導電性を有するときに使用することができる。従って、少なくとも1つの分離領域が、PFETデバイス領域とNFETデバイス領域を分離することができる。
図2は、フィン120、121上にフィン・スペーサ層201を堆積した後の側断面図を示す。フィン・スペーサ層201は、フィン120、121の側壁上の保護層スペーサである。フィン120、121上にフィン・スペーサ層201を堆積した後、フィン・スペーサ層201をエッチングして、フィン120、121の上面(又は、ハードマスク・キャップ103)を露出させる。
フィン・スペーサ層201のための非限定的な例として、誘電体酸化物(例えば、酸化シリコン)、誘電体窒化物(例えば、窒化シリコン)、誘電体酸窒化物、又はそれらの任意の組み合わせが挙げられる。スペーサ材料は、例えば、化学気相堆積(CVD)又は物理気相堆積(PVD)などの堆積プロセスによって堆積される。スペーサ材料は、例えばRIEプロセスなどの乾式エッチング・プロセスによって、エッチングすることができる。
図3は、フィン120、121をリセスする(recessing)側断面図を示す。基板101との界面において、フィン120、121の底部がリセスされる。フィン・スペーサ層201は、活性フィンを保護するために所定の位置のままであり、フィン120、121の側壁表面からの底部ソース/ドレインの形成を回避する(図4参照)。基板101の表面が垂直方向にエッチングされて、フィン120、121の側壁表面が露出する。
図4は、基板101上に半導体材料404、405を堆積し、底部ソース/ドレインを形成した後の側断面図を示す。半導体材料405は、第1のトランジスタ110の底部ソース/ドレイン領域を形成し、半導体材料404は、第2のトランジスタ111の底部ソース/ドレイン領域を形成する。
1つ又は複数の実施形態によると、半導体材料404、405は、エピタキシャル成長された半導体材料である。フィン側壁上のフィン・スペーサ層201は、エピタキシャル成長プロセスの間、半導体材料の堆積からフィンを保護する。エピタキシャル層は、気体又は液体前駆体から成長させることができる。エピタキシャル成長は、気相エピタキシ(VPE)、分子線エピタキシ(MBE)、液相エピタキシ(LPE)、又は他の適切なプロセスを用いて成長させることができる。例えば、エピタキシャルシリコン、シリコンゲルマニウム、及び/又は炭素ドープ・シリコン(Si:C)に、堆積中、ドーパント又は不純物を添加することによってドープし、シリサイドを形成することができる。半導体材料404、405は、トランジスタのタイプに応じて、n型ドーパント(例えば、リン、ヒ素、又はアンチモン)又はp型ドーパント(例えば、ボロン)でドープされる。
幾つかの例示的な実施形態において、第1のトランジスタ110はNFETであり、半導体材料405は、リンでドープされたエピタキシャル成長シリコンである。他の例示的な実施形態において、第2のトランジスタ111はPFETであり、半導体材料404は、ボロンでドープされたエピタキシャル成長シリコンゲルマニウムである。
図5は、アニール後の側断面図を示す。アニールは、ソース/ドレイン接合部において、ドーパントを半導体材料404、405から基板101及びフィン120、121内に打ち込む(drive)ために行われる。各フィン120、121の両側の基板101上にソース/ドレイン領域が配置される。
アニールは、例えば、炉内で加熱すること、又は純不活性ガス(例えば、窒素又はアルゴン)を含有する雰囲気中で急速熱処理を行うことによって行われる。アニール・プロセスは、例えば、急速熱アニール(RTA)又は急速熱処理(RTP)とすることができる。
図6は、ソース/ドレイン領域の半導体材料404、405上に犠牲層606を堆積した後の側断面図を示す。犠牲層606は、非ドープの半導体材料であり、第1のトランジスタ110及び第2のトランジスタ111のソース/ドレイン領域上に形成される。犠牲層606は、両方のトランジスタの、半導体材料404、405上に堆積される。
1つ又は複数の実施形態によると、犠牲層606は、非ドープのエピタキシャル成長シリコンゲルマニウムである。幾つかの実施形態によると、シリコンゲルマニウム層は、約20原子%乃至約40原子%のゲルマニウムを含む。他の実施形態によると、シリコンゲルマニウム層は、約20原子%乃至約60原子%のゲルマニウムを含む。他の露出表面は誘電体で覆われているので、分離領域102を含む他の露出表面上へのエピタキシャル成長は生じない。
図7は、フィン120、121上及びソース/ドレイン領域上の犠牲層606上にゲルマニウム含有層707を堆積した後の側断面図を示す。ゲルマニウム含有層707は共形(conformal)である。
1つ又は複数の実施形態によると、ゲルマニウム含有層は、原子層堆積(ALD)によって堆積され、酸化ゲルマニウム(GeO)を含む。ゲルマニウム含有層707の厚さは、通常異なり、限定されることを意図しない。さらに、幾つかの実施形態によると、ゲルマニウム含有層707は、約4nm乃至約8nmの厚さを有する。
図8は、アニール後の側断面図を示す。アニールは、犠牲層606の材料とゲルマニウム含有層707の材料との間の化学反応を引き起こし、層707a及び606aを形成する。層707a及び606aはそれぞれ、犠牲層606とゲルマニウム含有層707との化学反応の結果生じる化学反応生成物を含む。フィン120、121の側壁上のゲルマニウム含有層707は未反応のままである。
アニールは、例えば、炉内で加熱すること、又は純不活性ガス(例えば、窒素又はアルゴン)を含有する雰囲気中で急速熱処理を行うことによって行われる。例示的実施形態において、アニールは、周囲N環境中で約1秒乃至30秒間、約400℃乃至約650℃で行われる。
幾つかの例示的実施形態において、アニール前、犠牲層606は、40原子%のゲルマニウムを有するシリコンゲルマニウムを含み、ゲルマニウム含有層707は、酸化ゲルマニウムを含む。アニール後、シリコンゲルマニウムのゲルマニウム含有量は、約20原子%乃至約30原子%だけ増加し、60乃至70原子%のゲルマニウムを有するシリコンゲルマニウムを含む層606aを形成する。次に、アニール後、層707aは、実質的に純酸化シリコン(SiO)の上部層を含む。実施形態において、以下の反応が生じる。:
SiGe40%+2GeO→SiGe60%+SiO+2GeO。GeOは、揮発性種である。
他の例示的実施形態において、犠牲層606は、約20原子%乃至約60原子%のゲルマニウムを有するシリコンゲルマニウムを含み、アニール後、シリコンゲルマニウム層のゲルマニウム含有量が増大し、約40原子%乃至約80原子%のゲルマニウムを有する層606aを形成する。ゲルマニウム含有層707からのゲルマニウムは、犠牲層606内に移動し(層606aを形成し)、上部表面上に純酸化シリコン層が形成され、それをさらに処理して底部ゲート・スペーサを形成する。
図9は、随意的に窒化プロセスを行った後の側断面図を示す。窒化プロセスは、窒素をフィン側壁上のゲルマニウム含有層707に窒素を添加して層201aを形成し、ソース/ドレイン領域上の707aに添加して層707bを形成する。1つ又は複数の実施形態によると、層201aは酸窒化ゲルマニウム(GeON)を含み、層707bはSiONを含む。SiONは酸化シリコンよりも安定しているので、窒素を酸化シリコンに添加してSiONを形成することは有利である。フィン側壁上のGeONを含む層201aはまた、容易に洗い流され(washed away)、フィン120、121を露出させる。
幾つかの実施形態によると、窒化プロセスは、低温での急速熱窒化(RTN)によって行われる。例えば、RTNは、周囲雰囲気中で、例えばNH中で約600℃乃至約800℃の温度で行うことができる。他の実施形態によると、窒化プロセスは、プラズマ窒化によって行われる。
図10は、フィン側壁から層201aを除去した後の側断面図を示す。層201aがGeONを含む場合、層201は、例えば、脱イオン水で洗うこと/エッチングすることにより除去することができる。次に、フィン120、121の側壁及びハードマスク103が露出され、ソース/ドレイン領域上に層707bを残す。層707bは、底部ゲート・スペーサを形成することになる。
図11は、前洗浄し、ゲート誘電体層1110、1112及び仕事関数金属層1111、1113を堆積した後の側断面図を示す。ゲート誘電体層1110、1112及び仕事関数金属層1111、1113は、ゲート・スタックの一部である。
ゲート・スタック層を堆積する前に、前洗浄を行って化学残留物を除去する。前洗浄プロセスは、プラズマ・エッチングのような、光エッチング、非選択性エッチング、非反応性エッチングを含むことができる。幾つかの実施形態によると、前洗浄は、フッ化水素酸(HF)及び塩化水素酸(HCl)を含む。
ゲート誘電体層1110、1112及び仕事関数金属層1111、1113は、トランジスタのタイプに対して特有のものである。ゲート誘電体材料は、約3.9、約7.0、又は約10.0を上回る誘電率を有する誘電材料とすることができる。誘電材料のための好適な材料の非限定的な例として、酸化物、窒化物、酸窒化物、シリケート(例えば、金属シリケート)、アルミン酸塩、チタン酸塩、又はそれらの任意の組み合わせが挙げられる。高k材料(7.0を上回る誘電率を有する)の例としては、これらに限定されるものではないが、酸化ハフニウム、酸化ハフニウムシリコン、酸窒化ハフニウムシリコン、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化ジルコニウムシリコン、酸窒化ジルコニウムシリコン、酸化タンタル、酸化チタン、酸化バリウムストロンチウムチタン、酸化バリウムチタン、酸化ストロンチウムチタン、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウムタンタル、及びニオブ酸鉛亜鉛などの金属酸化物が挙げられる。高k材料は、例えばランタン及びアルミニウムなどのドーパントをさらに含むことができる。
仕事関数金属層1111、1113は、ゲート誘電材料の上に配置される。仕事関数金属の種類は、トランジスタのタイプに依存し、第1のトランジスタ110と第2のトランジスタ111との間で異なり得る。適切な仕事関数金属の非限定的な例は、p型仕事関数金属材料及びn型仕事関数金属材料を含む。p型仕事関数材料は、窒化チタン(TiN)、窒化タンタル(TaN)、ルテニウム、パラジウム、白金、コバルト、ニッケル及び導電性金属酸化物、又はそれらの任意の組み合わせなどの組成物を含む。n型金属材料は、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、金属炭化物(例えば、炭化ハフニウム、炭化ジルコニウム、炭化チタン、及び炭化アルミニウム)、アルミナイド、又はそれらの任意の組み合わせなどの組成物を含む。仕事関数金属は、好適な堆積プロセス、例えば、CVD、PECVD、PVD、めっき、熱蒸着又は電子ビーム蒸着及びスパッタリングによって堆積させることができる。
図12は、平坦化層1201を堆積し、ゲート・スタックをパターン形成した後の側断面図を示す。平坦化層1201は、スピンオン・コーティング、又は有機平坦化層(OPL)とすることができる。平坦化層1201は、フィン120、121及び基板101上に堆積され、次いで、例えばエッチングによりリセスされる。ゲート誘電体層1110、1112及び仕事関数金属層1111、1113は露出され、必要に応じて、それらがハードマスク・キャップ103より下方にリセスされるように、1つ又は複数のエッチング・プロセスによりエッチングすることができる。
図13は、平坦化層1201を除去し、誘電体層1330を堆積させた後の側断面図を示す。誘電体層1330は、フィン120、121及びフィンの周りのゲート・スタックを封入する。1つ又は複数の実施形態によると、誘電体層1330は、誘電体酸化物(例えば、酸化シリコン)、誘電体窒化物(例えば、窒化シリコン)、誘電体酸窒化物、又はそれらの任意の組み合わせを含む。
図14は、酸化物層1404(層間誘電体(ILD))を堆積した後の側断面図を示す。酸化物層1404は、これらに限定されるものではないが、テトラエチルオルトシリケート(TEOS)酸化物、高アスペクト比プラズマ(HARP)酸化物、高温酸化物(HTO)、高密度プラズマ(HDP)酸化物、原子層堆積(ALD)プロセスによって形成される酸化物(例えば、酸化シリコン)、又はそれらの任意の組み合わせを含むことができる。酸化物層1404は、フィン120、121上のハードマスク・キャップ103のレベルより下方に部分的にリセスされる。
図15は、ゲート・スタックの上部に上部スペーサ1550を形成した後の側断面図を示す。上部スペーサ1550は、例えば、二酸化シリコン、窒化シリコン、SiOCN、又はSiBCNなどの絶縁材料を含む。上部スペーサ1550のための材料の他の非限定的な例は、誘電体酸化物(例えば、酸化シリコン)、誘電体窒化物(例えば、窒化シリコン)、誘電体酸窒化物、又はそれらの任意の組み合わせを含む。堆積された後、スペーサ材料はエッチングされる。
図16は、2つのトランジスタ110及び111を分離した後の側断面図を示す。酸化物層1404は、上部スペーサ1550をパターンとして用いてエッチングされる。トランジスタ110、111の間の分離領域102の上でゲート誘電体層1110、1112、仕事関数金属層1111、1113、及び誘電体層1330の一部が除去され、分離領域102を露出する。
図17は、酸化物層1707を堆積した後の側断面図を示す。酸化物層1707は、これらに限定されるものではないが、テトラエチルオルトシリケート(TEOS)酸化物、高アスペクト比プラズマ(HARP)酸化物、高温酸化物(HTO)、高密度プラズマ(HDP)酸化物、原子層堆積(ALD)プロセスによって形成される酸化物(例えば、酸化シリコン)、又はそれらの任意の組み合わせを含むことができる。
図18は、酸化物層1707をリセスした後の側断面図を示す。酸化物層1707は、フィン・ハードマスク・キャップ103の下方のレベルまでリセスされる。ハードマスク・キャップ103も除去され、上部スペーサ1550も部分的にリセスされてフィン120、121の上部を露出し、ここで、上部ソース/ドレイン領域が形成されることになる。
図19は、それぞれフィン120、121上に半導体層1990、1991を堆積した後の側断面図を示す。半導体層1990、1991は、上部ソース/ドレインを形成し、図4に関して上述したように、エピタキシャル半導体材料を含むことができる。エピタキシャル半導体材料はドーパントを含むことができ、図5に関して上述したように、アニールを用いて、上部ソース/ドレイン領域において、ドーパントをフィン120、121に打ち込むことができる。
図20は、上部ソース/ドレイン上にライナ2000及び別の酸化物層2001(ILD)を堆積した後の側断面図を示す。ライナ2000は、ソース/ドレインを封入する。上部ソース/ドレイン・コンタクトが形成されたとき、ライナ2000は、エッチング停止ライナとして用いられる。
図21は、実施形態による、底部コンタクト2101(ソース/ドレイン・コンタクト)を形成した後の側断面図を示す。高い又は増大したゲルマニウム含有量を含む層606aを選択的にエッチングして、底部コンタクト2101のための広いコンタクト領域を提供することができる。底部コンタクト2101は、トレンチをエッチングすることにより、酸化物層2001、1707内に形成される。底部ソース/ドレイン・コンタクト2101は、酸化物層2001、1707を通って、底部ソース/ドレイン領域を形成する半導体材料404、405まで延びる。フォトレジストなどのレジストを堆積させ、パターン形成して、コンタクト・トレンチを形成することができる。RIEなどのエッチング・プロセスを行って、酸化物層2001、1707、層707b、及び層606aを除去する。コンタクト・トレンチは、導電性材料又は導電性材料の組み合わせで充填される。層606aは、高いゲルマニウム含有量を含み、層606aは、エッチング停止層として機能する。エッチング・プロセス中、より多くの層606aが除去され、それにより、逆「T字」形状のコンタクトの形成がもたらされる。エッチング・プロセスは、半導体材料404、405上で停止することになる。導電性金属、例えば、アルミニウム(Al)、白金(Pt)、金(Au)、タングステン(W)、チタン(Ti)、コバルト(Co)、又はそれらの任意の組み合わせのような導電性材料が、トレンチ内に堆積される。
図22は、実施形態による、底部コンタクト2201、2203を形成した後の側断面図を示す。酸化物層2001、1707内にトレンチを形成した後、コンタクト金属を堆積する前に、半導体材料2200及び2204が、それぞれ半導体材料405及び404上に堆積される。
幾つかの実施形態によると、半導体材料2200、2204は、エピタキシャル成長半導体材料である。1つ又は複数の実施形態において、エピタキシャル成長半導体材料2200は、第1のトランジスタ110内の半導体材料405と同じである。幾つかの実施形態において、半導体材料405及び半導体材料2200は、リンでドーブされたシリコンである。幾つかの実施形態において、半導体材料2204は、ガリウムがドープされたエピタキシャル成長ゲルマニウムである。
本明細書では、本発明の様々な実施形態が、関連する図面を参照して説明される。本発明の範囲から逸脱することなく、代替的な実施形態を考え出すことも可能である。以下の説明及び図面においては、要素の間の様々な接続及び位置関係(例えば、上方(over)、下方(below)、隣接(adjacent)等)が述べられているが、当業者であれば、向きが変更された場合でも、記載された機能が維持されるときには、本明細書に記載された位置関係の多くが向きに依存しないことを理解するであろう。これらの接続及び/又は位置関係は、特に明記されていない限り、直接的であることも又は間接的であることもあり、本発明は、この点に関して限定的であることを意図しない。従って、エンティティの結合は、直接的結合又は間接的結合のどちらも指すことができ、エンティティ間の位置関係は、直接的位置関係又間接的位置関係であり得る。間接的な位置関係の一例として、本説明において、層「B」の上に層「A」を形成することを言及する場合、層「A」と層「B」との関連する特性及び機能が中間層によって実質的に変更されない限り、層「A」と層「B」との間に1つ又は複数の中間層(例えば、層「C」)が存在する状況を含む。
以下の定義及び略語が、特許請求の範囲及び明細書の解釈に用いられる。本明細書で用いられる場合、「含む(comprises)」、「含んでいる(comprising)」、「含む(includes)」、「含んでいる(including)」、「有する(has)」、「有している(having)」、「包含する(contains)」若しくは「包含している(containing)」という用語、又はそれらの任意の他の変形は、非排他的な包含に及ぶことが意図されている。例えば、要素のリストを含む組成物、混合物、プロセス、方法、物品、又は装置は、必ずしもそれらの要素だけに限定されるものではなく、明示的に列挙されていない他の要素、又は、そのような組成物、混合物、プロセス、方法、物品、若しくは装置に内在する他の要素を含み得る。
さらに、本明細書では、「例示的」という用語は、「例、実例、又は例証として機能する」ことを意味するように用いられる。「例示的」であるとして本明細書に記載されるどの実施形態又は設計も、他の実施形態又は設計と比較して、必ずしも、好適である又は有利であると解釈されるべきではない。「少なくとも1つ」及び「1つ又は複数」という用語は、1よりも大きい又は1と等しい任意の整数、すなわち1、2、3、4などを含むものと理解される。「複数の」という用語は、2よりも大きい又は2に等しい任意の整数、すなわち2、3、4、5などを含むものと理解される。「接続」という用語は、間接的「接続」及び直接的「接続」を含み得る。
本明細書における「一実施形態」、「ある実施形態」、「例示的実施形態」等の参照は、説明される実施形態が特定の特徴、構造、又は特性を含み得ることを示すが、どの実施形態も、特定の特徴、構造、又は特性を含むことも又は含まないこともある。さらに、そのような句が、必ずしも、同じ実施形態を指しているとは限らない。さらに、特定の特徴、構造、又は特性がある実施形態と関連して説明されるときには、明示的に述べられているかどうかに関係なく、他の実施形態と関連するそのような特徴、構造、又は特性に影響することは、当業者の知識の範囲内であると考えられる。
以下の説明のために、「上側(upper)」、「下側(lower)」、「右側(right)」、「左側(left)」、「垂直方向(vertical)」、「水平方向(horizontal)」、「上部(top)」、「底部(bottom)」という用語、及びこれらの派生語は、図面において方向付けられるように、説明される構造及び方法に関するものとする。「〜の上に横たわっている(overlying)」、「〜の頂上にある(atop)」、「〜上にある(on top)」、「〜上に配置されている(positioned on)」又は「〜の頂上に配置されている」という用語は、第1の構造などの第1の要素が、第2の構造などの第2の要素上に存在することを意味し、そこで、界面構造などの介在要素が、第1の要素と第2の要素との間に存在し得る。「直接的接触」という用語は、第1の構造などの第1の要素及び第2の構造などの第2の要素が、これら2つの要素の界面において、いかなる中間導電層、絶縁層又は半導体層も存在することなく、接続されることを意味する。
例えば「第2の要素に対して選択的な第1の要素」といった「〜に対して選択的(selective to)」という句は、第1の要素がエッチングされ得ること、そして、第2の要素がエッチング停止部(etch stop)として作用し得ることを意味する。
「約(about)」、「実質的に(substantially)」、「およそ(approximately)」という用語及びそれらの変形は、本出願時に利用可能な機器に基づいて、特定の量の測定と関連する誤差の程度を含むことが意図される。例えば、「約」は、所与の値の±8%又は5%、又は2%の範囲を含み得る。
本明細書に既述のように、簡潔にするために、半導体デバイス及び集積回路(IC)の製造に関連する従来の技術については、本明細書で詳細に説明される場合も又が説明されない場合もある。しかしながら、背景として、本発明の1つ又は複数の実施形態を実施する際に用い得る半導体デバイス製造プロセスのより一般的な説明が、ここに提供される。本発明の1つ又は複数の実施形態を実施するのに用いられる特定の製造動作は、個々に知られていることがあるものの、本発明の動作及び/又は結果的に生じる構造の説明される組み合わせは、独自のものである。このように、本発明による半導体デバイスの製造と関連して説明される動作の独自の組み合わせは、半導体(例えば、シリコン)基板上で実行される個別に知られている様々な物理的及び化学的プロセスを利用しており、その幾つかを、以下の段落において説明する。
一般に、IC内にパッケージングされるマイクロチップを形成するのに用いられる様々なプロセスは、4つの一般的なカテゴリ、すなわち、膜の堆積、除去/エッチング、半導体ドーピング及びパターン形成/リソグラフィになる。堆積(deposition)とは、材料をウェハの上に、成長させ、コーティングし、又は他の方法で移動させる任意のプロセスである。利用可能な技術は、とりわけ、物理気相堆積(PVD)、化学気相堆積(CVD)、電気化学堆積(ECD)、分子線エピタキシ(MBE)、及び最近の原子層堆積(ALD)を含む。除去/エッチングは、材料をウェハから除去する任意のプロセスである。例として、エッチング・プロセス(湿式又は乾式のいずれか)、及び化学機械平坦化(CMP)などが挙げられる。半導体ドープは、例えば、一般的には拡散及び/又はイオン注入によって、トランジスタのソース及びドレインをドープすることによる、電気的特性の変更である。これらのドープ・プロセスの後に、炉アニール又は急速熱アニール(RTA)が続く。アニールは、注入されたドーパントを活性化させるように機能する。両方の導体(例えば、ポリシリコン、アルミニウム、銅など)及び絶縁体(例えば、様々な形態の二酸化シリコン、窒化シリコンなど)の膜が、トランジスタとそれらの構成要素とを接続するために、及び、それらを分離させるために用いられる。半導体基板の様々な領域の選択的なドープによって、基板の導電率を、電圧の印加により変化させることが可能になる。これらの様々な構成要素の構造を作成することによって、現代のマイクロエレクトロニクス・デバイスの複雑な回路を形成するために、数百万のトランジスタを構築し、相互に配線接続することが可能である。半導体リソグラフィは、半導体基板上に三次元のレリーフ・イメージ又はパターンを形成し、その後で、そのパターンを基板に転写することである。半導体リソグラフィでは、パターンは、フォトレジストと呼ばれる光感受性ポリマーによって形成される。トランジスタと回路の数百万個のトランジスタを接続する多くの配線とを構成する複雑な構造を構築するため、リソグラフィ及びエッチング・パターン転写ステップが、複数回繰り返される。ウェハ上にプリントされる各パターンは、先に形成されたパターンと位置合わせされ、導体、絶縁体及び選択的にドープされた領域が、最終的なデバイスを形成するために、ゆっくりと構築される。
図面におけるフローチャート及びブロック図は、本発明の様々な実施形態による製造及び/又は動作方法の可能な実装を示す。方法の様々な機能/動作は、ブロックによって表される。幾つかの代替的な実装においては、ブロックに記載されている機能は、図面に記載されている順序とは異なる順序で行われ得る。例えば、連続して示される2つのブロックが、実際には、実質的に同時に実行されることもあり、又は、それらのブロックが、関与する機能に応じて、ときとして、逆の順序で実行されることもある。
本発明の様々な実施形態の説明が、例証のために提示されてきたが、網羅的であること、又は、説明される実施形態に限定されることを意図していない。説明される実施形態の範囲から逸脱することなく、多くの変更及び変形が、当業者には明らかであろう。本明細書で用いられている用語は、市場で見出される技術よりも優れた実施形態の原理、実際的な応用、又は技術的改善を最も良く説明するために、又は、当業者である他の者が、本明細書に説明される実施形態を理解することを可能にするために、選択されたものである。
101:基板
102:分離領域
103:ハードマスク・キャップ
110、111:半導体デバイス(トランジスタ)
120、121:フィン
201:フィン・スペーサ層
404、405、2200、2204:半導体材料
606:犠牲層
707:ゲルマニウム含有層
1110、1112:ゲート誘電体層
1111、1113:仕事関数金属層
1201:平坦化層
1330:誘電体層
1404、1707、2001:酸化物層
1550:上部スペーサ
1990、1991:半導体層
2000:ライナ
2101、2201、2203:底部コンタクト(ソース/ドレイン・コンタクト)

Claims (25)

  1. 半導体デバイスを製造する方法であって、
    基板上にフィンを形成することと、
    前記フィンの両側の前記基板上に配置されたソース/ドレイン領域を形成することと、
    前記ソース/ドレイン領域上に半導体層を堆積することと、
    前記フィン及び前記半導体層上にゲルマニウム含有層を堆積することと、
    前記半導体層を前記ゲルマニウム含有層と化学的に反応させ、酸化シリコン層を形成するように構成されたアニール操作を適用することと、
    を含む、方法。
  2. 前記半導体層は、シリコンゲルマニウムを含む、請求項1に記載の方法。
  3. アニール後、前記酸化シリコン層は、前記半導体層より高いゲルマニウム含有量を含むシリコンゲルマニウム層上に配置される、請求項2に記載の方法。
  4. 前記シリコンゲルマニウムは、約20原子パーセント(%)から約60原子パーセント(%)までのゲルマニウムを含む、請求項2に記載の方法。
  5. より高いゲルマニウム含有量を含む前記シリコンゲルマニウム層は、約40原子%から約80原子%までのゲルマニウムを含む、請求項3に記載の方法。
  6. 前記ゲルマニウム含有層は、酸化ゲルマニウムを含む、請求項1に記載の方法。
  7. 半導体デバイスを形成する方法であって、
    基板上にフィンを形成することと、
    前記フィンの両側の前記基板上に配置されたソース/ドレイン領域を形成することと、
    前記ソース/ドレイン領域上に第1のシリコンゲルマニウム層を堆積することと、
    前記フィン及び前記第1のシリコンゲルマニウム層上にゲルマニウム含有層を堆積することと、
    アニールを行って、前記第1のシリコンゲルマニウム層を前記ゲルマニウム含有層と化学的に反応させ、前記第1のシリコンゲルマニウム層よりも増大したゲルマニウム含有量を有する第2のシリコンゲルマニウム層上に配置された酸化シリコン層を形成することと、
    窒化プロセスを行って、前記酸化シリコン層の窒素含有量を増大させ、底部スペーサを形成することと、
    を含む、方法。
  8. 前記窒化プロセスは、急速熱窒化又はプラズマ窒化を含む、請求項7に記載の方法。
  9. 前記ゲルマニウム含有層は、GeOを含む、請求項7に記載の方法。
  10. 前記窒化プロセスを行った後、前記底部スペーサはSiONを含む、請求項7に記載の方法。
  11. 前記窒化プロセスを行った後、前記フィンの側壁上の前記ゲルマニウム含有層はGeONを形成する、請求項7に記載の方法。
  12. 前記GeONを除去し、前記底部スペーサ上に金属ゲートを形成することをさらに含む、請求項11に記載の方法。
  13. 半導体デバイスを製造する方法であって、
    基板上に配置されたソース/ドレイン領域上に第1のシリコンゲルマニウム層を堆積することと、
    前記フィン及び前記第1のシリコンゲルマニウム層上に酸化ゲルマニウム層を堆積することと、
    前記第1のシリコンゲルマニウム層を前記酸化ゲルマニウム層と化学的に反応させて、前記第1のシリコンゲルマニウム層より増大したゲルマニウム含有量を有する第2のシリコンゲルマニウム層上に配置された酸化シリコン層を形成することと、
    を含む、方法。
  14. 前記第2のシリコンゲルマニウム層は、前記第1のシリコンゲルマニウム層よりも約20原子%乃至約30原子%多いゲルマニウムを含む、請求項13に記載の方法。
  15. 窒化プロセスを行って、前記酸化シリコン層の窒素含有量を増大させることをさらに含む、請求項13に記載の方法。
  16. 前記窒化プロセスは、約600℃から約800℃までの温度で行われる、請求項15に記載の方法。
  17. 前記窒化プロセスは、前記第2のシリコンゲルマニウム層上にSiON層を形成する、請求項13に記載の方法。
  18. 前記酸化シリコン層は、実質的に純SiOを含む、請求項13に記載の方法。
  19. 基板上に配置されたフィンと、
    前記フィンに隣接して前記基板上に配置されたソース/ドレイン領域と、
    前記ソース/ドレイン領域上に配置されたシリコンゲルマニウム層及び前記シリコンゲルマニウム層上に配置された酸窒化シリコン層を含む底部ゲート・スペーサと、
    を含む、半導体デバイス。
  20. 前記シリコンゲルマニウム層は、約40原子%から約80原子%までのゲルマニウムを含む、請求項19に記載の半導体デバイス。
  21. 前記フィンの側壁上に配置されたゲルマニウム含有層をさらに含む、請求項19に記載の半導体デバイス。
  22. 前記ゲルマニウム含有層は、酸窒化ゲルマニウムを含む、請求項19に記載の半導体デバイス。
  23. 基板上に配置されたフィンと、
    前記フィンの側壁上に配置されたゲルマニウム含有層と、
    前記フィンに隣接して前記基板上に配置されたソース/ドレイン領域と、
    前記ソース/ドレイン領域上に配置された酸化シリコン層及びシリコンゲルマニウム層を含む底部ゲート・スペーサと、
    を含む、半導体デバイス。
  24. 前記ゲルマニウム含有層は、酸化ゲルマニウムを含む、請求項23に記載の半導体デバイス。
  25. 前記シリコンゲルマニウム層は、約40原子%から約80原子%までのゲルマニウムを含む、請求項23に記載の半導体デバイス。
JP2020534321A 2017-12-20 2018-12-14 垂直型トランジスタのための自己整合された底部スペーサを形成する方法及び半導体デバイス Active JP7266352B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/848,861 US10276687B1 (en) 2017-12-20 2017-12-20 Formation of self-aligned bottom spacer for vertical transistors
US15/848,861 2017-12-20
PCT/IB2018/060082 WO2019123164A1 (en) 2017-12-20 2018-12-14 Formation of self-aligned bottom spacer for vertical transistors

Publications (2)

Publication Number Publication Date
JP2021507533A true JP2021507533A (ja) 2021-02-22
JP7266352B2 JP7266352B2 (ja) 2023-04-28

Family

ID=66248107

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020534321A Active JP7266352B2 (ja) 2017-12-20 2018-12-14 垂直型トランジスタのための自己整合された底部スペーサを形成する方法及び半導体デバイス

Country Status (6)

Country Link
US (3) US10276687B1 (ja)
JP (1) JP7266352B2 (ja)
CN (1) CN111480238B (ja)
DE (1) DE112018006487B4 (ja)
GB (1) GB2581116B (ja)
WO (1) WO2019123164A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10541273B2 (en) * 2017-11-28 2020-01-21 Sandisk Technologies Llc Vertical thin film transistors with isolation
US10276687B1 (en) 2017-12-20 2019-04-30 International Business Machines Corporation Formation of self-aligned bottom spacer for vertical transistors
US10930758B2 (en) * 2018-08-13 2021-02-23 International Business Machines Corporation Space deposition between source/drain and sacrificial layers
US20200135585A1 (en) * 2018-10-29 2020-04-30 International Business Machines Corporation Maskless top source/drain epitaxial growth on vertical transport field effect transistor
US20200135873A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Device variation control of vertical transport fin field effect transistor devices by selective oxide deposition for shallow trench isolation formation
US11309405B2 (en) * 2019-06-18 2022-04-19 Samsung Electronics Co., Ltd. Vertical field effect transistor device having protruded shallow trench isolation and method for manufacturing the same
US11355633B2 (en) * 2020-01-03 2022-06-07 International Business Machines Corporation Vertical field effect transistor with bottom source-drain region
US11515427B2 (en) * 2020-06-15 2022-11-29 International Business Machines Corporation Precise bottom junction formation for vertical transport field effect transistor with highly doped epitaxial source/drain, sharp junction gradient, and/or reduced parasitic capacitance
US11521894B2 (en) * 2020-07-18 2022-12-06 International Business Machines Corporation Partial wrap around top contact
CN112271161A (zh) * 2020-10-26 2021-01-26 上海华力集成电路制造有限公司 一种改善鳍式晶体管Fin尺寸的方法
US11757036B2 (en) 2021-07-29 2023-09-12 International Business Machines Corporation Moon-shaped bottom spacer for vertical transport field effect transistor (VTFET) devices
US20230107182A1 (en) * 2021-10-05 2023-04-06 International Business Machines Corporation Bottom Air Spacer by Oxidation
CN117135923B (zh) * 2023-10-23 2024-01-26 北京超弦存储器研究院 半导体结构及其制备方法、电子设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005322891A (ja) * 2004-03-25 2005-11-17 Commissariat A L'energie Atomique 少なくともその表面にゲルマニウムまたはケイ素−ゲルマニウム合金を持つ基板上において、高温酸化により二酸化ケイ素層を調製する方法
JP2006148066A (ja) * 2004-11-19 2006-06-08 Soi Tec Silicon On Insulator Technologies Sa ゲルマニウム・オン・インシュレータ(GeOI)型ウェーハの製造方法
JP2011238909A (ja) * 2010-04-19 2011-11-24 Imec 垂直tfetの製造方法
JP2012204648A (ja) * 2011-03-25 2012-10-22 Toshiba Corp 電界効果トランジスタ及びその製造方法
US20140225184A1 (en) * 2013-02-08 2014-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Inducing Strain in Vertical Semiconductor Columns
JP2017152745A (ja) * 2010-12-01 2017-08-31 インテル コーポレイション シリコン及びシリコンゲルマニウムのナノワイヤ構造

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090166770A1 (en) * 2008-01-02 2009-07-02 International Business Machines Corporation Method of fabricating gate electrode for gate of mosfet and structure thereof
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US9171715B2 (en) * 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US9093326B2 (en) 2013-10-21 2015-07-28 International Business Machines Corporation Electrically isolated SiGe fin formation by local oxidation
US9112033B2 (en) * 2013-12-30 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9379218B2 (en) * 2014-04-25 2016-06-28 International Business Machines Corporation Fin formation in fin field effect transistors
US9577101B2 (en) * 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
US9530700B1 (en) 2016-01-28 2016-12-27 International Business Machines Corporation Method of fabricating vertical field effect transistors with protective fin liner during bottom spacer recess etch
US9711618B1 (en) 2016-03-31 2017-07-18 International Business Machines Corporation Fabrication of vertical field effect transistor structure with controlled gate length
US9728466B1 (en) 2016-04-28 2017-08-08 International Business Machines Corporation Vertical field effect transistors with metallic source/drain regions
US9755073B1 (en) 2016-05-11 2017-09-05 International Business Machines Corporation Fabrication of vertical field effect transistor structure with strained channels
US9627511B1 (en) 2016-06-21 2017-04-18 International Business Machines Corporation Vertical transistor having uniform bottom spacers
US9773875B1 (en) 2016-07-20 2017-09-26 International Business Machines Corporation Fabrication of silicon-germanium fin structure having silicon-rich outer surface
US9911804B1 (en) * 2016-08-22 2018-03-06 International Business Machines Corporation Vertical fin field effect transistor with air gap spacers
US9647112B1 (en) 2016-09-22 2017-05-09 International Business Machines Corporation Fabrication of strained vertical P-type field effect transistors by bottom condensation
US9741716B1 (en) 2016-09-23 2017-08-22 International Business Machines Corporation Forming vertical and horizontal field effect transistors on the same substrate
US9773901B1 (en) 2016-10-26 2017-09-26 International Business Machines Corporation Bottom spacer formation for vertical transistor
US10276687B1 (en) 2017-12-20 2019-04-30 International Business Machines Corporation Formation of self-aligned bottom spacer for vertical transistors

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005322891A (ja) * 2004-03-25 2005-11-17 Commissariat A L'energie Atomique 少なくともその表面にゲルマニウムまたはケイ素−ゲルマニウム合金を持つ基板上において、高温酸化により二酸化ケイ素層を調製する方法
JP2006148066A (ja) * 2004-11-19 2006-06-08 Soi Tec Silicon On Insulator Technologies Sa ゲルマニウム・オン・インシュレータ(GeOI)型ウェーハの製造方法
JP2011238909A (ja) * 2010-04-19 2011-11-24 Imec 垂直tfetの製造方法
JP2017152745A (ja) * 2010-12-01 2017-08-31 インテル コーポレイション シリコン及びシリコンゲルマニウムのナノワイヤ構造
JP2012204648A (ja) * 2011-03-25 2012-10-22 Toshiba Corp 電界効果トランジスタ及びその製造方法
US20140225184A1 (en) * 2013-02-08 2014-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Inducing Strain in Vertical Semiconductor Columns

Also Published As

Publication number Publication date
US10439043B2 (en) 2019-10-08
WO2019123164A1 (en) 2019-06-27
JP7266352B2 (ja) 2023-04-28
DE112018006487B4 (de) 2021-11-18
CN111480238B (zh) 2023-09-15
GB202008885D0 (en) 2020-07-29
CN111480238A (zh) 2020-07-31
GB2581116A (en) 2020-08-05
US10276687B1 (en) 2019-04-30
US20190319114A1 (en) 2019-10-17
US20190189774A1 (en) 2019-06-20
DE112018006487T5 (de) 2020-11-05
US10749012B2 (en) 2020-08-18
GB2581116A8 (en) 2020-09-30
GB2581116B (en) 2021-03-03

Similar Documents

Publication Publication Date Title
JP7266352B2 (ja) 垂直型トランジスタのための自己整合された底部スペーサを形成する方法及び半導体デバイス
US10504890B2 (en) High density nanosheet diodes
US10243060B2 (en) Uniform low-k inner spacer module in gate-all-around (GAA) transistors
CN103311185B (zh) 制造混合高k/金属栅堆叠件的方法
KR101697826B1 (ko) 콘택 구조체들 및 이들을 형성하는 방법들
US10535570B1 (en) Cointegration of III-V channels and germanium channels for vertical field effect transistors
US11038055B2 (en) Method and structure of improving contact resistance for passive and long channel devices
CN110838487B (zh) 半导体器件及方法
US10249540B2 (en) Dual channel CMOS having common gate stacks
JP7051902B2 (ja) 縦型トランジスタのための自己整列接点プロセスにより形成される埋め込み下部金属接点
JP7018963B2 (ja) Vfetアーキテクチャ内の超長チャネル・デバイス
CN106409679A (zh) 具有掺杂的隔离绝缘层的鳍式场效应晶体管
AU2020423612B2 (en) Nanosheet transistor with self-aligned dielectric pillar
US11587837B2 (en) Oxygen vacancy passivation in high-k dielectrics for vertical transport field effect transistor
US20200273756A1 (en) Vertical transistor having reduced edge fin variation
US10950506B2 (en) Forming single and double diffusion breaks
US10937703B2 (en) Field-effect transistor having dual channels
US10978572B2 (en) Self-aligned contact with metal-insulator transition materials
US9443977B1 (en) FinFET with reduced source and drain resistance
KR20230009808A (ko) 반도체 디바이스의 게이트 구조체 및 그 형성 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200820

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210525

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220502

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220630

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221205

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230322

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20230322

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230412

R150 Certificate of patent or registration of utility model

Ref document number: 7266352

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150