CN1961405A - 去除化学氧化物的系统的操作方法 - Google Patents

去除化学氧化物的系统的操作方法 Download PDF

Info

Publication number
CN1961405A
CN1961405A CNA2004800380518A CN200480038051A CN1961405A CN 1961405 A CN1961405 A CN 1961405A CN A2004800380518 A CNA2004800380518 A CN A2004800380518A CN 200480038051 A CN200480038051 A CN 200480038051A CN 1961405 A CN1961405 A CN 1961405A
Authority
CN
China
Prior art keywords
processing
substrate
control
temperature
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800380518A
Other languages
English (en)
Other versions
CN1961405B (zh
Inventor
友安昌幸
梅里特·拉尼·芬克
凯文·奥古斯丁·品托
小田桐正弥
陈廉明
山下朝夫
岩见显
高桥宏幸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1961405A publication Critical patent/CN1961405A/zh
Application granted granted Critical
Publication of CN1961405B publication Critical patent/CN1961405B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Electrochemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了一种用于化学氧化物去除(COR)的处理系统和方法,其中处理系统包括第一处理室和第二处理室,其中第一和第二处理室彼此相互耦合。第一处理室包括化学处理室,其提供被控温的室以及用于支持进行化学处理的衬底的被单独控温的衬底支撑物。衬底在包括表面温度和气体压强的被控条件下,暴露给例如HF/NH3的气体化学物质。第二处理室包括提供了被控温的室的热处理室,其与化学处理室热绝缘。热处理室提供了用于控制衬底温度的衬底支撑物,以对衬底上经化学处理过的表面进行热处理。

Description

去除化学氧化物的系统的操作方法
本申请是基于2003年12月17日递交的美国非临时专利申请No.10/736,983,并享受其优先权,其内容通过全文引用结合于此。
相关申请的交叉参考
本申请与下列申请有关:2003年3月17日递交的题为“ProcessingSystem and Method For Treating a Substrate”的共同待决美国临时申请No.60/454,597;2003年3月17日递交的题为“Processing System and MethodFor Chemically Treating a Substrate”的共同待决美国临时申请No.60/454,642;2003年3月17日递交的题为“Processing System and MethodFor Thermally Treating a Substrate”的共同待决美国临时申请No.60/454,641;以及2003年3月17日递交的题为“Method and Apparatus ForThermally Insulating Adjacent Temperature Controlled Chambers”的共同待决美国临时申请No.60/454,644。以上这些申请的全部内容通过全文引用结合于此。
技术领域
本发明涉及用于处理衬底的系统和方法,更具体地说,涉及用于衬底的化学和热处理的系统和方法。
背景技术
在半导体处理过程中,(干性)等离子刻蚀处理可以被用于去除或刻蚀沿着精确线条或者在被图案化在硅衬底上的通孔或触点内的材料。等离子刻蚀处理通常涉及将半导体衬底与覆在上面的图案化的保护层放置在处理室中,所述保护层例如是光刻胶层。一旦衬底被置于室内,在室内就以预先指定的流率注入可电离的离解气体混合物,同时真空泵被节流以获得环境处理气压。此后,当这里的一部分气体物质被电子电离时,形成了等离子体,所述电子经电感性或电容性射频(RF)功率传递或者例如使用电子回旋共振(ECR)的微波功率传递而被加热。而且,被加热的电子用于离解环境气体物质中的某些物质,并创建适合于暴露表面刻蚀化学特性的反应物物质。一旦形成了等离子体,就通过等离子体刻蚀衬底的被选表面。调整该处理以获得合适的条件,包括用于刻蚀衬底的被选区域中的各种特征结构(例如,沟槽、通孔、触点、栅等)的反应物和离子群的合适的浓度。需要刻蚀的衬底材料包括二氧化硅(SiO2)、低k电介质材料、多晶硅和氮化硅。
在材料处理过程中,刻蚀这些特征结构通常包括将在掩膜层内形成的图案转移到各个特征结构形成于其内的下层膜上。掩膜可以包括例如(负性或正性)光刻胶的光敏性材料,包括例如光刻胶和抗反射涂层(ARC)这样的层的多个层,或者通过从例如光刻胶层的第一层向下面的硬质掩膜层的图案转移而形成的硬质掩膜。
发明内容
本发明涉及用于处理衬底的系统和方法,并且涉及用于化学和热处理衬底的系统和方法。
在本发明的一个方面中,处理系统被描述为在衬底上进行材料去除,包括第一处理系统和第二处理系统,其中第一和第二处理系统彼此相耦合。第一处理系统包括化学处理系统,其中化学处理系统包括化学处理室、衬底支撑物、耦合到化学处理室的真空泵浦系统和用于将处理气体引入到化学处理室的气体分配系统。第二处理系统包括热处理系统,其中热处理系统包括温度被控制的热处理室、安装在热处理室内的衬底支撑物和耦合到热处理室的真空泵浦系统。任一个室中的被选择元件都可以被控温。
此外,描述了一种操作处理系统来处理衬底的方法。该方法包括:将衬底转移到化学处理;进行以下操作中的至少一个:为化学处理系统设置、监视和调节一个或多个化学处理参数,其中所述一个或多个化学处理参数包括化学处理的处理压强、化学处理室温度、化学处理气体分配系统温度、化学处理衬底温度、化学处理衬底支撑物温度以及化学处理气体流率中的至少一个;使用一个或多个化学处理参数处理化学处理系统中的衬底;将衬底转移进热处理系统中;进行以下操作中的至少一个:为热处理系统设置、监视和调节一个或多个热处理参数,其中所述一个或多个热处理参数包括热处理的处理压强、热处理室温度、热处理衬底温度、热处理衬底支撑物的温度以及热处理气体流率中的至少一个;使用一个或多个热处理参数处理热处理系统中的衬底。
附图说明
在附图中:
图1示出了根据本发明实施例的处理系统的示例性框图;
图2示出了根据本发明实施例的用于操作处理系统的方法的示例性流程图;
图3示出了根据本发明实施例的调整(trimming)测量的示例性示图;
图4示出了根据本发明实施例的配方(recipe)的示例性示图;
图5示出了根据本发明实施例的简单方框(bin)算法的示例性示图;
图6示出了根据本发明实施例的配方范围屏幕的示例性示图;
图7示出了根据本发明实施例的控制配方屏幕的示例性示图;
图8示出了根据本发明实施例的控制策略屏幕的示例性示图;
图9A~图9C示出了根据本发明实施例的控制方案屏幕的示例性示图;
图10示出了根据本发明实施例的填框表(binning table)屏幕的示例性示图;
图11示出了根据本发明实施例的控制状态屏幕的示例性示图;
图12示出了根据本发明实施例的状态屏幕的示例性示图;
图13示出了根据本发明实施例的模块配置屏幕的示例性示图;
图14示出了根据本发明实施例的数据采集方案配置屏幕的示例性示图;
图15示出了根据本发明实施例的处理系统的示意性截面图;
图16示出了根据本发明实施例的化学处理系统的示意性截面图;
图17示出了根据本发明实施例的热处理系统的示意性截面图;以及
图18示出了根据本发明实施例的气体分配系统的示意性截面图。
具体实施方式
在材料处理方法中,图案刻蚀包括将例如光刻胶的光敏性材料薄层涂敷于衬底的上表面,之后其被图案化以提供用于在刻蚀过程中将该图案转移到下层材料的掩膜。光敏材料的图案化通常包括例如使用微光刻(micro-lithography)系统用辐射源经光敏材料刻线(和相关的光学系统)曝光,之后使用显影溶剂去除光敏材料的被照射区域(在正性光刻胶的情况下)或未被照射的区域(在负性光刻胶的情况下)。
此外,可以实现多层和硬质掩膜来刻蚀材料中的特征结构。例如,当使用硬质掩膜刻蚀材料中的特征结构时,在对材料的主要刻蚀步骤之前使用单独的刻蚀步骤将光敏层中的掩膜图案转移到硬质掩膜层。例如,硬质掩膜可以从用于硅处理的几种材料中进行选择,这些材料例如包括二氧化硅(SiO2)、氮化硅(Si3N4)和碳。
图1示出了根据本发明实施例的处理系统的示例性框图。在所示出的实施例中,处理系统100包括加工设备系统(MES)110、耦合到MES110和处理工具130的工具级(TL)控制器120,以及耦合到MES 110、TL控制器120和处理工具130的运行间(Run-To-Run,R2R)控制器190。另外,MES 110、TL控制器120、处理工具130和R2R控制器190中的至少一个可以包括GUI组件和/或数据库组件(未示出)。在替代实施例中,不需要GUI组件和/或数据库组件。
TL控制器120和/或R2R控制器190可以从工厂系统110得到一些设置和/或配置信息。工厂级事务规则(business rules)可以被用于建立控制等级。例如,TL控制器120和/或R2R控制器190可以独立地工作,或者可以在某种程度上由工厂系统110控制。而且,工厂级事务规则可以被用于确定何时过程被暂停和/或停止,以及当过程暂停和/或停止时要做什么。另外,工厂级事务规则可以被用于确定何时要改变过程以及如何改变过程。
事务规则可以被用于指定常规过程所采取的动作和在异常情况下所采取的动作。这些动作可以包括:初始模型装载、刻蚀前测量数据(metrology data)过滤、控制器配方选择、刻蚀后测量数据过滤、反馈(F/B)计算和R2R模型更新。
可以在控制策略级、控制方案级或控制模型级中定义事务规则。无论何时遇到了特定的上下文(context),都可以安排执行事务规则。当在较高级和较低级遇到匹配的上下文时,可以执行与较高级相关联的事务规则。图形用户界面(GUI)屏幕可以被用于定义和维护这些事务规则。可以对具有比正常级别高的安全级别的用户允许事务规则的定义和分配。事务规则可以在数据库中进行维护。可以提供关于如何定义、分配和维护事务规则的文档和帮助屏幕。
MES 110可以使用从与TL控制器120和/或R2R控制器190相关联的数据库报告来的数据来监视某些系统过程。工厂级事务规则可以被用于确定监视哪些过程以及使用哪些数据。例如,TL控制器120和/或R2R控制器190可以独立地采集数据或者数据采集过程可以在某种程度上由工厂系统110控制。而且,工厂级事务规则可以被用于确定当过程改变、暂停和/或停止时如何管理数据。
而且,MES 110可以向TL控制器120和/或R2R控制器190提供运行时配置信息。例如,在运行时,可以将设置、目标、限制、规则和算法从工厂下载到TL控制器120和/或R2R控制器190,作为“高级过程控制(APC)配方”、“APC系统规则”和“APC配方参数”。
一些设置和/或配置信息在系统对其进行初始配置时,可以通过TL控制器120和/或R2R控制器190确定。系统级事务规则(系统规则)可以被用于建立控制等级。例如,TL控制器120和/或R2R控制器190可以独立地工作,或者TL控制器120可以在某种程度上由R2R控制器190控制。而且,系统规则可以被用于确定何时暂停和/或停止过程,以及当过程被暂停和/或停止时要做什么。另外,系统规则可以被用于确定何时改变过程以及如何改变过程。此外,TL控制器120可以使用工具级规则来控制某些工具级的操作。
一般来说,规则使得系统和/或工具的操作基于系统的动态状态而变化。
在图1中,示出了一个R2R控制器190、一个处理工具130和一个TL控制器120,但是对于本发明这不是必要的。除了独立的处理工具和模块以外,半导体处理系统可以包括具有与其相关联的任意数目的R2R控制器的任意数目的处理子系统。
除了任意数目的独立处理工具和模块以外,TL控制器120可以被用于配置具有与其相关联的任意数目的处理子系统的任意数目的处理工具。TL控制器120可以采集、提供、处理、存储和显示来自涉及处理工具、处理子系统、处理模块和传感器的过程的数据。
TL控制器120可以包括许多应用,包括至少一个与工具相关的应用、至少一个与模块相关的应用、至少一个与传感器相关的应用、至少一个与接口相关的应用、至少一个与数据库相关的应用、至少一个与GUI相关的应用以及至少一个配置应用。
例如,TL控制器120和R2R控制器190可以支持Tokyo ElectronLimited的APC系统,该系统可以包括Unity工具、Telius工具和/或Trias工具以及它们的相关处理子系统和处理模块。或者,TL控制器120可以支持其他的处理工具和其他的处理模块。
GUI组件可以为使用界面提供方便,所述界面使得用户能够:查看工具状态和处理模块状态;为所选择的晶片创建和编辑总的和原始的(跟踪)参数数据的x-y图表;查看工具警报日志;配置指定用于将数据写入数据库或者输出文件的条件的数据采集方案;将文件输入到统计过程控制(SPC)制图、建模和制表程序中;检查特定晶片的晶片处理信息,并且回顾当前被保存到数据库中的数据;创建并编辑处理参数的SPC图表,并且设置产生e-mail警告的SPC警报;运行多变量的主元分析(PCA)和/或局部最小二乘(PLS)模型;和/或查看诊断屏幕以便利用TL控制器120排查和报告问题。
来自工具的原始数据和跟踪数据可以作为文件存储在数据库122中。另外,集成测量(IM)数据和主机测量数据可以被存储在数据库122中。数据量依赖于被配置的数据采集方案以及执行过程和运行处理工具的频率。从处理工具、处理室、传感器和操作系统获得的数据可以被存储在数据库中。
在图1中所示出的实施例中,示出了单个客户端工作站112,但是对于本发明这不是必须的。该系统可以支持多个客户端工作站112。在一个实施例中,客户端工作站112允许用户执行配置过程;查看状态,所述状态包括工具、R2R控制器、过程和工厂的状态;查看当前数据和历史数据;执行建模和制图功能;和/或将数据输入到R2R控制器。例如,用户可以被提供有管理的权力,允许其控制由R2R控制器执行的一个或多个过程。
R2R控制器190包括用于耦合到与在这个过程之前进行的过程相关联的至少一个其他R2R控制器的链接192,和用于耦合到与在这个过程之后进行的过程相关联的至少一个其他R2R控制器的链接194。链接192和链接194可以被用于对信息进行前馈和/或反馈。
R2R控制器190被耦合到MES 110,并且可以是电子诊断系统的一部分。R2R控制器190可以与工厂系统交换信息。另外,MES 110可以向R2R控制器190发送命令和/或覆写(override)信息。例如,MES 110可以向R2R控制器前馈用于任何数目的处理模块、工具和测量设备的可下载配方,每个配方都有可变参数。可变参数可以包括最终的关键尺寸(CD)目标、界限、偏移以及在工具级系统中的需要逐批次(lot)调节的变量。而且,工厂光刻(litho)CD测量数据可以被前馈给R2R控制器190。
此外,MES 110可以被用于向R2R控制器提供测量数据,例如CD扫描电子显微镜(SEM)信息。或者,可以人工地提供CD SEM信息。调节因子可以被用于调节IM和CD SEM测量之间的任何偏移。人工和自动输入的CD SEM数据包括用于适当地插入到R2R控制器中的反馈(FB)控制循环的历史中的时间戳,例如日期。
可配置项可以被配置为使用普通设备模型/SEMI设备通信标准(GEMSECS)通信协议的从工厂系统发送的一组可变参数。例如,可变参数可以作为“APC配方”的一部分被传送。APC配方可以包含多于一个的子配方,并且每个子配方可以包含可变参数。
R2R控制器190被耦合到处理工具130和TL控制器120。信息可以包括反馈和前馈数据。例如,当从工具产生内部复位事件时,R2R控制器190可以向MES 110发送消息,例如警报。这将使得工厂系统在发生了重要改变(例如那些在纠正或预防性维护期间发生的改变)之后进行必要的改变,以最小化危险晶片的数目。
在所示出的实施例中,被示出的处理工具130包括第一集成测量模块(IMM)132、处理子系统150以及第二IMM 134,但是对于本发明这不是必须的。或者,可以使用其他的配置。
图1中还示出了单个R2R控制器190,但对于本发明这不是必须的。或者,可以使用另外的R2R控制器。例如,R2R控制器190可以包括至少一个前馈(FF)控制器、处理模型控制器、反馈(FB)控制器和过程控制器(在图1中都没有示出)。
在所示出的实施例中,开始事件102为第一IMM 132提供输入。例如,开始事件可以是来自主机的消息,其包括数据和/或控制消息。第一IMM 132被耦合到R2R控制器190和处理子系统150。处理子系统150被耦合到R2R控制器190和第二IMM 134。第二IMM 134被耦合到R2R控制器190。
处理子系统150可以包括第一缓冲模块152、化学氧化物去除(COR)模块154、后热处理(PHT)室156和第二缓冲模块158。COR模块执行COR处理的第一步。第一步可以是处理气体混合物(例如HF和氨气以及二氧化硅)之间的反应,该反应在晶片的表面上形成固体反应产物。位于COR模块之后的PHT模块执行COR处理的第二步。这个步骤通过加热晶片使得固体反应产物蒸发。
处理子系统150可以包括处理舱(ship),其可以包括COR模块、PHT模块和缓冲(LL)模块。可以使用分离的处理配方(COR模块、PHT模块和缓冲(LL)模块)对处理系统进行控制。
例如,缓冲模块可以包括用于在COR模块、PHT模块和缓冲(LL)模块以及另一模块之间转移晶片的转移系统,所述另一模块例如是另一转移系统模块。这些处理模块可以被包括作为TL控制器要控制的另外的模块类型。
处理子系统可以使用COR配方来起动处理过程,并且COR配方可以开始于衬底被转移到COR模块时。例如,可以通过置于衬底支撑物内的升降销接收衬底,并且衬底可以被降至衬底支撑物上。之后,可以使用例如静电夹持系统的夹持系统将衬底固定到衬底支撑物上,并且热传递气体可以被送到衬底的背部。
接下来,COR配方可以被用于为衬底的化学处理设置一个或多个化学处理参数,并且这些参数可以包括以下参数中的至少一个:化学处理的处理压强、化学处理的壁温度、化学处理的衬底支撑物温度、化学处理的衬底温度、化学处理的气体分配系统温度、化学处理的处理化学物质(包括处理气体和流体)。然后,衬底可以在第一时段进行化学处理。例如,第一时段的范围可以是30秒到360秒。
接下来,可以将衬底从化学处理室转移到PHT模块。在这段时间中,可以移去衬底夹具,并且可以终止热传递气体向衬底背部的流动。可以使用置于衬底支撑物内的升降销部件,将衬底垂直地从衬底支撑物提升到转移平面。转移系统可以从升降销上接收衬底,并且可以将衬底置于PHT模块内。在PHT模块中,衬底升降部件可以从转移系统接收衬底,并且将衬底降至衬底支撑物上。
然后,PHT配方可以被用于为衬底的热处理设置可以通过PHT模块设置的一个或多个热处理参数,并且可以在第二时段对衬底进行热处理。例如,所述一个或多个热处理参数可以包括以下参数中的至少一个:热处理的壁温度、热处理的上部部件的温度、热处理的衬底温度、热处理的衬底支撑物温度、热处理的衬底温度、热处理的处理压强、热处理的处理化学物质(包括处理气体和流体)。例如,第二时段的范围可以是30秒到360秒。
在示例性过程中,处理子系统150可以是用于调整氧化物硬质掩膜的化学氧化物去除(COR)系统。在另一示例性过程中,可以使用COR处理对氧化的可调抗蚀ARC(TERA)膜进行调整。处理子系统150包括用于对衬底上的暴露表层(例如氧化物表层)进行化学处理的COR模块154,因而暴露表面上的处理化学物质的吸附会影响表层的化学变化。此外,处理子系统150包括用于对衬底进行热处理的PHT模块156,因而衬底的温度被升高以释放(或蒸发)衬底上经化学变化的暴露表层。
例如,COR模块可以使用包括HF和NH3的处理气体,并且处理压强的范围可以是近似1mTorr到近似100mTorr,并且例如范围可以是近似2mTorr到近似25mTorr。对每种物质,处理气体的流率的范围可以是近似1sccm到近似200sccm,并且例如其范围可以是近似10sccm到近似100sccm。另外,可以获得均匀(三维)压场。此外,COR模块室可以被加热到范围从30℃到100℃的温度,并且例如该温度可以近似为40℃。此外,气体分配系统可以被加热到范围从近似40℃到近似100℃的温度,并且例如其温度可以近似为50℃。衬底可以保持在范围从近似10℃到近似50℃的温度,并且例如衬底温度可以近似为20℃。
另外,在PHT模块中,热处理室可以被加热到范围从近似50℃到近似100℃的温度,并且例如其温度可以近似为80℃。此外,上部部件可以被加热到范围从近似50℃到近似100℃的温度,并且例如该温度可以近似为80℃。衬底可以被加热到超过近似100℃的温度。或者,衬底可以在范围从近似100℃到近似200℃的温度上被加热,并且例如该温度可以近似为135℃。
这里所描述的COR和PHT处理可以产生每60秒对热氧化物的化学处理,超过近似10nm的对被暴露的氧化物表层的刻蚀量,每180秒对热氧化物的化学处理,超过近似25nm的对被暴露的氧化物表层的刻蚀量,每180秒对TEOS的化学处理,超过近似10nm的对被暴露的氧化物表层的刻蚀量。处理也可能产生少于近似2.5%的衬底上的刻蚀变化。
开始事件102可以是晶片放入事件,并且开始事件可以包括与进入的晶片相关联的数据。该数据可以包括批次数据、批(batch)数据、运行数据、组分数据以及晶片历史数据。或者,开始事件可以是不同的与处理有关的事件。
第一IMM 132可以提供处理前测量数据,该数据可以被用于为晶片建立输入状态。第一IMM 132可以将处理前测量数据的第一部分提供(前馈)给R2R控制器190,并且可以将处理前测量数据的第二部分提供给处理子系统150。或者,这两个部分可以包括相同的数据。第一IMM 132可以包括单个IMM或多个测量设备。第一IMM 132可以包括与模块有关的测量设备、与工具有关的测量设备以及外部测量设备。例如,可以从耦合到一个或多个处理模块的传感器和耦合到处理工具的传感器得到数据。另外,可以从例如SEM工具和光数字形貌测量(Optical Digital Profiling,ODP)工具之类的外部设备获得数据。Timbre Technologies Inc.(TEL公司)可以提供ODP工具,该公司提供了用于测量半导体设备中的特征结构形貌的专利技术。
R2R控制器190可以使用测量到的输入材料的关键尺寸(输入状态)和目标关键尺寸(理想状态)之间的差异来预计、选择或计算一组处理参数,以获得将晶片的状态从输入状态变到理想状态的理想结果。例如,预计的这一组处理参数可以是基于输入状态和希望状态的要使用的配方的第一估计。在一个实施例中,例如输入状态和/或希望状态数据的数据可以从主机得到。
在一种情况下,R2R控制器190知道晶片的输入状态和希望状态,并且R2R控制器190确定可以在晶片上执行以将晶片从输入状态变到希望状态的一组配方。例如,这组配方可以描述包括一组处理模块的多步处理。
R2R控制器190可以使用基于表格的技术,并且用于确定何时在技术之间进行切换的规则可以基于以下至少一个:输入范围、输出范围、晶片类型、处理类型、模块类型、工具类型、晶片状态和处理状态。例如,该配方可以放在表格中,并且R2R控制器190进行对表格的查找以确定使用哪个或哪些配方。
当R2R控制器使用基于表格的技术时,前馈控制变量可以是可配置的。例如,在表格中变量可以是常数或系数。另外,可以有多个表格,并且用于确定何时在表格之间进行切换的规则可以基于输入范围或输出范围。
R2R控制器的时间常数是基于测量之间的时间。当一个批次被完成以后测量到的数据可用时,R2R控制器的时间常数可以是基于批次之间的时间。当晶片被完成以后测量到的数据可用时,R2R控制器的时间常数可以是基于晶片之间的时间。在一个晶片内,当在处理期间实时地提供测量数据时,R2R控制器的时间常数可以是基于处理步骤。当在晶片被处理的同时或晶片被完成以后或批次被完成以后测量到的数据可用时,R2R控制器可以具有多个时间常数,这些时间常数可以是基于处理步骤之间的时间、晶片之间的时间和/或批次之间的时间。
一个或多个R2R控制器可以工作在任意的时刻。例如,一个R2R控制器可以处在工作模式而第二个R2R控制器可以处在监视模式。此外,另一个R2R控制器可以工作在仿真模式。R2R控制器可以包括单个循环或多个循环,并且这些循环可以具有不同的时间常数。例如,循环可能取决于晶片定时、批次定时、批定时、室定时、工具定时和/或工厂定时。
R2R控制器可以工作为单输入单输出(SISO)设备、单输入多输出(SIMO)设备、多输入单输出(MISO)设备和多输入多输出(MIMO)设备。另外,输入和输出可以在R2R控制器内和/或一个或多个R2R控制器之间。例如,当正在使用例如CD和侧壁角之类的多个输入时,输入和输出可以在两个模块之间前馈和反馈(即一个用于CD控制,一个用于侧壁角控制)。另外,也可以使用掩膜开口控制器。在包括多个模块的多处理的情况下,信息可以从一个R2R控制器前馈或反馈到另一个R2R控制器。
处理子系统150可以包括以下至少一个:刻蚀模块、沉积模块、抛光模块、涂覆模块、显影模块和热处理模块。
当处理工具和/或处理模块将数据发送给数据库时,该数据可以被R2R控制器访问。例如,该数据可以包括工具跟踪数据、维护数据和EPD数据。跟踪数据可以提供关于处理的重要信息。在处理期间或完成了对晶片的处理以后,可以更新并存储跟踪数据。
R2R控制器190可以基于输入状态、处理特性和处理模型来计算晶片的预计状态。例如,可以使用调整率模型结合处理时间来计算预计的调整量。或者,可以使用刻蚀率模型结合处理时间来计算刻蚀深度,并且可以使用沉积率模型结合处理时间来计算沉积厚度。而且,模型可以包括SPC图表、PLC模型、PCA模型、适宜距离相关(FDC)模型和多变量分析(MVA)模型。
R2R控制器可以接收并利用从外部提供的处理模块中的处理参数界限的数据。例如,R2R控制器GUI组件提供用于人工输入处理参数界限的装置。另外,工厂级控制器可以为每个处理模块提供处理参数的界限。
R2R控制器可以接收并执行可购买到的建模软件所创建的模型。例如,R2R控制器可以接收并执行通过外部应用软件创建并且发送给控制器的模型(PLS、PCA等)。
第二IMM 134可以提供处理后测量数据,该数据可以用于建立晶片的输出状态。第二IMM 134可以将处理后测量数据的第一部分提供(反馈)给R2R控制器190,并且可以随着晶片输出事件104,将处理后的测量数据的第二部分提供给数据库。或者,这两部分可以包括相同的数据。第二IMM 134可以包括单个IMM或者多个测量设备。第二IMM 134可以包括与处理模块相关的测量设备,与工具相关的测量设备以及外部测量设备。例如,可以从耦合到一个或多个处理模块的传感器和耦合到处理工具的传感器获得数据。另外,可以从例如SEM工具、光发射谱(OES)工具和ODP工具之类的外部设备获取数据。
R2R控制器190可以使用来自第二IMM 134的处理后测量数据来计算第一组处理偏差。所计算的这一组处理偏差可以基于预期的晶片状态和从处理后测量数据确定的晶片的输出状态来确定。在一种情况下,R2R控制器190知道晶片的希望状态和输出状态,并且R2R控制器190确定希望状态和输出状态之间的差异。以这种方式,测量到的实际处理结果与希望的处理结果进行对比,以确定对处理配方的修正。在另一种情况下,R2R控制器190知道晶片的预期状态和输出状态,并且R2R控制器190确定预期状态和输出状态之间的差异。以这种方式,测量到的实际处理结果与预期的测量结果进行对比,以确定对处理模型的修正。
在某些情况下,R2R控制器不需要使用查找表方法来调整目标CD。例如,可以用工厂提供的目标CD和过滤后的测量CD之间的简单差量作为修正。
模型更新是另一种形式的反馈,其可以通过运行监控晶片、改变处理设置、观察结果,然后更新模型而发生。例如,可以每N个处理时间通过测量监控晶片之前和之后的膜特性来进行模型更新。通过随时间改变设置来检查不同的操作区域,可以验证时间上的完整的操作空间,或者一次运行具有不同配方设置的若干监视晶片。模型更新可以发生在工具的或者工厂的R2R控制器内,使得工厂控制能够管理监控晶片和模型更新。
R2R控制器190为下一个晶片计算更新后的配方。在一种情况下,R2R控制器190可以使用前馈信息、建模信息和反馈信息来确定在运行当前晶片之前是否改变当前的配方。在另一种情况下,R2R控制器190可以使用前馈信息、建模信息和反馈信息来确定在运行下一个晶片之前是否改变当前的配方。或者,R2R控制器190可以使用前馈信息、建模信息和反馈信息来确定在运行下一批次之前是否改变当前的配方。
R2R控制器190可以包括一个或多个过滤器(未示出)来过滤测量数据以去除随机噪声。例如,过滤器可以被应用于控制器的输入或输出。在一种情况下,过滤器可以被应用于输入变量进行过滤,而不考虑控制方法(即不依赖于使用查找表)。这也使得控制器能够在控制范围内改变输出变量,例如以较小的步进值来改变流率,然后改变气压并且步进式地改变流率。
可以使用异常值(outlier)过滤器去除那些静态无效的并且在计算晶片测量的平均值时不应当被考虑的异常值。异常值过滤器可以被用于从平均值中去除较高和较低的异常值。例如,可以将盒须(box and whisker)法应用于地点(site)测量数据。这个方法很有效,并且维护简单且没有绝对的限制,允许一组过滤界限被应用于一组可变的输入CD平均数据(在不影响过滤器界限的情况下目标可以变化)并且容易直观化。有了异常值过滤器,需要维护另外的规则(静态地表示晶片的晶片中的最少数目的点和表示批次的最少数目的晶片)。
可以使用噪声过滤器来去除随机噪声并且稳定控制循环,可以应用指数加权移动平均(EWMA)或卡尔曼过滤器。当使用了过滤器时,必须设置过滤器的时间常数(在EWMA的情况下,lambda为时间常数)。例如,按照处理日期和时间,可以使用每次完整的历史记录来进行EWMA计算,以捕获未按顺序添加的数据点。
R2R控制器可以接收并利用前馈数据。例如,R2R控制器可以接收关于要处理的输入材料和希望的处理结果(目标CD)的信息,并且R2R控制器可以提供一组配方参数以获得希望的处理结果。R2R控制器可以接收并使用反馈数据。例如,R2R控制器可以接收关于已经被处理的材料的信息并且基于该数据调整处理模型。R2R控制器可以接收并使用被延迟的反馈数据。例如,R2R控制器可以接收关于已经被处理的材料的信息,并且基于这个数据调整处理模型,即使该数据没有按其被工具处理的顺序被接收。R2R控制器可以接收并使用人工输入的用于配置和控制控制器的数据。例如,R2R控制器的GUI组件提供了用于人工输入控制器配置信息的装置。
R2R控制器可以发送并接收异常情况的通知。例如,R2R控制器可以向工厂级控制器或工具级控制器发送通知,并从工厂级控制器或工具级控制器接收通知。另外,在识别到异常情况以后,可以经电子诊断网络、电子邮件或寻呼机发送通知。
R2R控制器可以运行在仿真模式。例如,R2R控制器可以工作在与实际处理模式并行的仿真模式中。在这种情况下,被仿真的动作可以被记录在R2R控制器日志和历史数据库中,并且不立即采取行动。
处理模型不仅为气体流率提供输入参数,而且为气体流率比提供输入参数。例如,R2R控制器可以计算并建立气流比并且调整组合气体的总流。
R2R控制器可以基于输入的材料情况选择处理模型。例如,R2R控制器可以基于输入的材料状态和处理配方选择处理模型。R2R控制器可以包括用于验证系统能计算有效R2R设置的装置。例如,R2R控制器可以包括在批次开始之前验证配方参数设置的装置。R2R控制器可以包括使用配方设定点的缺省设置的装置。例如,当R2R控制器不能为特定的晶片提供配方参数时,可以使用“标称”配方中的配方参数。
R2R控制器可以包括用于将输入和输出数据存档的数据库组件。例如,R2R控制器可以将接收到的输入、发送的输出以及控制器所采取的动作存在可搜索的数据库中。另外,R2R控制器可以包括用于数据备份和恢复的装置。而且,可搜索的数据库可以包括模型信息、配置信息和历史信息,并且R2R控制器可以使用数据库组件来备份和恢复历史的和当前的模型信息和模型配置信息。
R2R控制器可以包括基于web的用户接口。例如,R2R控制器可以包括使能web的GUI组件,以查看数据库中的数据。R2R控制器可以包括安全组件,其可以依赖于安全管理者给予的许可,提供多级访问。R2R控制器可以包括在安装时提供的一组缺省模型,以使得R2R控制器可以复位到缺省状态。
R2R控制器可以采取各种动作对异常作出响应,这取决于异常的性质。例如,异常情况可以包括:丢失测量到的数据、丢失目标CD、测量错误、配方参数界限被超出、处理模块参数界限被超出,以及/或者反馈事件未按顺序接收。对异常所采取的动作可以基于为特定的上下文而建立的事务规则,所述上下文例如由系统配方、处理配方、模块类型、模块标识号、装载端口号、盒编号、批次号、控制任务ID、处理任务ID和/或沟槽号指定。该上下文可以安排在从最高级到最低级的分级体系中。与较高级的上下文相匹配时可以不考虑与较低级的上下文相匹配。如果没有找到相匹配的上下文,则采取缺省动作。
R2R控制器输入可以包括指令、衬底状态、模块物理状态、处理状态和/或控制器参数。另外,R2R控制器输入可以包括前馈和反馈循环的时间常数、用于累积的复位事件、IMM步和ODP偏移。指令可以包括目标、容许偏差、计算命令、数据采集方案、算法、模型、系数和/或配方。衬底状态可以包括来自正在被处理的衬底的信息(地点、晶片、批次、批状态)、形貌和/或物理或电测量到的特性。模块物理状态可以包括将被用来处理衬底的模块和组件的当前或过去已知的被记录的状态——RF小时、晶片的数目和/或可消耗的状态。处理状态可以包括来自处理环境的传感器的当前或过去已知的测量到的状态,包括跟踪数据和/或总的统计结果。控制器参数可以包括对配方/控制器设定点和处理目标的最近的设置,其创建了衬底状态、模块物理状态和/或处理状态。
R2R控制器的输出可以包括以下各项:得到的参数、设置、事件或消息、干预、得到的上下文、日志消息和/或历史。例如,数据被发送给离线系统用于分析。得到的参数可以包括由控制器生成的可以表示控制器、处理、材料和/或设备的状态的信息。设置可以包括由R2R控制器计算并且通常是在运行时被下载到工具的处理工具参数。例如,这些参数可以包括步时间、气压、温度、气体流和/或功率。事件或消息可以包括指示在所控制的系统中发生异常的信息。干预可以包括关于R2R控制器基于分析结果而推荐(或采用)的动作的信息。得到的上下文可以包括通过R2R控制器获得的上下文信息。日志消息可以是描述R2R控制器的活动的文本消息。历史项可以包括要发送给离线系统用于决策支持系统(DSS)类型的分析的数据。
R2R控制器可以包括支持至少一个控制器应用的至少一个计算机和软件。R2R控制器可以包括至少一个存储数据的存储设备。例如,至少一个计算机可以运行Tokyo Electron的可操作软件,例如Ingenio软件。在一种情况下,可操作软件可以通过GUI执行以下至少一个:配置、数据管理、故障管理、问题排查以及接口。配置GUI屏幕可以被用于配置计算机和处理元件之间的接口,确定处理元件(例如,工具、模块、传感器等)的设备类型。数据管理GUI屏幕可以被用于确定要采集的数据的量和类型,并且确定如何以及在哪里存储采集到的数据。此外,故障管理GUI屏幕可以被用于通知用户故障情况。
图2示出了根据本发明实施例的处理系统的操作方法的示例性流程图。
在210中,得到处理前测量数据。测量数据可以包括地点测量数据和/或晶片数据。例如,地点测量数据可以包括:适合度(GOF)、栅厚度、CD、材料厚度、材料的横截面积、沟槽的横截面积、侧壁角、差分宽度、地点结果和/或地点编号。晶片数据可以包括:CD测量标志、测量地点的数目、配方结果、坐标X和/或坐标Y。另外,处理前测量数据可以包括至少一个孤立特征结构的孤立的CD数据和至少一个嵌套特征结构的嵌套的CD数据,并且可以通过将孤立的CD数据和嵌套的CD数据与目标CD进行对比来确定处理配方。例如,第一调整过程可以基于孤立的CD数据和目标CD数据之间的差异来进行;并且第二调整过程基于嵌套的CD数据和目标CD数据之间的差异。此外,可以基于第一特征结构的CD数据和目标CD数据之间的差异确定第一差量;可以基于第二特征结构的CD数据和目标CD数据之间的差异确定第二差量;并且可以基于第一差量和第二差量之间的差异来进行调整过程。
处理前的测量数据可以被用于前馈控制。而且,根据一些事务规则,地点测量数据可以被总结成控制晶片的统计值。
处理前的测量数据可以被过滤。例如,可以使用排除异常值的过滤器去除晶片上的那些为异常值并且统计无效的数据点。换句话说,那些不可靠的地点可以被抛弃,不用在晶片平均值的计算中。
在一种情况下,可以在处理前测量数据上使用Mean/Sigma比较方法。例如,可以指定sigma乘数X;所有的数据点可以总结为平均值和sigma;可以算出两个界限(平均值+X倍的sigma和平均值-X倍的sigma);在该界限以外的所有数据点都可以被去除;平均值可以被重新计算,并且可以代表最终的IM测量结果。或者,可以使用盒须图法识别异常值。
在215中,可以提供可用于确定衬底的希望状态的一个或多个希望输出参数。例如,可以提供目标CD,并且要控制的CD必须预先知道。换句话说,要控制的CD和目标CD之间的控制位置标识必须一致。因为要控制的CD由要控制的处理限定,所以目标CD也可以由要控制的处理室限定。因而,每个目标CD可以与各个控制室相关联,并且可以在批次开始之前,指定与每个控制室相关联的目标CD值。
而且,目标CD的源被预先识别。例如,可以有至少两种已知类型的目标CD,外部工厂目标CD和内部目标CD,其中工厂目标CD是经TL控制器从MES提供的CD,而内部目标CD是用来自GUI的输入而提供的。
在220中,可以确定希望的处理结果。例如,目标CD可以与处理前测量数据进行对比。当处理前测量数据小于目标CD时,可以宣告错误。当处理前测量数据近似等于目标CD时,可以宣告“null”(空)状态。当处理前测量数据大于目标CD时,可以建立调整量。如果包含调整量与配方参数之间的关系的处理模型已被验证有效,则在处理期间要被去除的调整量可以被视为希望的结果。
在225中,可以确定要使用的配方。例如,可以提供一个或多个处理模型。处理模型表示希望的结果(输出)和获得那些结果所需要的接收到的变量之间的被验证的关系。处理模型可以包括基于表格的模型。
基于表格的模型可以包括包含希望的结果与基于一些估计的实验数据的配方变量的分段关系的表格。处理模型可以是线性的或非线性的。
如图3中所示,本发明可以用于控制被指定的控制CD的调整刻蚀量并且达到容许偏差范围内的目标CD。例如,控制器(TL和R2R)可以使用基于调整刻蚀量的简单方框算法(控制配方选择方法)。首先,必须估计包含关于要调整的量的信息的控制配方并使其预先具有资格。表1示出了估计结果的示例。标称配方是具有调整刻蚀量(TA)的基本参考处理配方。本示例示出了具有各个相关的调整刻蚀量(TA1、TA2、TA3、TA4)的四种控制配方(控制配方1、2、3、4),但是这对于本发明来说不是必需的。可以使用不同数目的配方并且可以使用多维配方(即目标CD和目标深度)。
表1          示例控制配方查找表
  控制配方   调整量
  标称配方(NR)   调整量(TA)
  控制配方1(CR1)   调整量1(TA1)
  控制配方2(CR2)   调整量2(TA2)
  控制配方3(CR3)   调整量3(TA3)
  控制配方4(CR4)   调整量4(TA4)
基于示例配方查找表(表1),在图4中示出了用于配方选择的概念图。尽管在图中仅示出了一侧,但是每个方框代表双边尺寸(两倍大小)。另外,还示出了方框的边界(BB1-BB5)。
在一种情况下,用户可以为每个控制配方配置控制变量设置;将每个控制配方与各自的调整量相关联;并且输入调整量的上边界和下边界。上边界可以用于建立关于可以通过预先具有资格的控制配方实现的调整量的上限。例如,当超过了上边界时,可以宣告错误状况或者进行多步处理。下边界可以用于建立关于可以通过预先具有资格的控制配方实现的调整量的下限。用户确认配置以后,TL控制器(Ingenio)基于调整量输入(TA),将上边界和下边界之间的调整量空间分成几个方框区域。每个方框的下边界和上边界由两个相邻调整量的中值决定,并且将每个控制配方和调整量的组合分配给方框。或者,可以从MES下载调整边界、调整量和控制配方的控制变量设置。
每个方框具有其自己的调整量边界。一旦确定了希望的CD,TL控制器(Ingenio)就可以确定要调整的量所处的方框。例如,希望的CD可以是测量到的CD、计算出的CD和/或被指定的CD。这意味着控制配方中最接近的调整量被选择。在图5中示出了本示例的简单方框算法。在本示例中,选择控制配方3并且衬底要使用TA3来调整。要调整的量和所选择的控制配方的调整量之间的一些差异(即调整量误差)必须配置在目标CD的容许偏差之内。
控制故障可能发生,并且TL控制器(Ingenio)或工具(Telius)可以检测到控制故障。测量数据故障和配方选择故障是可以被TL控制器(Ingenio)检测到的控制故障模式。可以被工具检测到的故障模式有配方接收超时、综合通信故障以及同步故障。
当发生控制故障(配方接收超时或综合通信故障)时,可以使用下列选项中的一项来控制TL控制器(Ingenio):使用工具处理配方;绕过而不进行处理;以及停止R2R控制进程。当发生控制故障时,可以使用下列选项之一来控制工具(Telius)的动作:批次继续和批次放弃。
控制方案可以被视为独立的run-to-run控制单元。在这个单元中,配置设置包括具有过程工具、控制处理、控制故障动作和/或控制算法信息的综合控制。独立的控制单元包括(一个或多个)控制室和相关的测量数据源指示。
在230中,使用在步骤225中确定的配方处理晶片。例如,可以使用处理子系统(处理舱)来执行调整过程,所述处理子系统可以包括COR模块、PHT模块和至少一个缓冲模块。
首先,工具可以将晶片移到第一缓冲(负载锁定(LL))模块中。第一缓冲(负载锁定)模块朝向真空泵浦;工具可以将晶片移到第二缓冲(PHT)模块中;GUI状态屏幕被更新(显示晶片在LL中)。接下来,工具可以将晶片移到第一处理(COR)模块中;TL控制器(FDC组件)可以选择在控制策略中定义的数据采集(DC)策略,并且设立传感器;状态屏幕可以被更新;模块状态可以改变;工具执行第一处理模块的“配方开始”(Recipe Start);状态屏幕可以被更新(模块状态可以变成“晶片处理”)。然后,传感器可以开始记录;配方通过处理步骤进行循环;第一处理模块可以发送“配方结束”(Recipe End)事件;传感器可以停止记录;工具将晶片移到第二缓冲(PHT)模块。接下来,TL控制器(FDC组件)可以从工具采集(一个或多个)数据文件,并且开始基于数据采集方案过滤器处理数据;TL控制器(FDC组件)可以选择在控制策略中定义的分析策略;处理模块和处理状态数据;并且更新数据库(即模块状态和处理状态)。然后,状态屏幕可以被更新(模块状态可以示出晶片在LL/PHT中);第二缓冲(PHT)模块的“配方开始”;状态屏幕可以被更新(模块状态可以改变“晶片处理”)。然后,传感器可以开始记录;方法通过处理步骤进行循环;第二缓冲(PHT)模块可以发送“配方结束”事件;传感器可以停止记录;工具将晶片移到第一缓冲(负载锁定)模块;真空状态从真空变为大气;工具将晶片移出第一缓冲(负载锁定)模块;并且状态屏幕被更新。
在235中,可以得到处理后测量数据。可以在经历了从数分钟到数天的时间延迟以后得到处理后测量数据。处理后测量数据可以被用作反馈控制的一部分。而且,根据某些事务规则,地点测量数据可以被总结成控制晶片的统计值。IM数据可以是一个控制方案的测量前数据和不同的控制方案的测量后数据。而且,处理后测量数据可以被过滤。例如,可以使用异常排除过滤器来去除晶片上的那些为异常值并且统计无效的数据点。换句话说,那些不可靠的地点可以被抛弃,并且不被用在晶片的平均值计算中。
在一种情况中,可以在处理后测量数据上使用Mean/sigma比较方法。例如,可以指定sigma的乘数;所有的数据点可以总结为平均值和sigma;可以计算两个界限(平均值+X倍的sigma和平均值-X倍的sigma);在界限以外的所有数据点可以被去除;平均值可以被重新计算,并且可以表示最终的IM测量结果。或者,可以使用盒须图法识别异常值。
在240中,处理后测量数据与处理前测量数据进行对比,以确定实际的处理结果。处理后CD数据可以表示来自处理或处理步骤的实际的处理结果。另外,在处理期间被测量的调整量可以被视为处理结果。
在245中,进行询问以确定处理是否已完成。例如,当已经获得目标CD时,处理完成。当已完成处理时,过程200分叉到250并且结束。当处理还未完成时,过程200分叉到步骤220。
可以确定偏移量,该偏移量是处理误差的估计值,代表处理趋势并告知控制器以优化处理模型和配方参数。
在一个实施例中,可以使用“控制策略”(Control Strategies)。例如,可以基于系统配方选择控制策略。每个与上下文相匹配的控制策略可以被执行。控制策略可以“逐晶片”地被估计。控制策略可以包含一个或多个控制方案。控制方案可以包含控制模型。当多个控制模型同时被执行时,来自前一模型的输出可以被用作下一模型的输入。对于每个被控制的处理模型有至少一个控制方案。
R2R控制器包括一个或多个控制策略。控制策略包含要匹配的系统配方和其他的上下文匹配标准。控制策略包含一个或多个控制方案。控制方案包含选择要执行的(一个或多个)控制模型所需的信息。控制方案只与一个处理模块相关联。因此,对于被控制的每个处理模块需要至少一个控制方案。例如,控制模型可以是表格驱动的。所有的配置信息可以保存在数据库中。
设立R2R的一个步骤是从工具中下载系统配方。用户可以请求并查看一组系统配方并且选择一个或多个来从工具下载。当系统配方被下载时,系统配方名、转移路径、(一个或多个)模块配方名和二进制文件可以保存在数据库中。
R2R控制器的配置屏幕可以包括树状视图,显示控制策略、控制方案和控制模型是怎样相关联的。用户可以创建、导入、导出、编辑、复制、删除、重排序、关联以及不关联控制策略、控制方案和控制模型。如果选择了一个对象并且创建了新的子类型,则子可以与父相关联。例如,如果选择了一个控制策略并且创建了新的控制方案,则该控制方案可以与控制策略相关联。
树可以示出控制策略的系统配方名和控制方案的模块配方名。
在一种情况中,许多GUI屏幕可以与R2R控制器相关联,每个GUI屏幕具有各自不同的功能。例如,Run to Run控制器屏幕可以允许用户切换到其他屏幕;配方范围(Recipe Range)屏幕使得用户能够逐单元地查看工具处理配方变量,选择其中的一些作为控制变量,并且输入控制变量的处理变量下限和上限;控制配方(Control Recipe)屏幕使得用户能够查看所选择的要控制的工具处理配方变量,并且输入每个配方步骤的每个控制变量的设置;控制方案(Control Plan)屏幕使能配置处理工具的综合控制信息、控制处理和控制故障动作信息以及控制算法信息,并且使得用户能够输入与每个控制配方相关联的调整刻蚀量以填入简单方框表中;以及控制状态(Control Status)屏幕使得用户能够查看所选择的run to run控制方案和与控制晶片相关的状态信息。
对处理的run-to-run控制的示例性配置过程如下:1.选择配方范围屏幕并且基于缺省设置对其进行配置。2.切换到控制配方屏幕,并且基于配方范围配置设置,对其进行配置。3.切换到控制方案屏幕并且配置综合表、控制表和算法表。4.从控制方案-算法表(Control Plan-Algorithmtab)页面切换到填框表(Binning Table)窗口,并且基于控制配方配置设置,配置方框表。5.切换到控制状态屏幕并查看所选择的历史状态或正在运行的控制方案状态和控制晶片(Control Wafer)状态。
图6中示出了示例性配方范围屏幕。这个屏幕使得用户能够逐单元地查看工具处理配方变量,选择控制参数并且输入控制变量的处理上限和下限。每个变量的描述是可编辑的。
配方范围屏幕可以包括多个配置项。表2示出了配方范围屏幕上的一些配置项的示例。
表2                配方范围配置的显示项
 显示项   描述
 名称(Name)   配方范围名。选择了新建(New)或复制(Copy)按钮以后,用户可以输入配方范围名。选择了编辑(Edit)按钮以后,配方范围名不能被改变。空(Null)、标称(Nominal)和缺省(Default)禁止作为配方范围名。
 Description(描述)   配方范围描述
配方范围屏幕可以包括至少一个复选框(checkbox),例如保护(Protection)复选框。表3示出了配方范围屏幕上的一些复选框项目的示例。
表3                    配方范围配置的复选框描述
  复选框   描述
  保护(Protection)   GUI只允许用户输入并保存比工具缺省界限更严格的界限。只有受保护的配方范围可以用作控制配方。如果取消对Protection选项的选定并点击保存(Save),则对所有相关的控制配方和控制方案的Protection将被去除。当Protection被允许时,除了描述以外,配方范围配置不能被编辑。
表4示出了配方范围屏幕上的一些另外的显示项的示例。
表4                    配方范围屏幕上的显示表格项目描述
 项目栏   描述
 控制(Control)   控制标识符(Yes或No)。通过点击该单元,用户可以将变量指定为控制变量。
 变量(单位)(Variable(unit))   包含单位的工具处理配方变量。设计格式遵循处理工具GUI的风格。这是只读的。
 下限(Lower limit)   工具处理配方变量的下限。通过点击该单元输入下限值。
 上限(Upper limit)   工具处理配方变量的上限。通过点击该单元输入上限值。
 描述(Description)   控制变量描述。缺省值基于工具处理配方变量。变量描述被用于为控制变量添加控制备忘。
另外,配方范围屏幕可以包括多个选项,例如按钮项。表5示出了配方范围屏幕上的一些按钮项的示例。
表5                    配方范围配置区域上的按钮描述
  按钮   描述
  复位(Reset)   复位到缺省设置。根据处理工具设置准备缺省设置。
  OK   将配方范围保存到数据库(DB)并且将屏幕设置为查看模式(View Mode)。此时配方范围配置不能被编辑。如果找到了重复的配方范围名,则打开消息框窗口。
  保存(Save)   将配方范围保存到DB。配方范围信息是可编辑的。如果找到了重复的配方范围名,则打开消息框窗口。
  取消(Cancel)   关闭配方范围区域窗口,而不作改变。
表6示出了配方范围屏幕上的一些另外的按钮项的示例。
表6                        配方范围屏幕上的按钮描述
  按钮   描述
  关闭(Close)   关闭配方范围屏幕并且返回到R2R控制器(R2RController)屏幕。如果编辑时被点击,则打开消息框。
  新建(New)   创建与处理室相关联的新的配方范围。点击处理室进行关联。必须输入配方范围名。
  复制(Copy)   点击配方范围名作为复制源。点击Copy复制所选择的配方范围。缺省情况下,被复制的配方范围不受保护并且配方范围名为空白。
  编辑(Edit)   用户查看配方范围并且点击Edit来改变现有的配方范围设置。在编辑前取消对保护的选择。一旦取消了对Protection的选择,配置表格可以被编辑。
  删除(Delete)   点击Delete删除现有的配方范围。在删除配方范围之前删除所有相关的控制配方。如果选择了Protection,则Delete按钮不起作用。
配方范围导航面板被显示在配方范围屏幕的左边,配方范围与各个处理模块相关联。通过点击树状列表上和任何底部的功能按钮上的配方范围名,用户可以操作配方范围。
使用如图6中所示的配方范围屏幕,用户可以进行配方范围配置,查看现有的配方范围,创建新的配方范围,复制现有的配方范围,编辑现有的配方范围,以及删除现有的配方范围。
另外,R2R控制器屏幕(例如配方范围屏幕)可以包括用于查看软件和工具版本信息的按钮。
图7示出了根据本发明实施例的控制配方屏幕的示例性视图。该屏幕使得用户能够查看被选择的要被控制的工具处理配方变量,并且编辑每个配方步骤的每个控制变量的设置。
控制配方屏幕可以包括多个配置项。表7示出了控制配方屏幕上的一些配置项的示例。
表7                        控制配方配置的显示项
 显示项   描述
 名称(Name)   控制配方名。当点击New或Copy按钮时,用户必须输入唯一的控制配方名。当点击Edit按钮时,用户不能改变控制配方名。诸如Null、Nominal和Default之类的名称被留作特殊用途。
 描述(Description)   控制配方描述
 单元编辑计数器(Cell Edit Counter)   GUI对变量步骤设置输入的数目进行计数并且显示该数据。这是只读的。
表8示出了控制配方屏幕上的显示表格项目中的一些示例。
表8                            控制配方配置的显示表格项
 项目栏   描述
 变量(单位)(Variable(unit))   包含单位的控制变量。这个只读的显示信息来自于配方范围配置设置。
 下限(Lower)   工具处理配方变量的下限。必须输入该值。
 上限(Upper)   工具处理配方变量的上限。必须输入该值。
 描述(Description)   控制变量描述来自于配方范围配置的设置。这是只读的。
 步骤1-24(Step1-24)   用户为处理配方步骤1-24输入控制变量设置。输入的单元项被计数并且显示在单元编辑计数器下的计数数目中。如果控制变量是时间,则用户可以按如下格式将其输入:分:秒。
控制配方屏幕可以包括至少一个复选框,例如Protection复选框。表9示出了控制配方屏幕上的复选框项目中的一些示例。
表                        Protection复选框
  复选框   描述
  保护(Protection)   GUI检查每个变量的输入值是否在各自的上限和下限范围内。如果它们在限制范围内,则设置被保存。如果不在限
  制范围内,则用户被提示改变设置。受保护的控制配方设置被用于控制方案。如果取消了对Protection的选择并且设置被保存,则对使用控制配方的所有控制方案的保护将被去除。只有当取消了对Protection的选择时,才可以保存控制配方配置。
另外,控制配方屏幕可以包括多个选择项,例如按钮项。表10示出了控制配方屏幕上的一些按钮项的示例。
表10                            控制配方按钮
  按钮   描述
  清除(Clear)   清除所有的步骤设置。设置被清除之前打开消息框。
  OK   将配方范围保存到DB并且将屏幕设置为查看模式。此时配方范围配置不能被编辑。如果找到了重复的配方范围名,则打开消息框窗口。
  保存(Save)   将配方范围保存到DB。配方范围信息可以被编辑。如果找到了重复的配方范围名,则打开消息框窗口。
  取消(Cancel)   关闭控制配方区域窗口而不实现改变。
控制配方导航面板显示在控制配方屏幕的左边,控制配方与各个配方范围相关联。树状结构中示出了两层文件夹:模块和配方范围。通过点击树状列表上和任何底部的功能按钮上的控制配方名,用户可以操作控制配方。通过点击树状列表上和任何底部功能按钮上的配方范围名,用户可以操作配方范围。
使用控制配方屏幕,例如图7中所示,用户可以进行控制配方的配置,查看现有的控制配方,创建新的控制配方,复制现有的控制配方,编辑现有的控制配方以及删除现有的控制配方。
图8示出了根据本发明实施例的控制策略屏幕的示例性视图。控制策略包含要匹配的系统配方和其他上下文匹配标准。控制策略还包括包含选择要执行的(一个或多个)控制模型所需的信息的几个独立的控制方案。控制策略屏幕使得用户能够利用控制室标记下载系统配方,或者利用转移路径人工地输入系统配方名。而且,用户可以有选择地创建一些上下文匹配标准。
控制策略屏幕可以包括多个配置项。表11示出了控制策略屏幕上的一些配置项的示例。
表11                            控制策略屏幕特征
 特征   描述
 名称(Name)   控制策略名
 描述(Description)   控制策略描述
 允许(Enabled)   允许控制策略
 保护(Protection)   保护控制策略
 系统配方(System Recipe)   点击选择(Select)按钮以从Telius下载系统配方并显示。系统配方名可以是直接的。系统配方名被用于通过匹配上下文系统配方名来触发控制策略。
 选择(Select)   打开装载端口(Load Port)选择,然后打开系统配方下载窗口
 转移路径(TransferRoute)   根据所选择的系统配方显示系统配方路径
 Show(显示)   在模块节点图上显示系统配方的转移路径
 Module(模块)   当室按钮被点击时,室图形颜色将变成显示控制室的粉红色
 测量数据故障(Metrology DataFailure)   从以下选项中选择一个:使用工具配方(Use ToolRecipe)、不处理晶片(Do Not Process Wafer)或按照工具参数设置(Follow Tool ParameterSetting)。参考对测量数据故障的动作。
 选择失败(Selection Failure)   从以下选项中选择一个:使用工具配方、不处理晶片或按照工具参数设置。
 故障时工具暂停(Tool Pause on   选择PM暂停或系统暂停
  Failure)
  晶片上下文(WaferContexts)   显示晶片上下文
控制策略屏幕可以包括多个另外的配置项。表12示出了控制策略屏幕上的一些另外的配置项的示例。
表12            控制策略屏幕的另外的特征
  上下文   描述
  一个或多个LotID   批次标识符
  一个或多个CJID   控制任务标识符
  一个或多个PJID   处理任务标识符
  一个或多个盒ID(Cassette ID)   盒标识符
  一个或多个载体ID(Carrier ID)   载体标识符
  一个或多个槽(Slot)   槽数目
  一个或多个晶片类型(Wafer Type)
  一个或多个被划的晶片ID(ScribedWafer ID)
  一个或多个衬底ID(Substrate ID)
  一个或多个晶片ID(Wafer ID)
  开始时间(Start Time)
  开始时间(Start Time)
另外,控制策略屏幕可以包括多个选择项,例如按钮项。表13示出了控制策略屏幕上的一些按钮项的示例。
表13                            控制策略按钮
  按钮   描述
  OK   将控制配方保存到数据库并且将屏幕设置为查看模式。控制策略配置此时不能被编辑。如果找到了重复的控制策略名,则打开消息框窗口。
  保存(Save)   将控制策略保存到数据库。控制策略信息是可编辑的。如果找到了重复的控制策略名,则打开消息框窗口。
  取消(Cancel)   关闭控制策略区域窗口而不进行改变
而且,控制策略屏幕可以包括多个另外的选择项,例如按钮项。表14示出了控制策略屏幕上的一些另外的按钮项的示例。
表14                                 另外的控制策略按钮
  按钮   描述
  关闭(Close)   关闭控制策略屏幕并且返回到Run to Run控制器屏幕
  新建(New)   创建新的控制策略。输入控制策略名和配置
  复制(Copy)   选择控制策略并且点击Copy。缺省情况下,复制的控制策略配置不被保护,并且控制策略名为空白。
  编辑(Edit)   用户查看控制策略并且点击“Edit”以编辑现有的控制策略配置。在编辑前取消选定Protection。一旦Protection被取消,配置就是可编辑的。
  删除(Delete)   用户查看控制策略并且点击Delete以删除现有的控制策略。如果控制策略被保护,则Delete按钮不起作用。
  移动(Move)   用户查看控制策略并且点击Move以移到用户指定的目标文件夹和位置。标准控制策略可以被移到仿真文件夹。如果仿真控制策略缺少与处理工具的系统配方同步信息,则它们不能被移到标准文件夹。
  测试(Test)   测试配置按钮。点击以选择某些历史测量数据以测试控制策略配置。只有当R2R控制器未被连接工具时,其才是可用的。
控制策略导航面板被显示在控制策略屏幕的左侧,有两种文件夹:标准和仿真。标准类型的文件夹包含利用处理工具的标准控制策略(被配置为常规的run to run综合控制)。仿真类型文件夹包含仿真控制策略。每个仿真控制策略与一些(一个或多个)仿真控制方案相关联。基于所选择的模型,控制方案可以调节配方变量。配方变量将被记在Ingenio上,但是不会被发送给处理工具。可以同时执行多个仿真控制策略,但是对于给定的晶片只可以执行一个标准类型的控制方案。可以将标准控制策略从标准文件夹移到仿真文件夹。仿真控制策略可以被移到标准文件夹,就像从工具中下载相应的系统配方一样。受保护的控制策略在控制策略名旁边有星状标记。只有受保护的控制策略可以被执行。
使用控制策略屏幕,例如如图8所示,用户可以进行控制策略配置,查看现有控制策略,创建新的控制策略,复制现有控制策略,编辑现有控制策略,以及删除现有控制策略。
图9A~图9C示出了根据本发明实施例的控制方案屏幕的示例性视图。这些屏幕使得用户可以为处理工具配置综合控制信息,输入控制处理和控制故障动作信息以及控制算法信息,并且在简单方框表中,用户可以输入与各个控制配方相关联的调整刻蚀量以进行填充。
在图9A中,示出了根据本发明实施例的综合表页面。
控制方案屏幕可以包括多个配置项。表格15示出了控制方案屏幕上的一些配置项的示例。
表15                            控制方案屏幕特征
 特征   描述
 名称(Name)   控制方案名
 描述(Description)   控制方案描述
 保护(Protection)   当选择Protection并且点击了OK或Save时,软件逻辑检查配置。如果配置故障,则打开消息框窗口,说明故障原因。由于在一个时刻只有一个标准控制方案可以被与系统配方匹配的上下文触发,所以软件逻辑只能执行位于列表顶部的控制方案。当取消选择Protection时,配置可以被编辑。
 系统配方(System Recipe)
 模块(Module)
 标称配方(Nominal Recipe)
 数据复选框(Data checkbox)
 目标(Target)   用户输入具有容许偏差的目标CD值。具有测量到的初始控制CD平均值的输入目标CD被用来计算调整刻蚀量用于选择控制配方。如果Protection被选择,则将输入的目标CD容许偏差与在算法信息表上输入的所有调整刻蚀量进行比较。
 目标计算(TargetCaculation)t=f(d,o)
 源号(Source#)
 模型选择表(ModelSelection Table)
表16示出了控制方案屏幕上的一些另外的按钮项的示例。
表16                        控制方案屏幕的另外的按钮
  按钮   描述
  关闭(Close)   关闭控制方案屏幕并且返回到Run to Run控制器屏幕
  新建(New)   创建新的控制方案。输入与处理工具相配的控制方案名和综合信息、带有控制错误动作的控制CD信息,以及用于在每个控制配方被保护和保存之前将刻蚀量映射到每个控制配方的算法信息。
  复制(Copy)   选择控制方案并且点击Copy。在缺省的情况下,被复制的控制方案不被保护并且控制方案名为空白。
  编辑(Edit)   用户查看控制方案并且点击“Edit”来编辑现有的控制方案配置。在编辑之前取消选择Protection。一旦Protection取消,配置即为可编辑的。
  删除(Delete)   用户查看控制方案并且点击Delete来删除现有的控制方案。如果Protection取消,则Delete按钮不起作用。
  移动(Move)   用户查看控制方案并且点击Move以移到用户指定的目标文件夹和位置。标准控制方案可以被移到仿真文件夹。如果仿真控制方案缺少与处理工具的系统配方同步信息,则仿真控制方案不能被移到标准文件夹。
  测试(Test)   测试配置按钮。点击以选择某些历史测量数据来测试控制方案配置。只有在未连接的状态,该按钮才起作用。
所示出的导航树包括两种文件夹:标准和仿真。标准类型的文件夹包含利用处理工具的标准控制方案(被配置为正常的run to run综合控制)。仿真类型的文件夹包含仿真控制方案。对于仿真控制策略,处理工具上的R2R控制设置是否被允许是无关紧要的。控制器(TL和/或R2R)接收并处理测量数据,并且选择控制模型。被选择或计算的控制配方被记录,但是不发送给处理工具。多个控制方案可以同时触发。
控制方案显示项可以包括用于控制方案名的Name字段;用于控制方案描述的Description字段;以及Protection框。当Protection被取消选择时,配置可以被编辑。
在控制方案配置屏幕的中心,有三个表:综合表(Integration tab)、控制表(Control tab)和算法表(Algorithm tab)。
还示出了多个按钮,包括:用于创建、删除、查看和编辑控制配方项的OK按钮、Save按钮、Cancel按钮、Close按钮、New按钮、Copy按钮、Edit按钮和Delete按钮。
系统配方名字段和相关的选择按钮提供了一种用于从工具(Telius)下载系统配方并显示它们的手段。用户也可以直接输入系统配方名。系统配方名被用于通过匹配上下文系统配方名来触发控制方案。此外,选择按钮打开装载端口选择窗口,并且用户可以从这个窗口中选择装载端口,然后从可用系统配方的列表中选择。
第1标称配方(Nominal Recipe-1st)字段显示了包括在所选择的系统配方中的R2R控制室的第一访问信息的处理配方名。第2标称配方(Nominal Recipe-2nd)字段显示了包括在所选择的系统配方中的R2R控制室的第二访问信息的处理配方名。路径字段显示了根据所选择的系统配方的系统配方路径。室序列按钮示出了控制室。
如果R2R控制器设置信息被包括在来自工具的系统配方中,则控制方案将与标准文件夹相关联。如果信息没有被包括在其中,则控制方案将与仿真文件夹相关联,并且用户必须通过在室序列节点图中选择室,来指定控制室。
在图9B中,示出了根据本发明实施例的控制表页面。例如,在控制表页面中可以有三个部分:控制和目标CD(Control and Target CD)、对测量数据故障的动作(Action on Metrology Data Failure)和对配方选择故障的动作(Action on Recipe Selection Failure)。
控制表页面可以包括可以用CD列表框来控制的控制CD(ControlCD)字段。例如,用户可以参考Timbre ODP的配置,从列表中选择控制CD,并且可以输入对所选择的Control CD的描述。
有两个单选按钮,用于选择控制CD计算方法是步骤平均(StepAverage)还是晶片平均(Wafer Average)。测量步骤(MeasurementStep)字段显示了IM测量步骤。如果Step Average单选按钮被选择,则用户必须指定IM测量步骤。如果Wafer Average单选按钮被选择,则测量步骤及其描述将被禁用并且处于“变灰”模式。用户选择了测量步骤以后,用户可以输入对该测量步骤的描述。
目标CD(Target CD)字段为用户提供了输入具有容许偏差的目标CD的手段。输入目标CD和测量到的初始控制CD平均值被用于计算用于选择控制配方的调整刻蚀量。如果Protection被选择,则输入目标CD的容许偏差与在算法表上输入的所有调整量进行比较。
如果发生测量数据故障或者发生变量设置选择故障,则用户可以从以下选项中选择一个:1)使用工具处理配方(标称配方)(Use tool processrecipe(Nominal Recipe))。软件逻辑将该指示发送给处理工具并且处理工具使用工具处理配方。2)不处理晶片(空配方)(Do not process wafer(Null Recipe))。软件逻辑将与晶片相关联的空配方信息发送给处理工具,并且晶片经过室而不被处理。3)停止run-to-run控制(无)(Stoprun-to-run control(None))。
在图9C中,示出了根据本发明实施例的算法表页面。例如,简单方框算法可以作为基于调整刻蚀量的控制配方选择方法。如果所选择的控制配方设置与处理工具上的处理配方相同,则缺省情况下控制变量的名称为“Nominal”,其可以使得利用相同的工具处理配方,该配方将调整与其相关联的刻蚀量。
在算法表页面上有两个按钮。方框(Bin)按钮被用于打开填框表(Binning Table)窗口(图10),用于配置控制配方和调整量的关联,并且Clear按钮可以被用于清除方框表设置(Bin Table Settings)。
Binning Table窗口可以具有三个部分。方框表边界(Bin TableBoundary)的输入允许用户输入方框表边界。配方范围过滤器允许用户查看与所选择的配方范围相关联的所有受保护的控制配方。用户必须点击控制配方1(Control Recipe 1)和控制配方2(Control Recipe 2)单元以打开控制配方选择(Control Recipe Selection)窗口。在从用于两个访问的列表中进行选择以后,可以为所显示的每个控制配方输入调整刻蚀量。软件逻辑为两个访问计算总的刻蚀量。
控制配方浏览器(Control Recipe Viewer)在屏幕的底部。控制配方浏览器允许用户查看控制配方信息和描述。基于从控制配方屏幕中输入的信息,显示对控制配方的描述。当用户选择了Control Recipe 1或ControlRecipe 2的单元时,控制配方选择窗口打开并且允许用户选择控制配方。缺省情况下,名称“Nominal”和“Null”出现在最先的两个单元中。这里,Null意味着对所选择的访问没有处理。如果新的控制配方连同相关的配方范围被添加到控制配方屏幕上时,用户可以点击该控制配方单元以打开控制配方选择窗口。然后,用户在控制配方选择窗口中选择新的控制配方。
一旦Binning Table配置结束,软件逻辑就按照缺省情况顺序给出方框名,并且根据调整刻蚀量输入的数目和方框表的调整刻蚀量边界,计算每个方框边界。最后,方框表的上下边界显示在算法表页面上,并且以下的各项被列在方框表中。Bin字段显示方框名;Lower字段显示方框的下边界;Upper字段显示方框的上边界;Etch字段显示总的调整刻蚀量;Etch 1字段显示第一访问调整刻蚀量;Etch 2字段显示第二访问调整刻蚀量;Control Recipe 1字段显示第一访问控制配方名;以及Control Recipe 2字段显示第二访问控制配方名。
在图11中,示出了根据本发明实施例的控制状态屏幕的示例性视图。这个屏幕使得用户能够查看所选择的R2R控制方案并且控制晶片状态信息。
控制状态屏幕可以包括多个配置项。表17示出了控制状态屏幕上的一些配置项的示例。
表17                                控制状态屏幕特征
  显示项   描述
  控制方案名(Control Plan Name)   控制方案名
  描述(Description)   控制方案描述
  系统配方(System Recipe)   系统配方名
  第一标称配方(Nominal Recipe-1st)   用于对室的第一次访问的控制基础参考处理配方
  第二标称配方(Nominal Recipe-2nd)   用于对室的第二次访问的控制基础参考处理配方
  具有容许偏差的目标CD(TargetCD with tolerance)   在控制方案上配置的具有容许偏差的目标CD值。单位为纳米。
  转移路径(Transfer Route)   系统配方路径
  室序列节点图(Chamber sequencenode graph)   可区分所使用的测量数据和控制室
控制状态屏幕可以包括多个另外的配置项。表18示出了控制状态屏幕上的一些另外的配置项的示例。
表18                             另外的控制状态屏幕特征
 显示项   描述
 模式(Mode)   运行模式。标准或仿真或测试
 CJID   控制任务ID
 PJID   处理任务ID
 槽ID(Slot ID)   FOUP槽ID
 晶片ID(Wafer ID)   晶片标识符按照显示优先级显示。如果有被划的晶片id,则显示。如果没有,则显示来自主机的衬底晶片id。
 初始CD(Init.CD)   地点控制CD测量平均值的初始CD
 刻蚀(Etch)   在控制方案中配置的总刻蚀量
 刻蚀1(Etch 1)   在控制方案中配置的第一控制访问或室的刻蚀量
 刻蚀2(Etch 2)   在控制方案中配置的第二控制访问或室的刻蚀量
 控制配方1(ControlRecipe 1)   控制变量为第一控制访问或室设置名称
 控制配方2(ControlRecipe 2)   控制变量为第二控制访问或室设置名称
 结果(Result)   控制晶片的结果。可以有四种值。Selected-控制配方被成功选择Select Error-控制配方选择失败Link Error-Telius-Ingenio通信失败Data Error-测量数据错误
另外,控制状态屏幕可以包括多个选择项,例如按钮项。表19示出了控制状态屏幕上的一些按钮项的示例。
表19                      控制状态屏幕按钮
  按钮   描述
  关闭(Close)   从控制状态屏幕切换到Run to Run控制器屏幕
  选择(Select)   打开控制状态显示选择窗口
在图12中,示出了根据本发明实施例的状态屏幕。在所示出的实施例中,COR模块、PHT模块和ODP模块被显示在一个组中。
Sub面板可以被用于显示每个处理模块的数据。关于当前处理模块中的晶片的信息可以被显示在PM、运行ID(Run ID)和方案(Plan)字段中。例如,PM可以是处理模块名;Run ID可以是用于当前晶片的配方的ID;以及Plan可以是在当前晶片上执行的数据采集方案的名称。
用户可以查看如图12中所示的状态屏幕以保证COR模块、PHT模块和/或ODP模块正在使用正确的数据采集方案。例如,用户可以核实正在采集的正确的测量数据。
在图13中,示出了根据本发明实施例的配置屏幕。在所示出的实施例中,示出了COR模块、PHT模块和ODP模块。导航树示出了与每个模块相关联的传感器和维护计数器。另外,还示出了与每个模块相关联的数据采集方案和策略。而且,为每个模块示出了模块暂停配置。用户可以使用分析方案和策略配置暂停动作。
COR、PHT和ODP室可以在系统安装期间进行配置,并且可以使用可从配置屏幕访问的GUI屏幕来改变室配置。例如,可以使用下拉列表。
在图14中,示出了根据本发明实施例的配置屏幕。在所示出的实施例中,示出了COR模块、PHT模块和ODP模块。导航树示出了与每个模块相关联的传感器和维护计数器。另外,还示出了与每个模块相关联的数据采集方案和策略。而且,为每个模块示出了模块暂停配置。用户可以使用分析方案和策略配置暂停动作。
对于run-to-run控制方案的上下文匹配可以包含可用于数据采集方案和分析策略的所有选项。
GUI可以被提供用来设置控制方案上下文匹配。可以对具有高于正常安全级别的用户允许控制方案上下文定义。
控制方案一般与处理模块和处理配方组合有关。由于工具允许多个系统配方包括相同的处理配方,所以R2R可以允许多个控制策略共享控制方案。
对于不同的处理模块配方可以有不同的处理模块配方限制。所以每个控制方案需要能够对由R2R控制器发送给工具的配方修改设置要使用的配方约束。
控制方案可以指定控制模型要使用的最小和最大范围。控制模型的范围可以重叠。如果没有输入最小和/或最大范围,则缺省范围为无限制。
当在工具上已经创建了系统配方时,使用R2R控制器的一种方法需要创建链接到工具(Telius)配方的控制策略。用户可以输入每个模块的数据采集方案和分析方案。用户可以输入要控制指定室的控制方案(要使用哪些室数据、分析算法)。
COR、PHT、ODP和缓冲室的模块类型可以存储在数据库中。因此,在安装之后,用户可以配置COR、PHT、ODP和缓冲室的模块实例。例如,可以使用作为系统一部分的模块实例配置(Module InstanceConfiguration)屏幕。此外,可以使用数据管理(Data Manager)屏幕为COR、PHT、ODP和缓冲室创建策略和方案。例如,当在模块实例屏幕上设置这些模块实例时,用户可以为COR、PHT、ODP和缓冲室创建数据采集策略(Data Colletion Strategy)和分析策略(Analysis Strategy)。图表屏幕也可用于COR、PHT、ODP和缓冲室。
数据管理系统采集在COR、PHT室上制作的跟踪日志文件和处理日志文件。在DC方案上选择的跟踪日志数据将被存储在数据库中;用户可以使用在DC方案上选择的数据用于分析方案;用户可以使用在DC方案上选择数据用于制图。另外,GUI屏幕可以被用于在运行时显示关于COR和PHT处理的必要信息。如果设置了模块暂停特征,则用户可以使用模块暂停特征来暂停COR和/或PHT室。
当TL控制器检测到COR室参数的SPC警报时或者当其检测到PHT室参数的SPC警报时,TL控制器可以执行模块暂停。可以使用各个室的分析策略和分析方案来配置模块暂停。
图15示出了根据本发明实施例的处理系统的示意性截面图。在所示出的实施例中,给出了用于执行衬底的化学处理和热处理的处理系统1200。处理系统1200包括热处理系统1210和耦合到热处理系统1210的化学处理系统1220。热处理系统1210包括可以被控温的热处理室1211。化学处理系统1220包括可以被控温的化学处理室1221。可以使用绝热部件1230使热处理室1211和化学处理室1221彼此热绝缘,并且使用闸式阀部件1296使它们彼此真空隔离,下面会更详细地描述。
如图15和图16中所示,化学处理系统1220还包括被控温的衬底支撑物1240、真空泵浦系统1250和气体分配系统1260,所述衬底支撑物1240被配置为与化学处理室1221基本热绝缘并且被配置为支撑衬底1242,所述真空泵浦系统1250被耦合到化学处理室1221以对化学处理室1221抽吸,所述气体分配系统1260用于将处理气体引入化学处理室1221内的处理空间1262中。
如图15和图17所示,热处理系统1210还包括被控温的衬底支撑物1270、对热处理室1211抽吸的真空泵浦系统1280以及耦合到热处理室1211的衬底升降部件1290,所述衬底支撑物1270被安装热处理室1211内,被配置为与热处理室1211基本热隔离并被配置为支撑衬底1242’。升降部件1290可以垂直地在支撑平面(实线)和衬底支撑物1270(虚线)或者位于它们之间的转移平面之间平移衬底1242”。热处理室1211还可以包括上部部件1284。
此外,热处理室1211、化学处理室1221和绝热部件1230限定了共同的开口1294,衬底可以通过其被转移。在处理期间,可以使用闸式阀部件1296密封关闭共同的开口1294,以允许在两个室1211和1221中独立进行处理。此外,转移开口1298可以形成于热处理室1211中,以允许与转移系统的衬底交换。例如,可以实现第二绝热部件1231来使热处理室1221与转移系统(未示出)热绝缘。虽然开口1298被示为热处理室1211的一部分,但是转移开口1298可以形成于化学处理室1221中而不是热处理室1211中,或者转移开口1298可以形成于化学处理室1221和热处理室1211两者中。
如图15和图16中所示,化学处理系统1220包括衬底支撑物1240和能够对衬底1242进行热控制和处理的衬底支撑物部件1244。衬底支撑物1240和衬底支撑物部件1244可以包括静电夹持系统(或机械夹持系统),以用电力(或机械地)将衬底1242固定到衬底支撑物1240上。此外,衬底支撑物1240例如还可以包括多区域温度控制系统,该系统可以接收热量并将热量传递到热交换系统(未示出),或者当加热时,可以传递来自热交换系统的热量。而且,例如热传递气体可以经由背部气体系统传递给衬底1242的背面,以提高衬底1242和衬底支撑物1240之间的气隙的导热性。例如,提供给衬底1242背面的热传递气体可以包括例如氦、氩、氙、氪之类的惰性气体,例如CF4、C4F8、C5F8、C4F6等之类的处理气体或者例如氧、氮或氢的其他气体。当在温度升高或降低时需要对衬底进行温度控制时,可以利用这种系统。例如,背部气体系统可以包括例如两个区域(中心-边缘)系统的多区域气体分配系统,其中背部气隙压强可以在衬底1242的中心和边缘之间独立地变化。在其他实施例中,多区域温度控制系统可以包括加热/冷却元件,例如电阻性的加热元件或热电加热器/冷却器。示例的热电元件是一种可从Advanced Thermoelectric购买的Model ST-127-1.4-8.5M热电元件(最大热传递功率为72W的40mm×40mm×3.4mm的热电设备)。而且,另外的加热/冷却元件可以被置于化学处理室1221的室壁中。
衬底支撑物1240可以包括将衬底支撑物1240耦合到化学处理室1221的室配接部件(未示出)、绝缘部件(未示出)以及温度控制部件(未示出)。室配接部件和温度控制部件例如可以由电导性和热导性材料制成,例如铝、不锈钢、镍等。绝缘装置例如可以由具有较低的热传导性的热阻性材料制成,例如石英、氧化铝、Teflon等。
衬底支撑物1240还可以包括绝热隙(未示出),以提供一个或多个元件之间的另外的热绝缘。例如,绝热隙可以被填充或者被抽吸,以改变其热传导性。
衬底支撑物1240还可以包括能够升降三个或更多个升降销的升降销部件(未示出),以垂直地将衬底移到衬底支撑物的上表面和处理系统中的转移平面,以及从衬底支撑物的上表面和处理系统中的转移平面上移走衬底。
被控温的衬底支撑物1240的温度可以用温度传感器件(未示出)来监视,所述温度传感器件例如热电耦(例如K型热电耦、Pt传感器等)。此外,控制器可以利用温度测量作为对衬底支撑物部件1244的反馈,以控制衬底支撑物1240的温度。例如,可以对以下至少一项进行调节以影响衬底支撑物1240的温度变化:流体流率、流体温度、热传递气体类型、热传递气体压强、夹持力、电阻性加热元件的电流或电压、热电器件的电流或极性等。
再参考图15和图16,化学处理系统1220包括气体分配系统1260。气体供应系统(未示出)可以耦合到气体分配系统1260。在一个实施例中,如图18中所示,用于分配包括至少两种气体的处理气体的气体分配系统1260包括具有一个或多个组件1424、1426和1428的气体分配部件1422、第一气体分配板1430和第二气体分配板1432,所述第一气体分配板1430耦合到气体分配部件1422并且被配置为将第一气体耦合到化学处理室1221的处理空间,所述第二气体分配板1432耦合到第一气体分配板1430并且被配置为将第二气体耦合到化学处理室1221的处理空间。第一气体分配板1430当耦合到气体分配部件1422时,形成了第一气体分配通气系统(plenum)1440。此外,第二气体分配板1432当耦合到第一气体分配板1430时形成了第二气体分配通气系统1442。虽然未示出,但是气体分配通气系统1440、1442可以包括一个或多个气体分配隔板。第二气体分配板1432还包括一个或多个孔的第一阵列1444和一个或多个孔的第二阵列1448,所述第一阵列1444耦合到符合形成于第一气体分配板1430内的一个或多个通道的阵列1446。一个或多个孔的第一阵列1444结合一个或多个通道的阵列1446被配置为从第一气体分配通气系统1440向化学处理室1221的处理空间分配第一气体。一个或多个孔的第二阵列1448被配置为从第二气体分配通气系统1442向化学处理室1221的处理空间分配第二气体。例如,处理气体可以包括NH3、HF、H2、O2、CO、CO2、Ar、He等。每个孔1444、1448具有直径和长度,其中直径的范围可以从近似0.1mm到近似10cm,并且长度的范围可以从近似0.5mm到近似5cm。这种安排的结果是第一气体和第二气体可以被独立地引入到处理空间,而除了在处理空间中以外不会有任何相互作用。
第一气体可以通过形成于气体分配部件1422内的第一气体供应通道(未示出)耦合到第一气体分配通气系统1440。另外,第二气体可以通过形成于气体分配部件1422内的第二气体供应通道(未示出)耦合到第二气体分配通气系统1440。
再参考图15和图16,化学处理系统1220还包括被控温的化学处理室1221,该处理室1221被保持在被控制的温度上。例如,壁加热元件1266可以被耦合到壁温度控制单元1268,并且壁加热元件1266可以被配置为耦合到化学处理室1221。例如,加热元件可以包括电阻性加热元件,例如钨、镍铬合金、铝铁合金、氮化铝等的细丝。当电流流经细丝时,功率转化为热,因此,壁温度控制单元1268例如可以包括可控制的DC电源。例如,壁加热元件1266可以包括至少一个可从Watlow(1310Kingsland Dr.,Batavia,IL,60510)购买的Firerod cartridge加热器。在化学处理室1221中还可以使用冷却元件。化学处理室1221的温度可以用温度传感器件来监视,所述温度传感器件例如是热电耦(例如K型热电耦、Pt传感器等)。此外,控制器可以利用温度测量作为对壁温度控制单元1268的反馈,以控制化学处理室1221的温度。
再参考图16,化学处理系统1220还可以包括被控温的气体分配系统1260,该气体分配系统可以被维持在任意选择的温度上。例如,气体分配加热元件1267可以耦合到气体分配系统温度控制单元1269,并且气体分配加热元件1267可以被配置为耦合到气体分配系统1260。例如,加热元件可以包括电阻性的加热元件,例如钨、镍铬合金、铝铁合金、氮化铝等的细丝。当电流流经细丝时,功率转化为热,因此,气体分配系统温度控制单元1269例如可以包括可控制的DC电源。例如,气体分配加热元件1267可以包括能够达到1400W(或者5W/in2的功率密度)的双区硅橡胶加热器(1.0mm厚)。气体分配系统1260的温度可以用温度传感器件来监视,所述温度传感器件例如热电耦(例如K型热电耦、Pt传感器等)。此外,控制器可以利用温度测量作为对气体分配系统温度控制单元1269的反馈,以控制气体分配系统1260的温度。另外或者作为替换,在任何实施例中都可以利用冷却元件。
仍然参考图15和图16,真空泵浦系统1250可以包括用于调整室压强的真空泵1252和闸式阀1254。真空泵1252可以包括泵浦速度达到每秒5000立升(或者更大)的涡轮分子真空泵(TMP)。例如,TMP可以是Seiko STP-A803真空泵或Ebara ET1301W真空泵。TMP对于通常低于50mTorr的低气压处理非常有用。对于高气压(即高于100mTorr)或低吞吐量处理(即没有气流),可以使用机械升压泵和干燥低真空泵。
再次参考图16,化学处理系统1220还可以包括控制器1235,控制器1235具有微处理器、存储器和数字I/O端口,数字I/O端口能够产生控制电压,其足以传送并激活到化学处理系统1220的输入以及监视来自化学处理系统1220的例如温度和压强传感器件的输出。而且,控制器1235可以被耦合到衬底支撑物部件1244、气体分配系统1260、真空泵浦系统1250、闸式阀部件1296、壁温度控制单元1268和气体分配系统温度控制单元1269,并且可以与它们交换信息。例如,存储在存储器中的程序可以用来根据处理配方激活化学处理系统1220的上述组件的输入。
在替代实施例中,化学处理系统1220还可以包括光学观察孔(未示出)和至少一个压强传感器件(未示出)。
如图15和图17中所述,热处理系统1210还包括被控温的衬底支撑物1270。衬底支撑物1270包括使用热挡板1274与热处理室1211热绝缘的底座1272。例如,衬底支撑物1270可以由铝、不锈钢或镍制成,并且热挡板1274可以由例如Teflon、氧化铝或石英之类的绝热材料制成。衬底支撑物1270还包括嵌入其中的加热元件1276和与其耦合的衬底支撑物温度控制单元1278。例如,加热元件1276可以包括电阻性加热元件,例如钨、镍铬合金、铝铁合金、氮化铝等的细丝。当电流流经细丝时,功率转化为热,因此,衬底支撑物温度控制单元1278例如可以包括可控制的DC电源。或者,例如被加热的衬底支撑物1270可以是能够达到最高工作温度为400到450C的可从Watlow(1310 Kingsland Dr.,Batavia,IL,60510)购买的镶铸(cast-in)加热器,或者也是可从Watlow购买的最高工作温度能达300C且功率密度达23.25W/cm2的包括氮化铝材料的薄膜加热器。或者,在衬底支撑物1270中可以包括冷却元件。
可以使用例如热电耦(例如K型热电耦)之类的温度传感器件来监视衬底支撑物1270的温度。此外,控制器可以利用温度测量作为对衬底支撑物控制单元1278的反馈,以控制衬底支撑物1270的温度。
此外,可以使用温度传感器件监视衬底的温度,所述温度传感器件例如可从Advanced Energies,Inc.(1625 Sharp Point Drive,Fort Collins,CO,80525)购买的型号OR2000F的光纤温度计,其能够测量从50到2000C的温度,并且准确度为正负1.5C,或者在2002年7月2日递交的待决美国专利申请10/168544中所描述的带边沿温度测量系统,其内容通过全文引用结合于此。
再次参考图17,热处理系统1210还包括被控温的热处理室1211,其被维持在所选择的温度上。例如,热壁加热元件1283可以被耦合到热壁温度控制单元1281,并且热壁加热元件1283可以被配置为耦合到热处理室1211。例如,加热元件可以包括电阻性加热元件,例如钨、镍铬合金、铝铁合金、氮化铝等的细丝。当电流流经细丝时,功率转化为热,因此,热壁温度控制单元1281例如可以包括可控制的DC电源。例如,热壁加热元件1283可以包括至少一个可从Watlow(1310 Kingsland Dr.,Batavia,IL,60510)购买的Firerod cartridge加热器。另外或者作为替换,在热处理室1211中还可以使用冷却元件。热处理室1211的温度可以用温度传感器件来监视,所述温度传感器件例如热电耦(例如K型热电耦、Pt传感器等)。此外,控制器可以利用温度测量作为对热壁温度控制单元1281的反馈,以控制热处理室1221的温度。
仍然参考图15和图17,热处理系统1210还包括上部部件1284。例如,上部部件1284可以包括用于向热处理室1211引入净化气体、处理气体或清洁气体的气体注入系统。
再参考图17,热处理系统1210还可以包括被控温的上部部件1284,其可以被维持在所选择的温度上。例如,上部部件加热元件1285可以被耦合到上部部件温度控制单元1286,并且上部部件加热元件1285可以被配置为控制上部部件1284的温度。
例如,加热元件可以包括电阻性加热元件,例如钨、镍铬合金、铝铁合金、氮化铝等的细丝。制作电阻性加热元件的可购买的材料例如包括Kanthal、Nikrothal、Akrothal,它们是由Bethel,CT的Kanthal Corporation生产的金属合金的注册商标名。Kanthal系列包括铁氧体合金(FeCrAl),并且Nikrothal系列包括奥氏体合金(NiCr,NiCrFe)。当电流流经细丝时,功率转化为热,因此,上部部件温度控制单元1286例如可以包括可控制的DC电源。例如,上部部件加热元件1267可以包括能够达到1400W(或者5W/in2的功率密度)的双区硅橡胶加热器(1.0mm厚)。上部部件1284的温度可以用温度传感器件来监视,所述温度传感器件例如热电耦(例如K型热电耦、Pt传感器等)。此外,控制器可以利用温度测量作为对上部部件温度控制单元1286的反馈,以控制上部部件1284的温度。在替代实施例中,上部部件1284可以包括例如钨卤素灯阵列的辐射加热器。上部部件1284可以另外地或替代地包括冷却元件。
再次参考图15和17,热处理系统1210还包括衬底升降部件1290。衬底升降部件1290被配置为将衬底1242’降到衬底支撑物1270的上表面,以及将衬底1242”从衬底支撑物1270的上表面升到支撑平面或者其间的转移平面。在转移平面上,可以利用被用于将衬底转移进和转移出化学处理室和热处理室1221、1211的转移系统来交换衬底1242”。在支撑平面上,衬底1242”可以被冷却,而另一个衬底在转移系统与化学处理室和热处理室1221、1211之间交换。
仍然参考图15和图17,热处理室1210还包括真空泵浦系统1280。真空泵浦系统1280可以包括真空泵和例如闸式阀或蝶阀之类的节流阀。真空泵可以包括泵浦速度达到每秒5000立升(或者更大)的涡轮分子真空泵(TMP)。TMP对于通常低于50mTorr的低气压处理有用。对于高气压处理(即高于100mTorr),可以使用机械升压泵和干燥低真空泵。
再次参考图17,热处理系统1210还可以包括控制器1275,控制器1275具有微处理器、存储器和数字I/O端口,数字I/O端口能够产生控制电压,其足以传送并激活热处理系统1210的输入并且监视来自热处理系统1210的输出。而且,控制器1275可以被耦合到衬底支撑物温度控制单元1278、上部部件温度控制单元1286、上部部件1284、热壁温度控制单元1281、真空泵浦系统1280和衬底升降部件1290,并且可以与它们交换信息。例如,存储在存储器中的程序可以用来根据处理配方激活热处理系统1210的上述组件的输入。控制器1275的一个示例是可从DellCorporation,Austin,Texas购买的DELL PRECISION WORKSTATION610TM
在替代实施例中,控制器1235和1275可以是相同的控制器。
此外,热处理系统1210’还包括衬底检测系统(未示出),以识别衬底是否位于支撑平面中。例如,衬底检测系统可以包括Keyence(总部在Osaka,Japan)数字激光传感器。
虽然以上仅详细描述了本发明的某些实施例,但是本领域技术人员应当很容易理解,在本质上不脱离本发明新的教导和优点的情况下,可以对实施例做出很多种修改。因此,所有这样的修改都希望包括在本发明的范围内。

Claims (53)

1.一种用于通过化学氧化物去除来处理衬底的方法,包括:
确定对于所述衬底的希望状态,其中输出状态包括至少一个目标关键尺寸;
接收对于所述衬底的处理前测量数据,其中所述处理前测量数据定义晶片的输入状态并且包括处理前关键尺寸数据;
通过比较所述输入状态和所述希望状态确定处理配方;以及
使用所述处理配方,通过化学改变所述衬底上被暴露的表层,然后对经化学改变的表层进行热处理,来处理所述衬底。
2.根据权利要求1所述的处理衬底的方法,所述方法还包括:
接收对于所述衬底的处理后测量数据,其中所述处理后测量数据定义输出状态并且包括对于被处理衬底的关键尺寸数据;
确定是否已经达到所述希望状态;
当还未达到所述希望状态时,确定新的处理配方;以及
当已经达到所述希望状态时,转移所述衬底。
3.根据权利要求1所述的处理衬底的方法,其中,所述处理前测量数据包括光数字形貌测量数据。
4.根据权利要求1所述的处理衬底的方法,其中,所述处理后测量数据包括光数字形貌测量数据。
5.根据权利要求4所述的处理衬底的方法,其中,所述处理后测量数据包括扫描电子显微镜数据。
6.根据权利要求1所述的处理衬底的方法,其中,所述处理前测量数据包括至少一个要被控制的关键尺寸,并且通过将所述至少一个要被控制的关键尺寸与目标关键尺寸进行比较来确定所述处理配方。
7.根据权利要求6所述的处理衬底的方法,其中,所述至少一个要被控制的关键尺寸大于所述目标关键尺寸,并且所述处理包括执行调整过程。
8.根据权利要求7所述的处理衬底的方法,其中,所述调整过程包括:
对化学氧化物去除模块执行化学氧化物去除处理配方,其中使用处理气体对衬底上被暴露的表面进行化学处理,其中固体反应产物形成于至少一个被暴露的表面上;以及
通过执行对所述固体反应产物的蒸发的处理,对后热处理模块执行后热处理处理配方,从而调整所述经化学处理的被暴露的表层。
9.根据权利要求8所述的处理衬底的方法,还包括:
重复所述化学氧化物去除处理配方的执行和所述后热处理处理配方的执行,直到所述至少一个要被控制的关键尺寸近似等于所述目标关键尺寸。
10.根据权利要求9所述的处理衬底的方法,还包括:
接收处理后测量数据,其中所述处理后测量数据定义输出状态并且包括对于被处理衬底的测量到的关键尺寸数据。
确定所述测量到的关键尺寸数据是否近似等于所述目标关键尺寸;
当所述测量到的关键尺寸数据不近似等于所述目标关键尺寸时,重复所述化学氧化物去除处理配方的执行和所述后热处理处理配方的执行;以及
当所述测量到的关键尺寸数据近似等于所述目标关键尺寸时,停止所述执行步骤。
11.根据权利要求7所述的处理衬底的方法,还包括确定调整量,其中所述调整过程包括:
对化学氧化物去除模块执行化学氧化物去除处理配方,其中使用处理气体对衬底上被暴露的表面进行化学处理,其中厚度近似等于所述调整量的固体反应产物被形成于至少一个被暴露的表面上;以及
通过蒸发所述固体反应产物来对后热处理模块执行后热处理处理配方,从而以所述调整量调整所述经化学处理的被暴露的表面中的至少一个。
12.根据权利要求11所述的处理衬底的方法,还包括:
检查多个预先具有资格的控制配方,其中每个控制配方具有预定的调整值;以及
选择具有如下的预定的调整值的控制配方,所述预定的调整值近似等于所述处理前关键尺寸数据和所述目标关键尺寸数据之间的差。
13.根据权利要求11所述的处理衬底的方法,还包括:
创建填框表,每个方框包含预定的调整值;
选择具有如下的预定的调整值的方框,所述预定的调整值近似等于所述处理前关键尺寸数据和所述目标关键尺寸数据之间的差;以及
选择与所述方框相关联的预先具有资格的控制配方作为处理配方。
14.根据权利要求11所述的处理衬底的方法,还包括:
创建包括多个配方的查找表;以及
执行表格查找以确定所述处理配方。
15.根据权利要求8所述的处理衬底的方法,其中,所述执行化学氧化物去除处理配方包括:
将所述衬底转移到包括化学处理室的模块中;
将所述衬底置于被安装在所述化学处理室内的被控温的衬底支撑物上;
使用被耦合到所述化学处理室的真空泵浦系统改变所述室压强;
使用气体分配系统提供所述处理气体,所述气体分配系统被耦合到所述化学处理室并且被配置为将处理气体引入到所述化学处理室中;以及
根据所述处理配方,控制所述化学氧化物去除模块、所述被控温的衬底支撑物、所述真空泵浦系统和所述气体分配系统。
16.根据权利要求8所述的处理衬底的方法,其中,所述执行后热处理处理配方包括:
将所述衬底转移到包括热处理室的模块中;
将所述衬底置于被安装在所述热处理室内的被控温的衬底支撑物上;
使用被耦合到所述热处理室的被控温的上部部件改变所述室温度;
使用被耦合到所述热处理室的真空泵浦系统改变所述室压强;以及
根据所述处理配方,控制所述后热处理模块、所述真空泵浦系统、温度控制系统和所述被控温的衬底支撑物。
17.根据权利要求8所述的处理衬底的方法,其中,所述被暴露的表面包括硬质掩膜材料。
18.根据权利要求8所述的处理衬底的方法,其中,所述被暴露的表面包括氧化的TERA材料。
19.根据权利要求15所述的处理衬底的方法,其中,所述处理气体包括含氟气体和含氮气体。
20.根据权利要求19所述的处理衬底的方法,其中,所述处理气体包括HF和NH3
21.根据权利要求8所述的处理衬底的方法,其中,所述处理气体包括被独立地引到处理空间中的第一气体和第二气体。
22.根据权利要求15所述的处理衬底的方法,其中,所述化学处理室中的被控温的衬底支撑物的温度的范围是从近似10℃到近似50℃。
23.根据权利要求15所述的处理衬底的方法,其中,被安装在所述化学处理室中的被控温的衬底支撑物上的衬底的温度的范围是从近似10℃到近似50℃。
24.根据权利要求15所述的处理衬底的方法,其中,所述化学处理室压强的范围是从近似1mTorr到近似100mTorr。
25.根据权利要求15所述的处理衬底的方法,还包括将所述气体分配系统中的处理气体的温度控制在从近似30℃到近似100℃的范围内。
26.根据权利要求15所述的处理衬底的方法,还包括将化学处理室壁的温度控制在从近似30℃到近似100℃的范围内。
27.根据权利要求16所述的处理衬底的方法,其中,所述热处理室中的被控温的衬底支撑物的温度的范围是从近似10℃到近似50℃。
28.根据权利要求16所述的处理衬底的方法,其中,被安装在所述热处理室中的被控温的衬底支撑物上的衬底的温度的范围是从近似10℃到近似50℃。
29.根据权利要求16所述的处理衬底的方法,其中,所述热处理室压强的范围是从近似1mTorr到近似100mTorr。
30.根据权利要求16所述的处理衬底的方法,其中,所述热处理室的温度的范围是从近似10℃到近似50℃。
31.根据权利要求16所述的处理衬底的方法,还包括:
在第一时间期间,将所述衬底置于离所述被控温的上部部件的第一距离处;以及
在第二时间期间,将所述衬底置于离所述被控温的上部部件的第二距离处。
32.根据权利要求16所述的处理衬底的方法,还包括将热处理室壁的温度控制在从近似30℃到近似100℃的范围内。
33.根据权利要求1所述的处理衬底的方法,其中,所述处理前测量数据包括针对至少一个孤立特征结构的孤立关键尺寸数据和针对至少一个嵌套特征结构的嵌套关键尺寸数据,并且通过将所述孤立关键尺寸数据和所述嵌套关键尺寸数据与所述目标关键尺寸进行比较来确定所述处理配方。
34.根据权利要求33所述的处理衬底的方法,还包括:
基于所述孤立关键尺寸数据与所述目标关键尺寸数据之间的差执行第一调整过程;以及
基于所述嵌套关键尺寸数据与所述目标关键尺寸数据之间的差执行第二调整过程。
35.根据权利要求33所述的处理衬底的方法,还包括:
基于针对第一特征结构的关键尺寸数据和所述目标关键尺寸数据之间的差,确定第一差量;
基于针对第二特征结构的关键尺寸数据和所述目标关键尺寸数据之间的差,确定第二差量;
基于所述第一差量和所述第二差量之间的差执行调整过程。
36.根据权利要求33所述的处理衬底的方法,其中,所述处理前测量数据包括适合度数据和深度数据。
37.根据权利要求1所述的处理衬底的方法,还包括:
接收对于所述衬底的处理后测量数据,其中,所述处理后测量数据定义输出状态并且包括对于被处理衬底的关键尺寸数据;
基于处理特性和处理模型计算对于晶片的预计状态;
通过比较所述输出状态和所述预计状态,确定是否已达到所述预计状态;以及
当还未达到所述预计状态时,计算处理模型偏移量。
38.根据权利要求1所述的处理衬底的方法,其中,通过执行控制策略和控制方案来确定所述处理配方。
39.根据权利要求8所述的处理衬底的方法,还包括:
将所述衬底从所述化学氧化物去除模块转移到所述后热处理模块。
40.一种用于处理衬底的处理系统,包括:
处理子系统,包括用于化学改变所述衬底上被暴露的表层的化学氧化物去除模块,用于对所述衬底上经化学改变的表层进行热处理的后热处理模块,以及耦合在所述后热处理模块和所述化学氧化物去除模块之间的隔离部件;
第一集成测量模块,其耦合到所述处理子系统,用于提供确定所述衬底的输入状态的处理前测量数据;以及
耦合到所述处理子系统和所述第一集成测量模块的控制设备,其中所述控制设备确定用于将所述晶片从所述输入状态变化到希望状态的处理配方;并且执行所述处理配方。
41.根据权利要求40所述的处理衬底的处理系统,其中,所述化学氧化物去除模块还包括被控温的化学处理室,被安装在所述化学处理室内并且被配置为与所述化学处理室基本热绝缘的被控温的衬底支撑物,耦合到所述化学处理室的真空泵浦系统,以及用于将一种和多种处理气体引入到所述化学处理室中的被控温的气体分配系统。
42.根据权利要求40所述的处理衬底的处理系统,其中,所述后热处理模块还包括被控温的热处理室,被安装在所述热处理室内并且被配置为与所述热处理室基本热绝缘的被控温的衬底支撑物,以及耦合到所述热处理室的真空泵浦系统。
43.根据权利要求40所述的处理衬底的处理系统,其中,所述控制装置还包括用于控制以下至少一者的装置:化学处理室温度、化学处理气体分配系统温度、化学处理衬底支撑物温度、化学处理衬底温度、化学处理的处理压强、化学处理气体流率、热处理室温度、热处理衬底支撑物温度、热处理衬底温度和热处理的处理压强。
44.根据权利要求40所述的处理衬底的处理系统,其中,所述隔离部件包括绝热部件、闸式阀部件和转移系统中的至少一个。
45.根据权利要求41所述的处理衬底的处理系统,其中,所述被控温的化学处理室包括壁加热元件。
46.根据权利要求41所述的处理衬底的处理系统,其中,所述被控温的气体分配系统包括至少一个气体分配板,所述气体分配板包括一个或多个气体注入孔。
47.根据权利要求41所述的处理衬底的处理系统,其中,所述化学处理室中的被控温的衬底支撑物包括以下至少一种:静电夹持系统、背部气体供应系统以及一个或多个温度控制元件。
48.根据权利要求41所述的处理衬底的处理系统,其中,所述化学处理室中的被控温的衬底支撑物包括一个或多个温度控制元件。
49.根据权利要求41所述的处理衬底的处理系统,其中,所述气体分配系统包括第一气体分配通气系统和第一气体分配板以及第二气体分配通气系统和第二气体分配板,所述第一气体分配板具有一个或多个孔的第一阵列和一个或多个孔的第二个阵列,用于通过所述第一气体分配板中的一个或多个孔的第一阵列将所述第一气体耦合到处理空间,所述第二气体分配板中具有通道,用于通过所述第二气体分配板中的通道和所述第一气体分配板中的一个或多个孔的第二阵列将所述第二气体耦合到所述处理空间。
50.根据权利要求49所述的处理衬底的处理系统,其中,所述第一气体和所述第二气体被独立地引入到所述处理空间。
51.根据权利要求42所述的处理衬底的处理系统,其中,所述后热处理模块还包括耦合到所述热处理室的衬底升降部件,其用于垂直地在转移平面和所述衬底支撑物之间平移所述衬底。
52.根据权利要求40所述的处理系统,其中,所述处理子系统被耦合到制造系统。
53.根据权利要求40所述的处理系统,其中,所述控制装置还确定是否已经达到所述希望状态。
CN2004800380518A 2003-12-17 2004-11-03 去除化学氧化物的系统的操作方法 Expired - Fee Related CN1961405B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/736,983 2003-12-17
US10/736,983 US7877161B2 (en) 2003-03-17 2003-12-17 Method and system for performing a chemical oxide removal process
PCT/US2004/036498 WO2005062344A1 (en) 2003-12-17 2004-11-03 Method of operating a system for chemical oxide removal

Publications (2)

Publication Number Publication Date
CN1961405A true CN1961405A (zh) 2007-05-09
CN1961405B CN1961405B (zh) 2010-12-22

Family

ID=34710472

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800380518A Expired - Fee Related CN1961405B (zh) 2003-12-17 2004-11-03 去除化学氧化物的系统的操作方法

Country Status (5)

Country Link
US (2) US7877161B2 (zh)
JP (1) JP4723513B2 (zh)
KR (2) KR101176664B1 (zh)
CN (1) CN1961405B (zh)
WO (1) WO2005062344A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102105312A (zh) * 2008-07-31 2011-06-22 东京毅力科创株式会社 用于化学处置和热处置的高产量处理系统及操作方法
CN103681395A (zh) * 2012-09-04 2014-03-26 台湾积体电路制造股份有限公司 用于工具状态监控的定性故障检测和分类系统及相关方法
CN105336646A (zh) * 2014-08-15 2016-02-17 力晶科技股份有限公司 工艺控制方法与工艺控制系统

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20050004780A1 (en) * 2003-07-03 2005-01-06 Taiwan Semiconductor Manufacturing Co., Ltd Virtual assistant for semiconductor tool maintenance
US7077903B2 (en) * 2003-11-10 2006-07-18 International Business Machines Corporation Etch selectivity enhancement for tunable etch resistant anti-reflective layer
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US6909934B1 (en) * 2004-01-05 2005-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Efficient method of dynamic formulation of chamber selections for multiple chamber tools
US20050218114A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US20080281438A1 (en) * 2004-04-23 2008-11-13 Model Predictive Systems, Inc. Critical dimension estimation
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7209798B2 (en) * 2004-09-20 2007-04-24 Tokyo Electron Limited Iso/nested cascading trim control with model feedback updates
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
CN100449709C (zh) * 2005-02-14 2009-01-07 东京毅力科创株式会社 基板处理方法、清洗方法、电子设备的制造方法和程序
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
JP4843285B2 (ja) * 2005-02-14 2011-12-21 東京エレクトロン株式会社 電子デバイスの製造方法及びプログラム
US7622392B2 (en) * 2005-02-18 2009-11-24 Tokyo Electron Limited Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
US7333876B2 (en) * 2005-03-01 2008-02-19 Isotec International, Inc, Systems and methods for providing electronic quality control in a process for applying a polyurethane to a substrate
US7394067B1 (en) * 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
KR101192676B1 (ko) * 2006-01-27 2012-10-19 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
KR100929944B1 (ko) * 2006-02-07 2009-12-04 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 제어 장치 및 기판 처리 장치의 제어 프로그램을 기록한 기억 매체
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7312161B2 (en) * 2006-05-05 2007-12-25 Fsi International, Inc. Advanced process control for low variation treatment in immersion processing
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US8682466B2 (en) * 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US7713758B2 (en) * 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US7899637B2 (en) * 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
JP5248902B2 (ja) 2007-10-11 2013-07-31 東京エレクトロン株式会社 基板処理方法
JP5484363B2 (ja) * 2007-10-11 2014-05-07 東京エレクトロン株式会社 基板処理方法
US7888267B2 (en) * 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
JP2009267111A (ja) * 2008-04-25 2009-11-12 Tokyo Electron Ltd 半導体デバイスの製造方法、製造装置、コンピュータプログラム、及びコンピュータ可読記憶媒体
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US20100211202A1 (en) * 2009-02-13 2010-08-19 Hermes Microvision, Inc. Method and machine for examining wafers
US9768082B2 (en) 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
JP5629098B2 (ja) * 2010-01-20 2014-11-19 東京エレクトロン株式会社 シリコン基板上のパターン修復方法
US8527081B2 (en) * 2010-08-31 2013-09-03 Applied Materials, Inc. Method and apparatus for automated validation of semiconductor process recipes
GB2487716B (en) * 2011-01-24 2015-06-03 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US8415253B2 (en) 2011-03-30 2013-04-09 International Business Machinees Corporation Low-temperature in-situ removal of oxide from a silicon surface during CMOS epitaxial processing
US8987104B2 (en) 2011-05-16 2015-03-24 Globalfoundries Inc. Method of forming spacers that provide enhanced protection for gate electrode structures
US20130297061A1 (en) * 2012-05-03 2013-11-07 National Taiwan University Method and computer-aided design system of manufacturing an optical system
JP6177513B2 (ja) * 2012-09-28 2017-08-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6086862B2 (ja) 2013-08-30 2017-03-01 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
US9798750B2 (en) * 2013-10-14 2017-10-24 Schneider Electric Software, Llc Parameter download verification in industrial control system
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
JP6230954B2 (ja) 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
CN104013600A (zh) * 2014-06-05 2014-09-03 暨南大学 一种包载补骨脂素的纳米结构脂质载体制剂及其制备方法
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US20160365253A1 (en) * 2015-06-09 2016-12-15 Macronix International Co., Ltd. System and method for chemical mechanical planarization process prediction and optimization
US10290553B2 (en) * 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
WO2017136306A1 (en) * 2016-02-01 2017-08-10 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
CN107093568B (zh) * 2016-02-18 2021-04-09 北大方正集团有限公司 一种晶元在线监测方法及装置
JP6546867B2 (ja) * 2016-03-10 2019-07-17 東京エレクトロン株式会社 処理プロセスを調整する方法
JP6537992B2 (ja) * 2016-03-30 2019-07-03 東京エレクトロン株式会社 基板処理装置、基板処理装置の制御方法、及び基板処理システム
JP6667354B2 (ja) * 2016-04-15 2020-03-18 東京エレクトロン株式会社 基板処理方法および基板処理装置
US10607851B2 (en) 2017-08-25 2020-03-31 Micron Technology, Inc. Vapor-etch cyclic process
CN110095802B (zh) * 2018-01-31 2022-07-29 中国辐射防护研究院 一种模拟研究放射性固体废物处置过程中氢气产生的方法
WO2019182959A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Platform and method of operating for integrated end-to-end gate contact process
JP7153499B2 (ja) * 2018-08-08 2022-10-14 東京エレクトロン株式会社 酸素含有被処理体の処理方法及び処理装置
EP3647873A1 (en) * 2018-11-02 2020-05-06 ASML Netherlands B.V. Method to characterize post-processing data in terms of individual contributions from processing stations
JP7453853B2 (ja) * 2020-05-27 2024-03-21 株式会社日立製作所 処理条件決定システムおよび処理条件探索方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
TW204411B (zh) * 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6165805A (en) 1998-10-29 2000-12-26 Advanced Micro Devices, Inc. Scan tool recipe server
GB2352532B (en) 1999-06-28 2003-09-10 Hyundai Electronics Ind Semiconductor factory automation system and method for resetting process recipe by employing trace file
US6415193B1 (en) * 1999-07-08 2002-07-02 Fabcentric, Inc. Recipe editor for editing and creating process recipes with parameter-level semiconductor-manufacturing equipment
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
WO2002004887A1 (en) 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6646284B2 (en) * 2000-12-12 2003-11-11 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method of manufacturing the same
US6864041B2 (en) * 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US20040071888A1 (en) * 2002-05-30 2004-04-15 Symyx Technologies, Inc. Apparatus and method of research for creating and testing thin films
US7042564B2 (en) * 2002-08-08 2006-05-09 Applied Materials, Israel, Ltd. Wafer inspection methods and an optical inspection tool
US6903023B2 (en) * 2002-09-16 2005-06-07 International Business Machines Corporation In-situ plasma etch for TERA hard mask materials
US7214274B2 (en) 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
JP4833512B2 (ja) 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
US7060626B2 (en) * 2003-06-25 2006-06-13 International Business Machines Corporation Multi-run selective pattern and etch wafer process
US7328418B2 (en) * 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102105312A (zh) * 2008-07-31 2011-06-22 东京毅力科创株式会社 用于化学处置和热处置的高产量处理系统及操作方法
CN103681395A (zh) * 2012-09-04 2014-03-26 台湾积体电路制造股份有限公司 用于工具状态监控的定性故障检测和分类系统及相关方法
CN103681395B (zh) * 2012-09-04 2017-05-10 台湾积体电路制造股份有限公司 用于工具状态监控的定性故障检测和分类系统及相关方法
CN105336646A (zh) * 2014-08-15 2016-02-17 力晶科技股份有限公司 工艺控制方法与工艺控制系统
CN105336646B (zh) * 2014-08-15 2018-02-23 力晶科技股份有限公司 工艺控制方法与工艺控制系统

Also Published As

Publication number Publication date
WO2005062344A1 (en) 2005-07-07
KR20060113688A (ko) 2006-11-02
KR20110099321A (ko) 2011-09-07
US20110307089A1 (en) 2011-12-15
US7877161B2 (en) 2011-01-25
US8175736B2 (en) 2012-05-08
KR101240149B1 (ko) 2013-03-11
US20040185583A1 (en) 2004-09-23
JP2007515074A (ja) 2007-06-07
JP4723513B2 (ja) 2011-07-13
KR101176664B1 (ko) 2012-08-23
CN1961405B (zh) 2010-12-22

Similar Documents

Publication Publication Date Title
CN1961405A (zh) 去除化学氧化物的系统的操作方法
JP5014990B2 (ja) 数式ベースのラン・ツウ・ラン制御
US7906032B2 (en) Method for conditioning a process chamber
TWI393169B (zh) 施行晶圓均勻度控制之動態量測取樣
KR101338230B1 (ko) 질화 화합물 반도체 구조들의 에피택셜 성장
JP5033627B2 (ja) 仮想モジュールを用いた半導体処理方法
JP4969446B2 (ja) 仮想モジュールを用いた半導体処理方法
CN1816905A (zh) 用于刻蚀工艺的前馈和反馈晶片到晶片控制方法
TWI351716B (en) Dynamic metrology sampling with wafer uniformity c
CN1214301C (zh) 控制处理装置的方法
CN1959569A (zh) 刻蚀机集群控制器
JP2015115540A (ja) 管理装置、基板処理装置の管理方法および基板処理システム並びに記録媒体
JP2010541276A (ja) Mocvdとhvpeを用いたiii−v族窒化膜の成長における寄生粒子抑制
US20070241351A1 (en) Double-sided nitride structures
TW202122945A (zh) 資訊處理裝置及基板處理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101222

Termination date: 20201103