JP5014990B2 - 数式ベースのラン・ツウ・ラン制御 - Google Patents

数式ベースのラン・ツウ・ラン制御 Download PDF

Info

Publication number
JP5014990B2
JP5014990B2 JP2007521462A JP2007521462A JP5014990B2 JP 5014990 B2 JP5014990 B2 JP 5014990B2 JP 2007521462 A JP2007521462 A JP 2007521462A JP 2007521462 A JP2007521462 A JP 2007521462A JP 5014990 B2 JP5014990 B2 JP 5014990B2
Authority
JP
Japan
Prior art keywords
value
recipe
regime
dynamic variable
order equation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007521462A
Other languages
English (en)
Other versions
JP2008507131A (ja
Inventor
メリット・ファンク
ケヴィン・オーガスティン・ピント
朝夫 山下
ウェズリー・ナツール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008507131A publication Critical patent/JP2008507131A/ja
Application granted granted Critical
Publication of JP5014990B2 publication Critical patent/JP5014990B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • General Factory Administration (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

このPCT出願は、2004年7月14日に出願された米国非仮特許願第10/890,410号を基礎とし、これを優先権の拠り所とするものであり、その内容全体を文献引用によって本願明細書に組み込んだものとする。
本出願は、「基材を化学的に処理する処理システムおよび方法(Processing System And Method For Chemically Treating A Substrate)」というタイトルの2003年11月12日に出願された米国同時係属特許出願第10/705,200号、「熱的に基材を処理する処理システムおよび方法(Processing System and Method for Thermally Treating a Substrate)」というタイトルの2003年11月12日に出願された米国同時係属特許出願第10/704,969号と、「隣接した温度制御されるチャンバを熱的に絶縁するための方法と装置(Method and Apparatus for Thermally Insulating Adjacent Temperature Controlled Chambers)」というタイトルの2003年11月12日に出願した出願中の米国特許出願シリアル番号10/705,397号とに関連する。それら出願の全ての全体の内容を文献引用によって本願明細書に組み込んだものとする。
本発明は、基材(基板)を処理する方法およびシステムに関し、特に、ラン・ツ−・ラン制御用の数式ベースのレシピを使用するシステムおよび方法に関する。
半導体またはディスプレイ製造等、さまざまな段階のプラズマ処理を通してプロセスパラメータが大きく変化することがある。プロセスパラメータのごく僅かな変化により、時間が経つにつれて処理条件が変化し、望ましくない結果を生じる。エッチングガスの組成または圧力、プロセスチャンバまたはウエハの温度は小変化が生じやすい。したがって、プラズマ処理施設は常時監視する必要がある。
これらのプロセスパラメータを任意の時間に測定および監視することにより、貴重なデータを累積して分析することが可能となる。プロセス制御フィードバックは、パラメータを調節したり、またはある種のプロセス材料の可能性を判断するために使用できる。しかし、多くの場合、加工特性の悪化を反映するプロセスデータの変化は、表示されるプロセスデータを単に参照するだけでは検出できない。したがって、プロセスの早期の異常および特性悪化を検出することは困難であり、故障の検出および予防ならびに高度プロセス制御(APC)によるパターン認識を達成する必要がある場合が多い。プロセスツールがAPCシステムに接続されてなく、また、プロセスツール上のデータが適切に使用されていないことが多い。
上記および他の理由により、本願明細書において具現化され大筋で説明されている本発明の原理は、基材を処理する方法に関するものであり、この方法は、基材に関する所望の処理結果および実際の測定データを含むプリプロセスデータを受け取る工程と、所望のプロセス結果と現実の測定データの間の差を含む必要処理結果を求める工程と、静的レシピおよび数式モデルの少なくとも1つを用いてプロセスツールから得られるノミナルレシピを修正し、所要プロセス結果とほぼ同等の新プロセス結果を提供する新プロセスレシピを作成する工程とを含む。ノミナルレシピは複数のプロセスステップを備え、各ステップは、その中で定義される複数のプロセスパラメータを有し、静的レシピは少なくとも1つのプロセスステップの少なくとも1つのプロセスパラメータをさらに定義する少なくとも1つの定数値を有し、数式モデルは少なくとも1つのプロセスステップの少なくとも1つのプロセスパラメータをさらに定義する少なくとも1つの動的変数を有する。この方法は、新プロセスレシピをプロセスツールに送って基材を処理する工程をさらに含む。
また、本発明は基材を処理する方法を提供し、この方法は、基材に関する所望の処理結果および実際の測定データを含むプリプロセスデータを受け取る工程と、所望のプロセス結果と現実の測定データの間の差を含む必要処理結果を求める工程と、新プロセスレシピを作成するための制御ストラテジを識別する工程とを含み、新プロセスレシピが必要プロセス結果とほぼ等しい新プロセス結果を提供し、制御ストラテジは、複数の静的レシピを含む静的レベルのための制御プランと、複数の数式モデルを含む数式モデルのための制御プランのうちの少なくとも一方を備えている。
この方法は、ノミナルレシピを決定する工程であって、ノミナルレシピが第1の数の列と第2の数の行を有するテーブルを備え、各列がプロセスステップを含み、各行がプロセスパラメータを含み、各セルがプロセスパラメータ値を含む、工程と、第1のテーブルの少なくとも1つのセルと関連付けられたプロセスパラメータ値を修正するために静的レシピと数式モデルの少なくとも一方を実行する工程であって、静的レシピが第1の数の列と第2の数の行を有する第2のテーブルを含み、第2のテーブルの少なくとも1つのセルが第1のテーブルの少なくとも1つのセルと関連付けられたプロセスパラメータ値を修正するための定数値を含み、数式モデルが第1の数の列と第2の数の行を有する第3のテーブルを含み、第3のテーブルの少なくとも1つのセルが第1のテーブルの1つまたはそれより多いセルと関連付けられたプロセスパラメータ値を修正する動的変数を含む、工程と、新プロセスレシピをプロセスツールに送って基材を処理する工程とを含む。
本発明の他の態様は、以下の説明および本願明細書に添付されている図面から明らかになるであろう。
単なる例として添付概略図を参照しながら以下に本発明の実施例を説明する。図中、同じ符号は同じ部分を示している。
材料処理法では、パターンエッチングは、フォトレジストなどの感光材料の薄層を基材上表面に積層することを含む。その後、エッチング中に下にある薄膜にパターンを転写するためのマスクを作成するために、薄層にパターンが形成される。一般に感光材料へのパターン形成では、例えばマイクロリソグラフシステムを使用した感光材料のレチクル(および関連光学部品)を介した放射光源による照射、その後、現像液を用いた感光材料の照射領域(ポジ型フォトレジストの場合)または非照射領域(ネガ型レジストの場合)の除去が必要となる。
また、多層マスクおよびハードマスクは、薄膜にフィーチャをエッチングするように構成することができる。例えば、ハードマスクを使用した薄膜にフィーチャをエッチングする場合、薄膜の主エッチング工程の前に、別のエッチング工程を用いて感光層のマスクパターンがハードマスク層に転写される。ハードマスクは、例えば、これに限定するものではないが、二酸化ケイ素(SiO)、窒化ケイ素(Si)またはカーボンなど、いくつかのシリコンプロセス用材料から選択できる。
図1は、本発明の実施形態にしたがうプロセスシステムの例示ブロック図を示す。示された実施の形態では、プロセスシステム100は、プロセスツール110と、このプロセスツールに接続されたコントローラ120と、少なくともコントローラ120に接続されている製造装置システム(MES)130とを備えている。また、プロセスツール110、コントローラ120およびMES130のうちの少なくとも1つは、GUIコンポーネントおよび/またはデータベースコンポーネント(不図示)を備えることができる。別の実施形態では、GUIコンポーネントおよび/またはデータベースコンポーネントは不要である。
セットアップおよび/または機器構成情報の中には、プロセスツール110および/またはコントローラ120によってファクトリシステム130から入手できるものもある。制御階層を確立するために、ファクトリレベルのビジネスルールを用いることができる。例えば、プロセスツール110および/またはコントローラ120は独立に動作可能であってもよいし、ファクトリシステム130によってある程度まで制御されてもよい。また、いつプロセスを一時停止および/または停止するか、プロセスを一時停止および/または停止するときに何を行なうかを決めるためにファクトリレベルのビジネスルールを用いることができる。さらに、プロセスをいつ変更するか、プロセスをどのように変更するかを決めるためにファクトリレベルのビジネスルールを用いることができる。
正常な処理の場合に行なわれる操作および例外的な状態のときに行なわれる操作を指定するためにビジネスルールを用いることができる。この操作として、特に、初期モデルローディング、プレエッチング計測データフィルタリング、コントローラレシピ選択、ポストエッチング計測データフィルタリング、フィードバック計算、モデル更新などが掲げられる。
ビジネスルールは、制御ストラテジレベル、制御プランレベル、または制御モデルレベルで定義できる。ビジネスルールは、特定の状況が生じたときに実行するように割り当てることができる。高いレベルと低いレベルでまったく同じ状況が生じた場合、高いレベルに対応するビジネスルールを実行させることができる。ビジネスルールを定義および保守するためにGUI画面を用いることができる。ビジネスルールの定義ならびに割当ては、通常のセキュリティレベルより高いセキュリティレベルでユーザに許可することができる。ビジネスルールはデータベース内で保守できる。ビジネスルールをどのように定義、割当ておよび保守するかに関するドキュメンテーションおよびヘルプ画面を用意することができる。
プロセスツール110および/またはコントローラ120に対応するデータベースから報告されるデータを用いて、いくつかのシステムプロセスを監視するようにMES130を構成することができる。どのプロセスを監視してどのデータを使用するかを決めるためにファクトリレベルのビジネスルールを用いることができる。例えば、プロセスツール110および/またはコントローラ120が独立にデータを収集することもできるし、ファクトリシステム130によってデータ収集プロセスをある程度まで制御することもできる。また、プロセスの変更、一時停止および/または停止時にデータをどのように処理するかを決めるためにファクトリレベルのビジネスルールを用いることもできる。
また、MES130はランタイム設定情報をプロセスツール110および/またはコントローラ120に提供できる。例えば、自動プロセス制御(APC)設定、目標、制限、ルールおよびアルゴリズムをランタイム時に「APCレシピ」、「APCシステムルール」および「APCレシピパラメータ」としてファクトリからプロセスツール110および/またはコントローラ120へダウンロードできる。
セットアップおよび/または構成情報の中には、プロセスシステム100による初期設定時にプロセスツール110および/またはコントローラ120によって決定できるものもある。制御階層を確立するために、システムレベルのビジネスルール(システムルール)を用いることができる。例えば、プロセスツール110および/またはコントローラ120が独立に動作可能であってよいし、プロセスツール110がコントローラ120によってある程度まで制御されてもよい。また、いつプロセスを一時停止および/または停止するか、プロセスを一時停止および/または停止するときに何を行なうかを決めるためにシステムルールを用いることができる。さらに、プロセスをいつ変更するか、プロセスをどのように変更するかを決めるためにシステムルールを用いることができる。また、コントローラ120は、いくつかのツールレベルの動作を制御するためにツールレベルルールを用いることができる。
一般に、ルールによって、プロセスシステム100の動的状態に基づいたシステムおよび/またはツール動作の変更が可能となる。
図1には、1つのプロセスツール110と1つのコントローラ120が示されているが、これは本発明の要件ではない。半導体プロセスシステムは、独立したプロセスツールおよびモジュールのほかに、プロセスツールおよびプロセスツールと組み合わされたコントローラをいくつでも備えることもできる。
任意の個数の独立したプロセスツールおよびモジュールに加え、プロセスツールと組み合わされる任意の個数のコントローラを有する任意の個数のプロセスツールを構成するためにプロセスツール110および/またはコントローラ120を用いることができる。ほかにもさまざまな機能があるが、プロセスツール110および/またはコントローラ120は、プロセスツール、プロセスサブシステム、プロセスモジュールおよびセンサに関するプロセスのデータを収集、提供、処理、格納および表示することができる。
プロセスツール110および/またはコントローラ120は、一例として、少なくとも1つのツール関連アプリケーション、少なくとも1つのモジュール関連アプリケーション、少なくとも1つのセンサ関連アプリケーション、少なくとも1つのインタフェース関連アプリケーション、少なくとも1つのデータベース関連アプリケーション、少なくとも1つのGUI関連アプリケーションおよび少なくとも1つの構成アプリケーションをはじめとする多数のアプリケーションを備えることができる。
例えば、システム100は、ユニティツール(Unity Tool)、テリウスツール(Telius Tool)、および/またはトリアスツール(Trias Tool)ならびにその関連のプロセスサブシステムおよびプロセスモジュールを含むことができる、東京エレクトロン株式会社(Tokyo Electron Limited)製のAPCシステムを備えることができる。また、このシステムは、東京エレクトロン株式会社製のIngenio TL ESサーバおよび東京エレクトロン株式会社製の統合計測モジュール(IMM)などのラン・ツウ・ラン(R2R)コントローラを備えることができる。
あるいは、コントローラ120は他のプロセスツールおよび他のプロセスモジュールを支援することもできる。図2は、本発明の実施形態にしたがうプロセスツール用GUI画面の例示図を示す。
GUIコンポーネント(不図示)は使いやすいインタフェースを提供することができる。このインタフェースによってユーザができるようになることは、(a)ツールの状態およびプロセスモジュールの状態を見ること、(b)選択されたウエハのサマリおよび生(トレース)パラメトリックデータのx−yチャートを作成および編集すること、(c)ツールアラームログを見ること、(d)データベースまたは出力ファイルにデータを書き込むための条件を指定するデータ収集プランを設定すること、(e)ファイルを統計的プロセス制御(SPC)チャーティング、モデリングおよびスプレッドシートプログラムへ入力すること、(f)特定ウエハに関するウエハ処理情報を調べ、データベースに現在保存されているデータを見直すこと、(g)プロセスパラメータのSPCチャートを作成および編集し、eメール警告を発生するSPCアラームを設定すること、(h)多変量PCAおよび/またはPLSモデルを実行すること、および(i)TLコントローラ120に関連する問題を追跡および報告するために診断画面を見ること、である。当業者に明らかであるように、GUIコンポーネントが全機能のインタフェースを提供する必要はない。そうではなく、GUIはこれらの機能またはここに記載されていない他の機能の任意のサブセットのインタフェースを提供できる。
ツールからの生データおよびトレースデータは、ファイルとしてデータベースに格納できる。また、IMデータおよびホスト計測データはデータベースに格納できる。データ量は、設定されるデータ収集プランならびにプロセスが実施されたりプロセスツールが実行される頻度によって異なる。プロセスツール、プロセスチャンバ、センサおよびオペレーティングシステムから得られるデータはデータベースに格納できる。
別の実施形態において、システム100はクライアントワークステーション(不図示)を備えることができる。システム100は複数のクライアントワークステーションをサポートできる。クライアントワークステーションは、構成手順の実施;ツール、コントローラ、プロセスおよびファクトリステータスの閲覧;現在および履歴データの閲覧;モデリングおよびチャーティング機能の実施;およびコントローラへのデータ入力をユーザが行なえるようにする。例えば、コントローラによって実施される1つまたはそれより多いプロセスをユーザが制御できるようにする管理者権限がユーザに与えてもよい。
コントローラ120は、少なくとも1つの他のコントローラに接続するためのリンク122、124を備えることができる。例えば、他のコントローラを、このプロセスの前に実施されていたプロセスと関連させることができ、および/または他のコントローラをこのプロセスの後に実施されるプロセスと関連させることができる。リンク122およびリンク124は、情報をフィードフォワードおよび/またはフィードバックするために用いることができる。
プロセスツール110およびコントローラ120はMES130に接続でき、E診断システムの一部とすることができる。プロセスツール110および/またはコントローラ120はファクトリシステムと情報交換することができる。また、MES130は、コマンドおよび/またはオーバライド情報をプロセスツール110および/またはコントローラ120に送信できる。例えば、MES130は、任意の数のプロセスモジュール、ツールおよび測定デバイスのダウンロード可能レシピを、各レシピの可変パラメータと一緒に、プロセスツール110および/またはコントローラ120にフィードフォワードすることができる。可変パラメータとして、例として、ロット毎に調節可能であることを要するツールレベルシステムにおける最終CD目標、限界、オフセット、変数などが掲げられる。また、ファクトリリソCD計測データをコントローラ120にフィードフォワードすることもできる。
また、CD SEM情報などの測定データをコントローラ120に供給するためにMES130を用いることができる。なお、CD SEM情報は手作業で供給することもできる。IMおよびCD SEM測定間のずれを調整するために調整係数が用いられる。CD SEMデータの手動および自動入力は、R2Rコントローラ」のFB制御ループの履歴に適切に挿入するための、日付などのタイムスタンプを含む。
設定可能項目は、GEM SECS通信プロトコルを使用してファクトリシステムから送られる可変パラメータセットとして設定することができる。例えば、可変パラメータを「APCレシピ」の一部として送ることができる。APCレシピは1つ以上のサブレシピを含むこともでき、各サブレシピは可変パラメータを含むことができる。
コントローラ120はプロセスツール110およびMES135に接続され、フィードバックおよびフィードフォワードデータなどの情報はこれらの間で交換可能である。例えば、内部リセット事象がツールから発生した場合、コントローラ120はアラームなどのメッセージをMES130に送ることができる。これにより、修理保守または予防保守中に発生するものなど、大きな変化が生じた後に危険にさらされるウエハの数を最小にするのに必要な変更をファクトリシステムおよび/またはファクトリ従業員が行なえるようになる。
図1には、ただ1つのコントローラ120も示されているが、これは本発明の要件ではない。代わりに、追加のコントローラを使用することもできる。例えば、コントローラ120は、少なくとも1つのラン・ツウ・ラン(R2R)コントローラ、フィードフォワード(FF)コントローラ、プロセスモデルコントローラ、フィードバック(FB)コントローラおよびプロセスコントローラ(いずれも図1には不図示)を備えることができる。
図1には、ただ1つのプロセスツール110が示されているが、1つだけプロセスツール110を含む構成は本発明の要件ではない。代わりに、追加のプロセスツールを使用することもできる。一実施形態において、プロセスツール110は、CORモジュール、PHTモジュールおよびバッファ(LL)モジュールを含むことができるプロセスチップ(不図示)を備えることができる。プロセスシステム100の制御は、別個のレシピ、CORモジュール、PHTモジュールおよび/またはバッファ(LL)モジュールを用いて行なうことができる。あるいは、プロセスツール110は、エッチングモジュール、成膜モジュール、ポリシングモジュール、コーティングモジュール、現像モジュール、熱処理モジュール当のうちの少なくとも1つを備えることができる。
一実施形態において、CORモジュールはCORプロセスの一部を実施できる。このCORプロセスの一部は、HFおよびアンモニアガスならびに二酸化ケイ素など、ウエハ表面に固体反応生成物を形成するプロセスガス混合物の間の反応とすることができる。CORモジュールに隣接して配置されるPHTモジュールは、CORプロセスの第2の部分を実施する。このプロセス部分は、後熱処理(PHT)と呼ばれる。このステップは、ウエハを加熱することによって固体反応生成物を蒸着させる。
例えば、バッファモジュールは、CORモジュール,PHTモジュール、バッファ(LL)モジュール、および他の移送システムモジュールなどの他のモジュール間のウエハ移送を行なう移送システムを備えることができる。これらのプロセスモジュールは、制御するためのTLコントローラ用の別のモジュールタイプとして含むことも可能である。
プロセスツール110はプロセスを開始するためにCORレシピを用いることができ、CORレシピは基材がCORモジュールに移送されてきた時点で開始できる。例えば、基材は、基材ホルダ内に収容されているリフトピンによって受け取られ、基材ホルダに降ろされることが可能である。その後、基材を静電クランピングシステムなどのクランピングシステムを用いて基材ホルダに固定し、基材裏面に伝熱ガスを供給することができる。
次に、基材の化学処理のための1つまたはそれより多い化学処理パラメータを設定するためにCORレシピを用いることができる。これらのパラメータは、化学処理プロセス圧力、化学処理壁温度、化学処理基材ホルダ温度、化学処理基材温度、化学処理ガス供給システム温度、ならびにプロセスガスおよび流量を含む化学処理プロセス化学作用のうちの少なくとも1つを含むことができる。その後、第1の時間にわたって基材を化学処理することができる。第1の時間は、例えば30〜360秒の範囲とすることができる。
次に、基材を化学処理チャンバからPHTモジュールに移送できる。その時間中に基材クランプを外し、基板裏面への伝熱ガスの流れを終了できる。基材ホルダ内に収容されているリフトピンアセンブリを用いて、基材を基材ホルダから移送平面へ垂直に持ち上げることができる。移送システムはリフトピンから基材を受けとり、基材をPHTモジュール内に配置できる。PHTモジュール内では、基材リフタアセンブリが移送システムから基材を受けとり、基材を基材ホルダへ降ろすことができる。
その後、PHTレシピを用いてPHTモジュールによる基材熱処理用の1つまたはそれより多い熱処理パラメータを設定することができ、第2の時間にわたって基材を熱処理できる。例えば、1つまたはそれより多い熱処理パラメータは、熱処理壁温度、熱処理上部アセンブリ温度、熱処理基材温度、熱処理基材温度、熱処理基材ホルダ温度、熱処理基材温度、熱処理プロセス圧力、ならびにプロセスガスおよび流量を含む熱処理プロセス化学作用のうちの少なくとも1つを含むことができる。第2の時間は、例えば30〜360秒の範囲とすることができる。
プロセスツール110は、少なくとも1つの他のプロセスツールおよび/またはコントローラに接続するためのリンク112、114を備えることができる。例えば、他のプロセスツールおよび/またはコントローラを、このプロセスの前に実施されていたプロセスと関連させることができ、および/または他のコントローラをこのプロセスの後に実施されるプロセスと関連させることができる。リンク112およびリンク114は、情報をフィードフォワードおよび/またはフィードバックするために用いることができる。例えば、フィードフォワード情報は、入っているウエハに関連するデータを含むことができる。このデータは、ロットデータ、バッチデータ、ランデータ、組成データおよびウエハ履歴データを含むことができる。データは、ウエハの入力状態を設定するために使用できるプリプロセスデータを含むことができる。プリプロセスデータの第1の部分をコントローラ120に供給し、プリプロセスデータの第2の部分をプロセスツール110に供給できる。あるいは、2つの部分が同じデータを含むこともできる。
プロセスツール110は、単一の統合計測モジュール(IMM)デバイス(不図示)または複数の測定デバイスを備えることができる。システム100は、モジュール関連測定デバイス、ツール関連測定デバイスおよび外部測定デバイスを含むことができる。例えば、1つまたはそれより多いモジュールに接続されたセンサおよびプロセスツール110に接続されたセンサからデータを得ることができる。また、SEMツールおよび光学デジタルプロファイリング(ODP)ツールなどの外部デバイスからデータを得ることができる。ODPツールは、半導体装置の特徴のプロファイルを測定するための特許技術を提供するティンバーテクノロジーズ社(東京エレクトロン株式会社)から入手できる。
図3は本発明の実施形態にしたがうウエハ断面の例示図を示す。例えば、測定データとして、トレンチエリアデータ310、材料厚データ315、側壁角度データ320、格子厚データ325、断面エリアデータ330、CDデータ335、および差分幅データ340などが掲げられる。当業者に明らかであるように、他の測定データを得ることもできる。したがって、この測定データ一覧は、本発明で使用するために得ることができる広範囲な測定データの一例にすぎない。
再び図1を参照すると、コントローラ120は受入材料の測定データ(入力状態)と目標データ(所望状態)の差を用いて、入力状態から所望状態へウエハの状態を変化させて所望の結果を得るためのプロセスパラメータセットを予測、選択、または計算できる。例えば、この予測プロセスパラメータセットを、入力状態(測定CD)と所望状態(目標CD)に基づいて使用するためのレシピの第1の推定とすることができる。一実施形態において、入力状態のデータおよび/または所望状態のデータなどのデータはホストから得ることができる。
あるケースでは、コントローラ120がウエハの入力状態と所望状態を判断し、コントローラ120が、入力状態から所望状態へウエハを変化させるためにウエハに実施できるレシピセットを決めることができる。例えば、レシピセットはプロセスモジュールセットを含むマルチステッププロセスを記述できる。
コントローラ120は数式ベースの技術を使用できる。プロセスレジーム間の切り換えをいつ行なうかを判断するルールは、入力範囲、出力範囲、ウエハタイプ、プロセスタイプ、モジュールタイプ、ツールタイプ、ウエハ状態およびプロセス状態のうちの少なくとも1つに基づいたものとすることができる。コントローラ120が数式ベースの技術を使用する場合、フィードフォワード制御変数を設定可能とすることができる。例えば、変数は、数式または方程式の定数または係数とすることができる。また、多数の数式があり、数式間の切り換えをいつ行なうかを判断するルールは、入力範囲または出力範囲に基づくものとすることができる。
コントローラ120の時定数の1つは、測定間の時間に基づくものとすることができる。ロット完成後に測定データが得られる場合、コントローラの時定数はロット間の時間に基づくものとすることができる。ウエハ完成後に測定データが得られる場合、コントローラの時定数はウエハ間の時間に基づくものとすることができる。プロセス中にリアルタイムで測定データが供給される場合、コントローラの時定数はウエハ内の処理ステップに基づくものとすることができる。ウエハの加工中またはウエハの完成後またはロットの完成後に測定データが得られる場合、コントローラ120は、プロセスステップ間、ウエハ間および/またはロット間の時間に基づく複数の時定数を有することができる。
1つまたはそれより多いコントローラ120は、随時動作中とすることができる。例えば、1つのコントローラ120が動作モードでありながら、第2のコントローラ120が監視モードであることが可能である。また、別のコントローラ120がシミュレーションモードで動作していることも可能である。コントローラは単一ループまたは多重ループを含むことができ、ループは別々の時定数を有することができる。例えば、ループは、ウエハタイミング、ロットタイミング、バッチタイミング、チャンバタイミング、ツールタイミング、および/またはファクトリタイミングに依存するものとできる。
コントローラ120は、ほかにもいろいろあるがとくに一例として、1入力1出力(SISO)デバイス、1入力多出力(SIMO)デバイス、多入力1出力(MISO)デバイス、および/または多入力多出力(MIMO)デバイスとして動作できる。また、入力および出力は、1つのコントローラ120内とすることもできるし、および/または1つまたはそれより多いコントローラ120間とすることもできる。例えば、CDおよび側壁角度などの複数の入力が使用される場合、入力および出力を2つのモジュール(すなわち、CD制御用のモジュールと側壁角度制御用のモジュール)の間でフィードフォワードおよびフィードバックできる。また、マスクオープンコントローラを使用することもできる。複数のモジュールを含むマルチプロセスの場合、あるコントローラから別のコントローラへ情報をフィードフォワードまたはフィードバックできる。
プロセスツールおよび/またはプロセスモジュールがデータをデータベースへ送るとき、コントローラ120はこのデータにアクセスできる。このデータは、例えば、ツールトレースデータ、メンテナンスデータ、終点検出(EPD)データを含むことができる。トレースデータはプロセスに関する重要情報を提供できる。トレースデータは処理中またはウエハの処理が完了した後に更新および格納できる。
コントローラ120は、入力状態、プロセス特性、およびプロセスモデルに基づいてウエハの予測される状態を計算できる。例えば、トリミング速度モデルを処理時間と一緒に用いて、予測トリミング量を計算できる。あるいは、エッチング速度モデルを処理時間と一緒に用いてエッチング深さを計算することができ、また、成膜速度モデルを処理時間と一緒に用いて成膜厚を計算できる。また、モデルは、SPCチャート、PLSモデル、PCAモデル、FDCモデルおよびMVAモデルなどとすることができる。
コントローラ120は、プロセスモジュールにおけるプロセスパラメータの範囲に関する、外部から供給されるデータを受け取って利用できる。例えば、コントローラGUIコンポーネントはプロセスパラメータの範囲の手動入力手段を提供する。また、ファクトリレベルコントローラは各プロセスモジュールのプロセスパラメータ範囲を提供できる。
コントローラ120は市販のモデリングソフトウェアによって作成されるモデルを受け取って実行できる。例えば、コントローラ120は、外部のアプリケーションによって作成されてコントローラ120へ送られたモデル(PLA、PCA等)を受け取って実行できる。
また、コントローラ120は、ウエハの出力状態を確立するために用いることができるポストプロセスデータを受け取ることができる。プリプロセスデータの第1の部分をコントローラ120に供給し、第2の部分をウエハアウト事象114と一緒にデータベースに供給することができる。あるいは、この2つの部分は同じデータを備えることもできる。ポストプロセス計測モジュールは単一または複数の測定デバイスを備えることができる。ポストプロセス計測モジュールは、ほかにもいろいろあるがとくに一例として、モジュール関連測定デバイス、ツール関連測定デバイスおよび外部測定デバイスを含むことができる。例えば、1つまたはそれより多いプロセスモジュールに接続されたセンサおよびプロセスツールに接続されたセンサからポストプロセスデータを得ることができる。また、SEMツール、OESツールおよび光学デジタルプロファイリング(ODP)ツールなどの外部デバイスからポストプロセスデータを得ることができる。
コントローラ120は、ポストプロセスデータを用いて第1のプロセス偏差セットを計算できる。この計算されたプロセス偏差セットは、予想されるウエハ状態に基づいて求めることができ、ウエハの出力状態はポストプロセスデータから求めることができる。一例において、コントローラ120はウエハの所望状態および出力状態を知っており、コントローラ120は所望状態と出力状態との差を求める。こうして、プロセスレシピに対する補正を判断するために、測定された実際のプロセス結果と所望プロセス結果とが比較される。別の一例において、コントローラ120はウエハの予測状態および出力状態を知っており、コントローラ120は予測状態と出力状態との差を求める。こうして、プロセスモデルに対する補正を判断するために、測定された実際のプロセス結果と予測プロセス結果とが比較される。
モデルの更新は、モニタウエハを実行し、プロセス設定を変更し、結果を観察し、その後、モデルを更新することによって行なわれる、別の形態のフィードバックである。例えば、モデル更新は、モニタウエハの事前および事後フィルム特性を測定することによってN処理時間毎に行なうことができる。時間とともに設定を変更して別々の動作領域をチェックすることによって、ある時間にわたって完全な動作空間を確認したり、種々のレシピ設定で一度に何枚かのモニタウエハを処理したりすることができる。モデル更新は、コントローラ120内でツールまたはファクトリにおいて行なうことができ、それによってファクトリ制御がモニタウエハおよびモデル更新を管理できる。
コントローラ120は、次のウエハのための更新レシピを計算できる。一例において、コントローラ120はフィードフォワード情報、モデリング情報およびフィードバック情報を用いて、現在のウエハを処理する前に現在のレシピを変更すべきか否か判断する。別の例において、コントローラ120はフィードフォワード情報、モデリング情報およびフィードバック情報を用いて、次のウエハを処理する前に現在のレシピを変更すべきか否か判断する。あるいは、コントローラ120はフィードフォワード情報、モデリング情報およびフィードバック情報を用いて、次のロットを実行する前に現在のレシピを変更すべきか否か判断する。
プロセス結果データを提供するために計測データソースを使用する場合、処理中の正確な時点で基材をIMモジュールに送らせるルートシーケンスを指定できる。例えば、基材は、プロセスモジュールに入る前および/またはプロセスモジュール内で処理された後にIMモジュールに送ることができる。また、所定の測定セットを行なわせ、所定の出力データセットを供給させるIMレシピを指定できる。例えば、ある結果は、IMレシピで測定された各サイトの測定パラメータの値を表すデータのベクトルとすることができる。コントローラ120によってデータが平均化されて使用される前に、位置フィルタ(site filter)を用いて範囲外のサイトを取り除くことができる。
コントローラ120は、ランダムノイズの寄与を取り除くために計測データをフィルタリング処理するための1つまたはそれより多いフィルタ(不図示)を備えることができる。例えば、コントローラ120の入力または出力にフィルタを適用できる。一例において、フィルタは、制御方法に関係なく(すなわち、ルックアップテーブルの使用と無関係に)フィルタリング処理するために入力変数に適用できる。これにより、コントローラ120は、ある制御範囲にわたって出力変数を変化させ、小段階の流量変更の後、圧力を変化させて流量変化を規則的に続かせることもできる。
静的に有効でなく、ウエハ測定値の平均の計算に考慮すべきではないアウトライアを取り除くために、アウトライアフィルタを用いることができる。アウトライアフィルタを用いて、高いアウトライアと低いアウトライアを平均値から取り除くことができる。例えば、箱髭法をサイト計測データに適用できる。この箱髭法は効果的で、絶対限界なしで簡単に維持でき、変化する入力CD平均データセットに1セットのフィルタリング限界を適用することを可能とし(フィルタ限界に影響を及ぼすことなく目標を変化できる)、視覚化しやすい。アウトライアフィルタでは、付加的なルールを守る必要がある(ウエハを静的に表すための最小数のウエハ内ポイントおよびロットを表すための最小数のウェア)。
ノイズフィルタを用いて、ランダムノイズを除去し且つ制御ループを安定化させることができる。例えば、指数型重みつき移動平均(EWMA)またはカルマンフィルタを適用できる。フィルタを使用する場合、フィルタ時定数を設定する必要がある。EWMAの場合、ラムダが時定数である。一例において、EWMAの計算は、順不同に追加されるデータポイントを取り込むために、日時を処理することによっていつも完全な履歴を使用して行なうことができる。
コントローラ120は、フィードフォワードデータを受け取って利用することができる。例えば、コントローラ120は処理対象の受入材料に関する情報および所望プロセス結果(目標CD)を受け取ることができ、コントローラ120は所望プロセス結果を達成するためのレシピパラメータセットを供給できる。コントローラ120はフィードフォワードデータを受け取って利用することができる。例えば、コントローラ120は、既に処理が施された材料に関する情報を受け取って、このデータに基づいてプロセスモデルを調整できる。コントローラ120は、遅延型のフィーバックデータを受け取って利用することができる。例えば、コントローラ120は、ツールによって処理された順番にデータを受け取らなくても、既に処理が施された材料に関する情報を受け取って、このデータに基づいてプロセスモデルを調整できる。コントローラ120は、このコントローラを設定および制御するために手動入力されたデータを受け取って利用することができる。例えば、コントローラGUIコンポーネントは、コントローラ設定情報の手動入力手段を提供する。
コントローラ120は例外状態の通知を送ったり受け取ったりすることができる。例えば、コントローラ120は、他のデバイスもあるが、ファクトリレベルコントローラ、R2Rコントローラ、および/またはツールレベルコントローラとの間で通知を送ったり受け取ったりすることができる。また、通知は、例外状態の識別後にe診断ネットワーク、eメールまたはページャを介して送ることができる。
コントローラ120はシミュレーションモードで動作可能である。例えば、コントローラ120は、実際の処理モードに応じてシミュレーションモードで動作できる。この場合、シミュレートされた操作をコントローラのログおよび履歴データベースに記録することができ、即時処理は取られない。
コントローラ120は受入材料の状況に基づいてプロセスモデルを選択できる。例えば、コントローラ120は受入材料の状態およびプロセスレシピに基づいてプロセスモデルを選択できる。コントローラが、システム100が有効なR2R設定を計算できることを確認する手段を備えることができる。例えば、コントローラ120は、ロット開始前にレシピパラメータ設定を確認するためのハードウェアおよび/またはソフトウェアを備えることができる。コントローラ120は、レシピ設定ポイントのデフォルト設定を使用するためのハードウェアおよび/またはソフトウェアを備えることができる。例えば、コントローラ120が特定のウエハのレシピパラメータを提供できないときは、「ノミナル」レシピのレシピパラメータを使用できる。
コントローラ120は、入力および出力データをアーカイブに保管するためのデータベースコンポーネントを備えることができる。例えば、コントローラは、一例として、受け取った入力、送った出力、検索可能なデータベース内でコントローラが行なった操作をアーカイブに保管できる。また、コントローラ120は、データをバックアップおよび復元するためのハードウェアおよび/またはソフトウェアを備えることができる。また、検索可能なデータベースは、モデル情報、構成情報、および履歴情報を含むことができ、コントローラ120は、データベースコンポーネントを用いて、履歴および現在のモデル情報およびモデル構成をバックアップおよび復元できる。
コントローラ120はウェブベースのユーザインタフェースを備えることができる。例えば、コントローラ120は、データベース内のデータを見るためのインターネット対応型GUIコンポーネントを備えることができる。コントローラは、セキュリティ管理者によって許可された権限に応じて複数のアクセスレベルに対応できるセキュリティコンポーネントを備えることができる。コントローラ120は、インストール時に提供され、デフォルト状態にリセットする機能を有するデフォルトモデルセットを備えることもできる。
コントローラ120は、例外の性質に応じてさまざまな操作を行なうことができる。例えば例外状態は、一例として、測定データの欠落、目標CDの欠落、計測エラー、レシピパラメータ限界超過、プロセスモジュールパラメータ限界超過およびフィードバック事象の順不同受信などとすることができる。例外に基づいて行なわれる操作は、例えば、システムレシピ、プロセスレシピ、モジュールタイプ、モジュール識別番号、ロードポート番号、カセット番号、ロット番号、制御ジョブID、プロセスジョブID、またはロット番号によって指定される状況に対して確立されるビジネスルールを前提とすることができる。状況は、最高レベルから最低レベルまでの階層に並べることができる。より高いレベルでの状況一致は、より低いレベルでの状況一致に優先する。一致する状況がない場合は、デフォルトの操作を行なうことができる。
コントローラ120の入力として、例えば、指示(Instructions)、基材状態(Substrate State)、モジュールの物理的状態(Module Physical State)、プロセス状態(Process State)およびコントローラパラメータ(Controller Parameters)などが掲げられる。また、コントローラの入力として、ほかにもいろいろあるが、フィードフォワード/フィードバックループの時定数、累積用のリセット事象、IMMステップ、ODPオフセットなども掲げられる。指示は、特に、目標、公差、計算コマンド、データ収集プラン、アルゴリズム、モデル、係数およびレシピを含むことができる。基材状態は、例えば、例えば処理される基材の情報(サイト、ウエハ、ロット、バッチ状態)、プロファイルおよび物理的または電気的に測定される特性を含むことができる。モジュールの物理的状態は、基材を処理するのに使用されるモジュールおよびコンポーネントの現在および分かっている最新の状態−RF時間、ウエハの枚数、消耗状態、を含むことができる。プロセス状態は、トレースデータなどプロセス環境のセンサからの現在および分かっている最新の測定状態およびサマリ統計情報を含むことができる。コントローラパラメータは、基材状態、モジュールの物理的状態、プロセス状態を作成した、レシピ/コントローラ設定ポイントおよびプロセス目標の最新設定を含むことができる。
コントローラの出力として、いくつかの例を掲げてみると、派生パラメータ、設定、事象またはメッセージ、介入、派生状況、ログメッセージ、履歴などがある。例えば、あるパラメータは、解析のためにオフラインシステムに送られるデータとすることができる。派生パラメータとして、コントローラ120、プロセス、材料または機器の状態を表すことができる、コントローラ120によって生成される情報などが掲げられる。設定として、コントローラ120によって計算され、一般に実行時にツール110にダウンロードされるプロセスツールパラメータなどが掲げられる。例えば、これらのパラメータとして、ステップ毎の時間、圧力、温度、ガス流および電力などが掲げられる。事象またはメッセージとして、制御されているシステムに例外が生じたことを示す情報などが掲げられる。介在として、解析結果に基づいてコントローラによって推奨される(または行なわれる)操作に関する情報などが掲げられる。派生状況として、コントローラによってもたらされる状況情報などが掲げられる。ログメッセージは、コントローラの活動を記載するテキストメッセージとすることができる。履歴アイテムとして、意志決定支援システム(DSS)タイプの解析のためにオフラインシステムに送られるデータなどが掲げられる。
コントローラ120は、少なくとも1つのコンピュータと、少なくとも1つのコントローラアプリケーションを支援するおよびソフトウェアとを備えることができる。コントローラは、データを記憶する少なくとも1つの記憶デバイスを備えることができる。例えば、少なくとも1つのコンピュータは、東京エレクトロン社製のIngenioソフトウェアなどの運用ソフトウェアを備えることができる。一例において、運用ソフトウェアは、構成手段、データ管理手段、GUI手段、障害管理手段およびトラブルシューティング手段のうちの少なくとも1つを含む。また、構成GUI画面は、コンピュータと処理要素の間のインタフェースを構成し、処理要素(すなわち、ツール、モジュール、センサ等)のデバイスタイプを判断するために用いることができる。データ管理GUI画面は、収集すべきデータの量およびタイプを判断し、および収集されたデータをどこにどのように記憶するかを判断するために用いることができる。また、障害管理GUI画面は、ユーザに障害状態について知らせるために用いることができる。
一般に、フィードフォワード制御は、ウエハがプロセスモジュールに到着する前にウエハに関して測定されたプレプロセスデータを用いてプロセスモジュールレシピをアップデートすることである。一例において、計測データおよびプロセス目標データはコントローラ120が受け取る。これらの値は比較可能で、比較結果が所望プロセス結果(例えば、所望トリミング量)となる。その後、モデル選択および適切なプロセスレシピパラメータの計算のためにこの所望プロセス結果をコントローラに送ることができる。この新レシピがプロセスモジュールに送られ、この新レシピを用いてウエハの処理(トリミング)が行なわれる。
システム100では、コントローラ120で制御ストラテジ(Control Strategies)、制御プラン(Control Plans)および制御モデル(Control Models)を設定することにより、フィードフォワード制御を実施できる。制御ストラテジは、フィードフォワード制御が実施されるシステムレシピ毎に書くことができる。プロセスツール110でこのシステムレシピを実行するとき、制御ストラテジ内の制御プランを実行できる。フィードフォワード情報に基づいてレシピを修正するために各制御プランを用いることができる。制御プランを利用して、ユーザは入力パラメータ(データソースと呼ばれる)を指定できる。
これらの入力を用いて、ユーザは目標計算のための計算を指定できる。次に、この計算の結果は、実行する制御モデルを選択するために用いられる。制御モデルは、静的レシピ(Static Recipes: 目標計算範囲全体に対する固定レシピ)とすることも、数式モデル(Formula Model: 厳密計算に基づいてプロセスレシピパラメータの値を変更できるモデル)とすることもできる。静的レシピまたは数式モデルの結果から、プロセスレシピに対する修正が行なわれる。システムはノミナルレシピ(Nominal Recipe: ツール上に存在するレシピ)から始める。次いで、実行された各制御プランの更新が加えられる。すべての制御プランが(マッチング制御ストラテジ内で)実行されると、最終レシピがツールに送られる。
コントローラ120は、適切なプロセスモデル、プロセスモデル制約条件、プロセス目標、プロセスパラメータ制約条件に基づいてレシピパラメータを作成するレシピパラメータソルバと考えることができる。コントローラ120は、同時に実行されて1セットのプロセスレシピ制約条件を受ける複数のプロセスモデルを管理する機能を有する。
コントローラ120および/またはプロセスツール110は制御異常を検出できる。さまざまパラメータがあるが例として、コントローラ120は、計測データ異常およびレシピ選択異常を検出できる。プロセスツール110は、特に、レシピ受取タイムアウト、インテグレーション通信異常、同期異常を検出できる。システムレシピの同期がプロセスツール110上で失敗すると、処理は開始されない。制御異常が発生すると、(ツールパラメータの設定にしたがって)ツールプロセスレシピ(ノミナルレシピ)を使用するか、ヌルレシピを使用するか、またはラン・ツウ・ラン制御を停止するようにコントローラ120を設定できる。ツール110を一時停止させるために、プロセスモジュールを一時停止するかまたはシステム100全体を一時停止するようにコントローラ120を設定できる。
プロセスツール110とコントローラ120の間に矛盾がある場合は、警告アラームを表示し、システムレシピで指定されたプロセスレシピを用いて処理を続行することができる。これにより、オペレータまたはより高レベルのコントローラは、プロセスレシピで処理を続行すべきか、ウエハを中止させるか判定できる。
図4は本発明の実施形態にしたがってプロセスシステムを操作する方法の例示フロー図を示す。手順400はタスク410から開始する。
タスク420で、プレプロセスデータを受け取ることができる。プレプロセスデータは、基材の所望プロセス結果および現在の測定データを含むことができる。現在の測定データは、基材の実際の測定データを含むことができる。また、プレプロセスデータとして、いろいろあるが、適合度(GOF)データ、格子厚データ、限界寸法(CD)データ、均一性データ、CDプロファイルデータ、CDプロファイル均一性データ、材料厚データ、材料断面積データ、トレンチ断面積データ、側壁角度データ、差分幅データ、サイト結果データ、サイト数データ、CD測定フラグデータ、測定サイト数データ、レシピ結果データ、X座標データ、Y座標データなどが掲げられる。
プレプロセスデータをフィードフォワード制御に用いることができ、このデータの一部をいくつかのビジネスルールにしたがって制御ウエハの統計値としてまとめることができる。
プレプロセスデータはフィルタリング処理することができる。例えば、アウトライアで統計的に無効なウエハ上のデータポイントを取り除くために、アウトライア排除フィルタを用いることができる。つまり、信頼できないサイトからのデータを捨て、ウエハ平均計算で用いないようにすることができる。
一例において、プリプロセスデータに対して平均/シグマ比較法を用いることができる。例えば、(a)シグマの乗数、Xを指定し、(b)すべてのデータポイントを集計して平均とシグマを求め、(c)2つの限界を計算し(平均+X×シグマ、平均−X×シグマ)、(d)限界外のすべてのデータポイントを取り除き、(e)平均を再計算して最終IM測定値を示すことができる。あるいは、箱髭プロット計測を用いてアウトライアを識別することもできる。
また、基材の所望状態を確立するために、基材の所望プロセス結果を用いることができる。基材の所望状態を求めるために使用できる1つまたはそれより多い出力パラメータを提供できる。例えば、基材の所望プロセス結果は、いろいろあるが例として、目標限界寸法(CD)、目標CDプロファイル、CD均一値およびCDプロファイル均一値のうちの少なくとも1つを含むことができる。
例えば、制御対象プロセスパラメータと目標プロセスパラメータの間の制御位置識別は不変でなくてはならない。制御対象プロセスパラメータは制御対象プロセスチャンバで定められるので、目標プロセスパラメータも制御対象プロセスチャンバで定めることができる。したがって、各目標プロセスパラメータをそれぞれの制御チャンバに対応付けることができ、各制御チャンバに対応付けられた目標プロセスパラメータをロット開始前に指定できる。
また、所望プロセス結果のソースを予め識別できる。例えば、外部で生成される所望プロセス結果と内部で生成される望プロセス結果という、少なくとも2タイプの分かっている所望プロセス結果が存在し得る。外部で生成される所望プロセス結果は、コントローラ120を介してMESによって提供され得る。内部で生成される所望プロセス結果は、計算値および/またはGUIからの入力を用いて提供され得る。また、外部で生成される所望プロセス結果および内部で生成される所望プロセス結果をいつ使用するかを決めるために用いることができるビジネスルールを提供できる。
プレプロセスデータはプロセス結果データを含むことができる。プロセス空間を定め、プロセス空間内で新プロセスレシピを作るために、確認済みのプロセス結果データを用いることができる。1つまたはそれより多いプロセスパラメータ変更してプロセス結果データを得るために実験計画(DOE)計測を用いることができ、プロセスパラメータとプロセス結果の間の関係を作るためにプロセス結果データを用いることができる。プロセスパラメータとプロセス結果の間の関係を示すために一次元または多次元グラフ/チャートを作ることができる。例えば、コントローラ120は一次元または多次元チャートを用いてプロセス結果を示すためのグラフ作成コンポーネントを備えることができる。制御されたプロセスを実施して、確認済みのプロセス結果データを収集できる。例えば、プロセス結果データは、SEM、ODPツールまたは他の光学測定システムから得られるCDデータなどが掲げられる。
プロセス空間は、1つまたはそれより多いパラメータがほぼ一定となるプロセスレジームに分割できる。一実施形態において、ほぼ一定であるプロセスパラメータを静的レシピに含むことができる。あるいは、ほぼ一定であるプロセスパラメータを数式モデルに含むこともできる。数式モデルは一次元または多次元とすることができる。
タスク430で、必要プロセス結果を求めることができる。必要プロセス結果は、所望プロセス結果と実際の測定データの違いを含むことができる。目標データをはじめとする所望プロセス結果データを測定データと比較できる。例えば、所望プロセス結果は、所望トレンチエリア、所望材料厚、所望側壁角度、所望格子厚、所望断面積、所望CD幅、所望CD深さ、所望特徴プロファイル、所望トリミング量、所望差分深さ、所望均一性および所望差分幅のうちの少なくとも1つを含むことができる。
図5Aおよび5Bは、本発明の実施形態にしたがうプロセス空間の例示グラフを示す。図5Aに示される記載実施形態において、グラフはプロセス結果の関数としてプロセスパラメータを示す。図5Bに示される記載実施形態において、グラフはプロセスパラメータの関数としてプロセス結果を示す。単曲線が示されているが、これは本発明の要件ではない。あるいは、複数の曲線を使用することもできるし、プロセス空間を複数の領域に分割することもできる。示されているプロセス空間は二次元空間である。しかしながら、当業者に分かるように、プロセス空間は三次元であってもよい。さらに別の実施形態において、N次元空間を用いることができる。
測定データおよび/または予測データを用いて、プロセスパラメータとプロセス空間におけるプロセス結果の間の関係を明らかにできる。例えば、実験計画(DOE)データを使用できる。図5Aおよび5Bに示されているもののような方程式を用いて数式モデルを作成できる。
エッチングプロセスまたはトリミングプロセスなどの材料除去プロセスでは、測定データが所望プロセス結果データより少ない場合にエラーを宣告できる。所望プロセス結果データと測定データがほぼ等しい場合には「ヌル」状態を宣告できる。所望プロセス結果データが測定データより大きい場合、必要プロセス結果を確立できる。必要プロセス結果は、材料除去プロセス中に除去される必要があるトリミングまたはエッチング量を含むことができる。
成膜プロセスなどの材料追加プロセスでは、測定データが所望プロセス結果データより多い場合にエラーを宣告できる。所望プロセス結果データと測定データがほぼ等しい場合には「ヌル」状態を宣言できる。所望プロセス結果データが測定データより小さい場合、必要プロセス結果を確立できる。必要プロセス結果は、材料追加プロセス中に追加される必要がある成膜量を含むことができる。
図6は、本発明の一実施形態にしたがう例示トリミングプロセスを示す。示されている実施形態では、CD制御プロセスなどのトリミングプロセスを実施するためにプロセスシステムを使用できる。例えば、あるプロセスはトリミング量を決める工程を含むことができ、トリミング量は測定CDと目標CDの差とすることができる。また、目標CDの前後に公差を設定できる。(縦方向のエッチングとは異なって)トリミングの場合、トリミングは構造の両面に同時に生じる。このため、トリミング量はブランケットウエハ上の量の2倍である。
図6に示されるように、指定制御CDのトリミング量を調節して公差内で目標CDに達するために本発明を用いることができる。例えば、コントローラ(TLおよびR2R)はトリミングおよびエッチング量に基づいてアルゴリズム(制御レシピ選択法)を使用できる。最初に、トリミングされるべき量に関する情報を含む制御レシピを作成して事前認定する必要がある。
図7は本発明の一実施形態にしたがう構成画面の例示図である。示される実施形態では、少なくとも1つのツールレベル、少なくとも1つのレシピレンジレベル、少なくとも1つのシステムレシピレベル、少なくとも1つのプロセスモジュールレベル、少なくとも1つのストラテジレベルおよび少なくとも1つのプランレベルを備えるナビゲーションツリーを備えた構成画面が示されている。ツールレベルがトップレベルとして示されているが、これは本発明の要件ではない。そうではなく、システムおよび/またはサブシステムが示されていてもよい。
例えば、レシピレンジフォルダが開かれているときは、モジュールおよび当該モジュールの有効レシピレンジを選択できる。また、システムレシピフォルダが開かれているときは、関連制御ストラテジおよび関連制御プランを作成、編集、および削除することができる。
図1に示されるシステムでは、制御ストラテジ、制御プランおよび制御モデルを設定することによってフィードフォワード制御および/またはフィードバック制御を実施できる。制御ストラテジは、フィードフォワードおよび/またはフィードバック制御が実施されるシステムレシピ毎に書くことができる。ストラテジが保護されるとき、そのチャイルドオブジェクト(プランおよびモデル)の全てが編集不可となる。システムレシピを実行するとき、制御ストラテジ内の1つまたはそれより多い制御プランを実行できる。フィードフォワードおよび/またはフィードバック情報に基づいてレシピを修正するために各制御プランを用いることができる。
制御プラン内で、入力パラメータおよびフィードバック変数を指定できる。また、これらの入力を用いて、目標計算のための計算を指定できる。この計算の結果は、実行する制御モデルを選択するために用いることができる。制御モデルは、静的レシピ(目標計算範囲全体に対する固定レシピ)とすることも、数式モデル(厳密計算に基づいてプロセスレシピパラメータの値を変更できるモデル)のうちの少なくとも一方を含むことができる。プロセスレシピに対する修正は、1つまたはそれより多い静的レシピの結果および/または1つまたはそれより多い数式モデルの結果を用いて行なうことができる。システムは、ノミナルレシピ(ツール上に存在しているレシピ)から開始して、その後、実行された各制御プランに由来する更新を追加できる。すべての制御プランが(マッチング制御ストラテジ内で)実行されると、最終レシピがツールに送られる。最終レシピは、ノミナルレシピコンポーネント、静的レシピコンポーネントおよび数式モデルコンポーネントを含むことができる。あるいは、別のコンポーネントセットを使用することも可能である。例えば、ベースラインレシピおよび/またはヌルレシピを使用できる。
図8は本発明の一実施形態にしたがうエディタ画面の例示図を示す。図示実施形態には、プロセスパラメータテーブルと各パラメータの許容範囲セットを含むR2Rレシピレンジエディタ画面が示されている。インストール時に、システムコントローラ120が操作できる各パラメータの操作範囲全体にわたってモジュール毎にデフォルトのレシピレンジを作成してもよい。その後、デフォルトレンジより厳格な限界を用いてモジュール毎に新レシピレンジを作成することができる。また、このレシピレンジでは、ユーザはあるパラメータについて、コントローラ120による操作を無効にできる。数式モデルおよび静的レシピが作成されると、制御用に利用できるパラメータセットのレシピレンジおよびこれらのパラメータの限界にリンクされる。静的レシピおよび/または数式モデルが、関連レシピレンジ外の値を設定しようとすると、アラームを発生させることができる。
図9は、本発明の一実施形態にしたがう制御ストラテジエディタ画面の例示図である。制御ストラテジは、マッチング対象のシステムレシピおよび他の状況一致基準を含んでいる。どのウエハが制御ストラテジを使用するか判断するために状況情報が使用される。制御ストラテジは、実行されるべき制御モデルを選択するのに必要な情報を含むいくつかの独立した制御プランも含んでいる。制御ストラテジエディタ画面により、ユーザは制御チャンバフラグ付きのシステムレシピをダウンロードしたり、転送ルートと一緒にシステムレシピ名を手動入力したりできる。また、ユーザはいくつかの状況一致基準を任意に作成できる。
制御ストラテジは、標準制御ストラテジおよびシミュレーション制御ストラテジを含むことができる。標準制御ストラテジはプロセスツール110を制御するように構成できる。シミュレーション制御ストラテジは、シミュレーション制御プランと連携させることができる。選択されたモデルに基づいて、制御プランはレシピの変数を調整する。レシピの変数はコントローラによってログ記録できるが、プロセスツールには送られない。複数のシミュレーション制御ストラテジを同時に実行できるが、任意のウエハに対してはただ1つの標準タイプの制御プランしか実行されない。標準制御ストラテジは、標準フォルダからシミュレーションフォルダに動かすことができる。標準制御ストラテジを標準フォルダに動かすことができるのは、対応するシステムレシピがツール110からダウンロードされている場合に限られる。
図9に示されているような制御ストラテジエディタ画面を使用することにより、ユーザは制御ストラテジ設定をはじめとする種々の機能を実施することができ、既存の制御ストラテジを閲覧でき、新しい制御ストラテジを作成でき、既存の制御ストラテジをコピーでき、既存の制御ストラテジを編集でき、および/または既存の制御ストラテジを削除できる。オブジェクトが選択され、新しいチャイルドタイプが作成されると、このチャイルドをペアレントと関係付けることができる。例えば、制御ストラテジが選択されて新しい制御プランが作成されると、この制御プランと制御ストラテジを関係付けることができる。
制御ストラテジエディタ(Control Strategy Editor)画面は多数のフィールドを備えることができる。ストラテジ名(Strategy Name)フィールドは、ストラテジの名称を入力/編集するために用いることができる。モード(Mode)フィールドは、ストラテジのモードを入力/編集するために用いることができ、標準(Standard)モードではツール110と通信してラン・ツウ・ラン機能を実施し、シミュレーション(Simulation)モードではツール10とは通信しないが標準機能をエミュレートする。本発明の状況では「有効化(Enabled)」機能が自動的に選択される。チェックされたボックスの上にカーソルを置いてマウスでクリックすることによって、チェックを外して制御ストラテジを無効にすることができる。
新しいストラテジに関する簡単な説明を入力/編集するために説明(Description)フィールドを用いることができる。ロードポートを入力/編集するためにロードポート(Load Port)フィールドを用いることができる。システムレシピオプションはロードポート選択後に有効となる。選択されたロードポートは、少なくとも1つの設定済みシステムレシピを有している必要がある。システムレシピを入力/編集するためにシステムレシピ(System Recipe)フィールドを用いることができる。システムレシピが選択されると転送ルート(Transfer Route)フィールドが自動的に記入されるようにすることもできる。有効ロードポートを入力/編集するために更新ロードポート更新(Update Load Port Update)ボタンを用いることができる。選択されたロードポートの有効システムレシピをプロセスツール(Telius)から入力/編集/ダウンロードして、ドロップダウンセレクションを更新するために、システムレシピ更新(System Recipe Update)ボタンを用いることができる。
次の選択肢から計測データ異常時アクションを入力/編集するために、計測データ異常(Metrology Data Failure)フィールドを用いることができる:(a)ツールプロセスレシピ使用(ノミナルレシピ)(Use Tool Process Recipe (Nominal Recip))−ソフトウェアがプロセスツールに指示を送り、プロセスツールはツールプロセスレシピを使用する;(b)プロセスレシピ不使用(ヌルレシピ)(Do Not Use Process Recipe (Null Recipe))−ソフトウェアがウエハに対応するヌルレシピ情報をプロセスツールに送り、ウエハはチャンバに入り処理されることなくチャンバから出てくる;(c)PM一時停止(PM Pause)−プロセスモジュールを一時停止する;(d)システム一時停止(Syatem Pause)−移送システムを含むシステムを一時停止する。当業者には他の選択肢が明らかであろう。
次の選択肢から制御異常時オプションを入力/編集するために、制御異常(Control Failure)フィールドを用いることができる:(a)ツールプロセスレシピ使用(ノミナルレシピ)(Use Tool Process Recipe (Nominal Recip))−ソフトウェアがプロセスツールに指示を送り、プロセスツールはツールプロセスレシピを使用する;(b)プロセスレシピ不使用(ヌルレシピ)(Do Not Use Process Recipe (Null Recipe))−ソフトウェアがウエハに対応するヌルレシピ情報をプロセスツールに送り、ウエハはチャンバに入り処理されることなくチャンバから出てくる;(c)PM一時停止(PM Pause)−プロセスモジュールを一時停止する;(d)システム一時停止(System Pause)−移送システムを含むシステムを一時停止する。
また、他のフィールドを扱うこともできる。例えば、ロット識別名の入力/編集にLotID(s)フィールドを用いることができ、制御ジョブ識別名の入力/編集にCJID(s)フィールドを用いることができる。プロセスジョブ識別名の入力/編集にPJID(s)フィールドを用いることができる。カセット識別名の入力/編集にCassette ID(s)フィールドを用いることができる。キャリア識別名の入力/編集にCarrier ID(s)フィールドを用いることができる。スロット番号の入力/編集にSlot(s)フィールドを用いることができる。ウエハタイプの入力/編集にWafer Type(s)フィールドを用いることができる。スクライブ処理済ウエハ識別名の入力/編集にScribed Wafer ID(s)フィールドを用いることができる。基材識別名の入力/編集にSubstrate ID(s)フィールドを用いることができる。ウエハ識別名の入力/編集にWafer ID(s)フィールドを用いることができる。開始時間の入力/編集に、フィールドより早いStart Timeを用いることができる。また、終了時間の入力/編集に、フィールドより遅いStart Timeを用いることができる。
図9に示されるように、制御ストラテジは静的レシピの制御プランと数式モデルの制御プランを備えている。また、新しい制御プランを作成し、制御プランと制御ストラテジを関連付け、制御プランを編集するために、制御プラン(フィードフォワード)タブとフィードバックプランタブを用いることができる。
図10は、本発明の一実施形態にしたがう制御プランエディタ画面の例示図を示す。図10には、CORプロセス用の数式モデルセットを管理するための制御プラン用の制御プランエディタ画面が示されている。代わりに他のプロセスも使用することもできる。
制御プラン(Control Plan)を作成するために、ユーザはプラン名アイテムを選択し、新しい制御プランまたは既存のプランまたはモデルを選択できる。例えば、制御ストラテジエディタ画面上にドロップダウンメニューを表示させて追加プラン(Add Plan)集を選ぶことができる。
制御プランエディタ(Control Plan Editor)画面は多数のフィールドを備えることができる。プラン名(Plan Name)フィールドは、制御プラン名を入力/編集するために用いることができる。モジュール名を入力/編集するためにモジュール(Module)フィールドを用いることができる。例えば、プランとストラテジを関係付けるとモジュールフィールドが自動的に記入されるようにすることもできる。プランの関連付けを行なわなければ、プロセスモジュールを選択するためにモジュールフィールドを用いることができる。レシピを入力/編集するためにレシピ(Recipe)フィールドを用いることができる。例えば、プランとストラテジを関係付けるとレシピフィールドが自動的に記入されるようにすることもできる。プランの関連付けを行なわなければ、レシピを選択するためにこのフィールドを用いることができる。
プランに関する説明を入力/編集するために説明(Description)フィールドを用いることができる。更新(Updated)フィールドは前回のプラン変更時を示す。
データソースを入力/編集するためにデータソース(Data Sources)テーブルを用いることができる。例えば、R2Rプランデータソース(R2R Plan Data Source)画面が開かれてもよい。データソーステーブルを使用すると、ドロップダウンメニューを使ってR2Rプランデータソース画面を開くことができる(図11Aおよび11B)。
図11Aおよび11Bは、本発明の一実施形態にしたがうデータソース(Data Source)画面の例示図を示す。いろいろあるが、データソース画面は、(a)新しいデータソースのシンボルを選択するため、(b)ソースタイプを選択するため、および(c)データソース説明(Data Source Description)を選択するために用いることができる。例えば、選択されたソースタイプによってデータソース画面上に表示されるオプションが決まる。プロセスツールの一部である統合計測モジュールデータソースを定義するために”Telius ODP”タイプを用いることができる。「所望出力(Desired Output)」タイプにより、ユーザはコントローラの固定単位を入力できる。「フィードバックオフセット(Feed−back Offset)」タイプにより、ユーザは永続フィードバック変数を定義できる。「制御プラン値(Control Plan Value)」により、ユーザは別の制御プランの結果を参照する(入れ子型のプランを作成する)変数を作成できる。次に、図11Aには示されていないが、「統合計測サイトフィルタリング(Integrated Metrology Site Filtering)」タイプは、各データソースが選択されるときに各オプションの説明を伴うテーブルを作成する。示されているように、他のソースが提供されてもよい。
図11Bに示されているように、シンボル(Symbol)ドロップダウンリストからシンボルを選択することができ、データソースタイプ(Data Source Type)ドロップダウンメニューからソースタイプを選択することができる。例えば、選択されたデータソースによってデータソース情報フィールドが変化してもよい。当業者に分かるように、画面を閉じるために「適用(Apply)」および/または「OK」選択アイテムを用いることができる。
図10に示されるR2R制御プランエディタ画面上の目標計算フィールドに目標計算を入力できる。例えば、CD−SEMデータをODPデータに相関させる方程式を入力できる。また、この方程式は付加的な補償項を含むことができる。例えば、この付加補償係数は、ゲートスタックエッチング工程などの別の工程で生じた誤差を補正するために用いることができる。
例えば、図10に示されるように、新しい目標値は実行時または実行時前に計算される変数とすることができ、この目標値を計算するために方程式が使われる。また、下限値および上限値を用いることができ、これらの値は下限フィールドおよび上限フィールドに入力できる。例えば、新しい下限値および上限値は、実行時または実行時前に計算される定数または変数とすることができ、方程式を用いて新しい下限値および上限値を計算できる。
新しいモデルを作成したり、既存の静的または数式モデルを選択したりするために、図10に示されるモデル選択(Model Selections)フィールドを用いることができる。例えば、モデルタイプ選択アイテムの下で、テーブル内の選択アイテムを用いてモデルタイプを入力および/または編集できる。例えば、テーブルアイテムからドロップダウンリストを有効にすることができ、このドロップダウンリストから選択を行なうことができる。ドロップダウンリスト内のあるオプションにより、新しいモデルを作成できるようになる。また他のオプションを用いて、使用または修正する既存モデルを表示および選択することができる。各モデルタイプは、モデルタイプに関連付けられたモジュール名、目標値、下限値、上限値、レシピ出力などのオプションを備えることができる。新モデルを作成するときは、新モデルタイプを使用してモデルタイプフィールドに入力でき、新モデル名を使用してモデル名フィールドに入力できる。
新しい予測結果値を入力したり、既存の予測結果値を選択するために、予測結果計算(Predicted Result Calculation)フィールドを用いることができる。予測結果は、期待される結果を求める方程式とすることができる。例えば、図10に示されるR2R制御プランエディタ画面では、名称、目標計算およびモデル選択情報が入力されると、制御プランが保存できると考えられる。
また、本発明の一部として、関連制御プラン(Associate Control Plan)画面(不図示)を含むこともできると考えることもできる。関連制御プラン画面は、特に、(a)選択された制御プランと関係付ける目標モジュールを選択するため、(b)選択された制御プランを表示するため、(c)R2R制御プランエディタ画面を開くため、(d)選択された制御プランを開くため、(e)選択された制御プランとおなじ設定の新制御プランを作成するため、(f)選択された制御プランと目標モジュールを関係付けるため、および(g)関連制御プラン画面を閉じるため、に用いることができる。図10に示されるR2R制御プランエディタ画面の#フィールドは、モデルリストのモデル番号を含む。モデルタイプにより、静的モデルまたは数式モデルを選択することが可能となる。モデル名(Model Name)フィールドには、使用可能なモデルの名称が列挙される。例えば、新モデルを作成するために「新静的レシピ(New Static Recipe)」オプションまたは「新数式レシピ(New Formula Recipe)」オプションをドロップダウンリストから選択することができる。1つまたはそれより多い静的レシピを含む静的制御プランを作成することができる。
また、目標計算は、ボトムCD測定値などの所望の出力を、SEM(走査電子顕微鏡)のCD測定値などの測定データと関係付ける方程式とすることができる。図12に例示関係が示されている。別々のシンボル(d1、o1およびo2)を備えた3つの入力データソースが示されている。当然のことながら、本発明は、これら3つの入力データソースにだけに限定されるものではない。別の数の入力データソースを使用することができ、また、各入力データソースは別のシンボル値を有することができる。例えば、1つのデータソースはODPツールとすることができ、Teliusなどのプロセスツールの一部とすることができる。また、別のデータソースはSEMとすることができ、パラメータ/値(Parameter/Value)は、CD−SEMデータなどの実際の測定値とすることができる。
図10に示されるように、1つまたはそれより多い数式モデルを備える制御プランを作成できる。例えば、10の数式モデルが示されている。同じ目標値(t1)の10の数式モデルが示されているが、これは必要事項ではない。別の数の数式モデルを使用することができ、また、各数式モデルは別の目標値を有することができる。図10に示されるように、10の数式モデルは、上限値と下限値で定められる別々の有効範囲を有することができる。
手順400(図4)に戻り、タスク440で、ノミナルレシピを決定することもできる。ノミナルレシピなどのプロセスレシピは、プロセスツール110、コントローラ120またはレシピライブラリから入手できる。ノミナルレシピは、制御されたプロセスモジュールのシステム設定に含まれているプロセスレシピとすることができる。
一実施形態において、システムはノミナルレシピ(ツール110上に存在しているレシピ)から開始して、その後、実行された各制御プランに由来する更新を追加する。あるいは、ノミナルレシピは必要とされない。すべての制御プランが(マッチング制御ストラテジ内で)実行されると、最終レシピがツール110に送られる。ノミナルレシピは、制御されたプロセスモジュールのシステムレシピに含まれているプロセスレシピとすることができる。ノミナルレシピはベースラインまたはデフォルトレシピとすることができる。コントローラ120によって行なわれる制御操作により、ノミナルレシピからのずれを指定するように選択プロセスパラメータを上書きできる。ノミナルレシピはMESから得ることができる。
また、ヌルレシピを使用することもできる。ヌルレシピは、処理することなく基材をプロセスチャンバに通せるようにするために、プロセスツールおよび/またはプロセスシステムで使用される制御レシピとすることができる。例えば、ヌルレシピは、プロセスツールが一時停止される場合、または基材が処理を要しない場合に使用できる。
設定中、ノミナルレシピなどのシステムレシピは、R2R制御が設定可能となる前にコントローラ120からダウンロードできる。例えば、システムレシピはプロセスツールまたはMESからダウンロードできる。また、計測データソースを選択できる。制御されたプロセスモジュールの選択は、選択されたプロセスツールシステムレシピに基づいて行なうことができる。
ロット開始前に、プロセスツール110はシステムレシピの確認を求める要求をコントロールに送ることができる。このイベントはR2R制御ストラテジおよびその関連制御プランの確認を引き起こす。次に、コントローラ120は、設定中にダウンロードされたシステムレシピ情報を、R2R制御開始前に整合性確認のためにプロセスツール110に送り戻す。マッチング制御ストラテジで制御プランによって参照された全システムレシピが確認されると、コントローラ120は、システムレシピ確認が正常終了したことを示すメッセージをプロセスツール110に送る。
システムレシピが確認されれば、ロットをR2R制御から開始できる。システムレシピが確認されなければ、ロットをR2R制御から開始できない。
ロット開始後の実行時間中、計測データをプロセスツール110からコントローラ120へ送ることができる。例えば、統合計測モジュールを用いて各ウエハを計測した後にデータを送ることができる。
コントローラ120上に設定された制御プランに基づいて、コントローラ120は、計測データ、目標CDおよび制御モデルに基づいて最適な制御変数を計算する。コントローラ120は、計算されたパラメータがプロセスレシピ制約条件内にあるかどうかチェックする。条件内であれば、コントローラ120は計算されたレシピパラメータをプロセスツール110へ送る。計算されたパラメータは、プロセスツール110のノミナルプロセスレシピ内にあるデフォルト値を上書きする。
最終的に、各ウエハが制御されたプロセスモジュールに到着すると、プロセスツール110が、修正されたプロセスレシピのパラメータを用いてウエハを加工する。
タスク450で新プロセスレシピを作成できる。新プロセスレシピは、必要プロセス結果を得るために使用されるレシピである。新プロセスレシピは、それぞれが1つまたはそれより多いプロセスステップを備えている、1つまたはそれより多いプロセスを含むことができる。新プロセスレシピは、1つのチャンバで実施することも複数のチャンバで実施することもできる。新プロセスレシピは、ノミナルレシピ、静的レシピおよび数式モデルのうちの少なくとも1つを用いて設定できる。
静的レシピは、特定の所望プロセス結果を達成するために使用される、ただ1つのレシピ調整セットすることができ、所望プロセス結果はレシピパラメータの計算には使用されない。静的レシピセットは、テーブルベースおコントローラをセットアップするために使用でき、あるいは、同じレシピを使用する必要がある所望出力範囲を処理するために、静的レシピセットと数式モデルを一緒に用いることができる。静的レシピセットと一緒にフィードバックを使用する場合、使用される静的レシピ毎に、制御プランでただ1つの予測プロセス結果を指定できる。静的レシピを作成/編集するためにGUI画面を用いることができる。
数式モデルは、いろいろなパラメータのうちの一例として、プレモデル調整、モデル方程式、一連のポストモデル調整、およびレシピパラメータ割当てマップを含むことができる。プレモデル調整により、所望のプロセス結果(通常はt1)をモデル方程式で使用されている正しい単位(yの値となる)に表し直すことができ、モデル方程式を、1つの操作変数(x)の関数として予測プロセス結果を計算する式とすることができる。このモデルが実行されると、再表現された所望のプロセス結果を(y)として、xの値を求める。xが求められれば、ポストモデル調整を計算することができ、これらの値を、レシピパラメータマップで指定された適切なレシピパラメータに割り当てる。
また、1つまたはそれより多いプロセスモデルを提供できる。プロセスモデルはプロセス空間を定義するために用いることができる。プロセスモデルは、所望結果(出力)と、これらの結果を達成する必要のある、受け取った変数との間の確認済みの関係を表す。プロセスモデルは、数式ベースのモデルを含むことができる方程式を含むことができる。数式ベースのモデルは、所望結果といくつかの評価済み実験データに基づいたレシピ変数との区分的関係を含む方程式を備えることができる。プロセスモデルは、線形とすることもできるし、非線形とすることができる。プロセスモデルは、新プロセスレシピを確認し、既存のプロセスレシピを更新するために用いることができる。
数式モデルは、トリミングプロセスなどの動的プロセスを制御するために用いることができる。あるいは、数式モデルは別のプロセスを制御するために用いることもできる。プロセスパラメータに関係する例示方程式セットおよびプロセス結果が図5Aおよび5Bに示されている。
図13は、本発明の一実施形態にしたがうR2R数式モデルエディタ(R2R Formula Model Editor)画面の例示図を示す。示されている実施形態には、1つの数式モデル”Trim6_1to6_7”が示されている。代わりに、別のモデルおよび/またはレシピが示されていてもよい。
R2R数式レシピエディタ(R2R Formula Recipe Editor)画面は、数式レシピの名前を入力および/または編集するための名称(Name)フィールドと、レシピレンジを選択するかまたは新しいレシピレンジを作成するためのレシピレンジ(Recipi Range)フィールドと、R2Rレシピレンジエディタ画面(図8)を開くためのレンジ編集(Edit Range)選択アイテムと、新しい数式レシピの説明を入力および/または編集するための説明(Description)フィールドとを含むことができる。他のフィールドを設けることもできる。
図13において、名称フィールドは、この例示数式モデルの名前である”Trim6_1to6_7”を含んでいる。レシピレンジフィールドは、CORプロセスなどの特定プロセスに関係付けることができるCORを含んでおり、説明フィールドは、FMA数式レシピを示す十分な説明となりうる”COR Trim (14mT)”を含むことができる。プレモデル調整(Pre−Moddel Adjustment)フィールドは、追加のプレモデル調整が不要であることを意味する変数(t1)を含んでいる。調節後有効y(Valid y after adjustment)フィールドは、6.1と6.7を含むことができ、これらは、有効範囲を6.1〜6.7に制限するために使用できる。例えば、プレモデル調整変数(y)値は、ナノメートル単位で測定されたトリミング量を表すことができる。プレモデル調整変数(y)値がこの範囲外となるとき、このモデルが不良であるためにアラームを生じさせることができる。
モデル方程式(Model Equation)フィールドは、xに関するモデル方程式を入力および/または編集するために用いることができる。例えば、yは単一変数xの関数とすることができる。あるいは、yは、いくつかの変数の関数とすることもできる。有効方程式解x(Valid equation solution x)フィールドは、xの有効範囲を入力するために用いることができる。xの値がこの範囲外となるとき、このモデルが不良であるためにアラームが発生する。
図13において、モデル方程式フィールドは、3階多項式を含むが、これは本発明の要件ではない。代わりに、他の多項式をモデル方程式に使用することもできる。例えば、モデル方程式従属変数(y)がトリミング量を表し、モデル方程式独立変数(x)がプロセスガスの流量を表すことができる。有効方程式解xフィールドは、プロセスガスの流量の有効範囲を入力するために用いることができる。xの値がこの範囲外となるとき、このモデルが不良であるためにアラームを発生することができる。
例示プロセスでは、ノミナルレシピ、静的レシピA、静的レシピB、数式モデルA、数式モデルB1、数式モデルB2など、多数のプロセス制御オブジェクトを定義できる。なお、プロセス制御オブジェクトの数とタイプは、ここに列挙されたものと異なるものとすることができる。
図5Aおよび5Bに示されているプロセス空間などのプロセス空間をモデリングするために1つまたはそれより多い方程式を作成できる。一実施形態において、数式モデルエディタ画面(図13)に示されているもののようなモデル方程式(y=f(x))を使うことができる。一例において、yはトリミング量(TA)などの所望プロセス結果と等しくすることができ、xはyに関連付けられたプロセスパラメータ(制御変数)と等しくすることができる。例えば、トリミング量の範囲(6.1≦y≦6.7)を、ガス流量xなどのプロセスパラメータに関係付けるプロセスレシピ(レシピA)の測定データセットから、プロセスレシピ(レシピA)の方程式y=f(x)を求め、逆プロセスレシピ(逆レシピA)方程式x=f(y)を求める。
プロセス空間において、多項式を作成し、プロセスガス流量をプロセス空間の第1の部分のトリミング量に関係付ける多項式の係数を見つけることによって、1つまたはそれより多い方程式を求めることができる。ここで、トリミング量(TA)は6.1nmと6.7nmの間で変化し、流量(Ar)は約60.0sccmと約71.0sccmの間で変化する。例えば、次のN階多項式を使用できる。
Figure 0005014990
ここでARは動的変数であり、トリミング量(TA)は必要プロセス結果であり、N≧1であり、Aは正値、負値、およびゼロ値のうちの少なくとも1つを有する定数を含むことができる。一実施形態において、ARの値を求めるためにN階多項式を解くことができる。
あるいは、別の多項式を作成し、プロセスガス流量を逆プロセス空間の第1の部分のトリミング量に関係付けることができる多項式の係数を見つけることによって、逆方程式を求めることができる。ここで、流量(Ar)は約60.0sccmと約71.0sccmの間で変化し、トリミング量(TA)は6.1nmと6.7nmの間で変化する。
例えば、次のN階多項式を使用できる。
Figure 0005014990
ここでARは動的変数であり、トリミング量(TA)は必要プロセス結果であり、N≧1であり、Cは正値、負値、およびゼロ値のうちの少なくとも1つを有する定数を含むことができる。
処理すべきこのモデルの条件のリストを作成するためにポストモデル調整f(x)(Post−Model Adjustment f(x))テーブルを用いることができる。条件はコントローラによって定義でき、図13に示されるようなプロセステーブル/スプレッドシート内の少なくとも1つのステップ関連セルに割り当てることができる。あるいは、各条件をパラメータの値に割り当てるレシピパラメータマップを作成できる。
図13に示されるように、ポストモデル調整(x)(Post−Model Adjustment(x))テーブル/スプレッドシートは、モデルが扱うことのできる多数の変数(g、h、i)を含むことができる。変数は、定数および他の変数を含むことができる方程式によって定義できる。他の変数は、モデルを実行するR2Rコントローラに送られる値を含むことができる。他の変数は、ツールレベルコントローラ、R2Rコントローラ、システムレベルコントローラ、クライアントコントローラ、ファクトリレベルコントローラなどの他のコントローラによって送ることができる。
R2R数式モデルエディタ画面上の種々のフィールドを編集するためにドロップダウンリストを用いることができる。例えば、ドロップダウンリストは、テーブル内に変数を入力したり、および/または、テーブル内の変数を変更するために用いることができる。図14Aは本発明の一実施形態にしたがう条件エディタ(Term Editor)画面の例示図を示し、図14Bは、本発明の一実施形態にしたがう新条件名(New Term Name)画面の例示図を示す。
図14Aに示される例では、g7を43に等しくすることができる。ここでxは従属であり、43は別のプロセスの反応ガス流量を補償するために使用できる、または静的レシピの一部である定数値を入力するために使用できる補正係数である。変数g6を(x−43)に等しくすることができる。ここでxはモデル方程式(y=f(x))の従属変数であり、43は別のプロセスステップの反応ガス流量を補償するために使用できる、または静的レシピの一部である定数値を入力するために使用できる補正係数である。変数g1を(80*x/100)に等しくすることができる。ここでxはモデル方程式(y=f(x))従属変数である。変数g2を(g1+g7)に等しくすることができる。ここでg1およびg7はテーブル内の他の変数である。
図15は本発明の一実施形態にしたがうモデル方程式(Model Equation)画面の例示図を示す。プロセス空間の特定部分のモデル方程式のグラフが示されている。図示実施形態では、ほぼ直線上の曲線が示されているが、これは説明用のものに過ぎない。当業者に分かるように、曲線は非線形の形状を有することができる。
図16は、本発明の一実施形態にしたがうR2R静的レシピエディタ(R2R Static Recipe Editor)画面の例示図を示す。示されている実施形態には、1つの静的レシピ”SRA_no_HF”が示されている。代わりに、別のモデルおよび/またはレシピを示すこともできる。
図示実施形態では、多数のプロセスパラメータと多数のプロセスステップが示されているが、これは本発明の要件ではない。別の実施形態では、別のプロセスパラメータと別のプロセスステップを用いることができる。
名称(Name)フィールドは”SRA_no_HF”を含み、これは静的レシピの名前とすることができる。レシピレンジ(Recipi Range)フィールドは”RRafterinstalM”を含み、これはインストール後に実施されるプロセスなどの特定のプロセスに関係付けることができる。説明(Description)フィールドは、静的レシピの説明を含むことができる。静的レシピ内の値を交換するために数式モデルに定数を用いることができる。
図17は本発明の一実施形態にしたがう例示ノミナルレシピを示す。図示実施形態では、多数のプロセスパラメータと多数のプロセスステップが示されているが、これは本発明の実施要件ではない。別の実施形態では、別のプロセスパラメータと別のプロセスステップを用いることができる。
図18は、本発明の一実施形態にしたがう例示プロセスのグラフを示す。図示実施形態において、グラフはガス流量対トリミング量を示す。これらのパラメータは本発明の要件ではなく、他のパラメータを使用することもできる。記載されている結果から、多くの場合、広範囲のプロセス結果をカバーするために複数のプロセスレジームにおいて複数の方程式が必要であることが分かる。方程式は、線形とすることもできるし、非線形とすることができる。
図18も、2種類のレシピとそのプロセス結果の間の関係を示す。2種類のレシピは、ほぼ一定に維持できる異なるパラメータを含むことができる。例えば、レシピAでは、チャンバ圧が1つの値(14mTorr)でほぼ一定に保たれ、レシピBでは、チャンバ圧が別の値(10mTorr)でほぼ一定に保たれている。別の実施形態では、プロセスツールによって指令される制限のためにプロセス空間を分割できる。例えば、プロセスツールが2つまたはそれより多い流量コントローラを含む場合、プロセス空間を分けるためにガス流を用いることができる。
図18では、2つのプロセスレジームが示されており、プロセス空間を2部分に分割できる。第1のプロセスレジーム1810では、プロセス結果(トリミング量)が約2nmから約6nmに変化し、第2のプロセスレジーム1820では、プロセス結果(トリミング量)が約6nmから約23nmに変化する。別の実施形態では、別の数のプロセスレジームを存在させることができ、示されているものと別の限界とすることができる。
各プロセスレジームにおいて、トリミング量などのプロセス結果とガス流などのプロセスパラメータとの関係を計算するために方程式(数式)を用いることができる。新プロセスレシピは、いろいろあるが例として、ノミナルレシピコンポーネント、静的レシピコンポーネントおよび数式モデルコンポーネントのうちの少なくとも1つを含むことができる。あるいは、別の数のコンポーネントおよび/または別のタイプのコンポーネントを用いることもできる。
図示実施形態に示されているように、第1のプロセスレジーム1810で使用されるプロセスレシピは、ノミナルレシピコンポーネントおよび数式モデルAコンポーネントを含むことができる。あるいは、静的レシピコンポーネント(不図示)を使用することもできる。第2のプロセスレジーム1820で使用されるプロセスレシピは、ノミナルレシピコンポーネントおよび数式モデルBコンポーネントを含むことができる。あるいは、静的レシピコンポーネント(不図示)を使用することもできる。
プロセスパラメータとプロセス結果の間の関係を示すために一変数または多変数の数式モデルを作ることができる。
図19は、本発明の一実施形態にしたがうR2R数式モデルエディタ画面の例示図を示す。図示実施形態には、1つの数式モデルが示されている。あるいは、当業者には分かるだろうが、別のモデルおよび/またはレシピを示すこともできる。
R2R数式レシピエディタ(R2R Formula Recipe Editor)画面は、数式モデルの名前を入力および/または編集するための名称フィールドと、レシピレンジを選択するかまたは新しいレシピレンジを作成するためのレシピレンジフィールドと、R2Rレシピレンジエディタ画面(不図示)を開くためのレンジ編集選択アイテムと、新しい数式レシピの説明を入力および/または編集するための説明フィールドとを含むことができる。
図19において、名称フィールドは、この数式モデルの名前である”COR1”を含んでいる。レシピレンジフィールドは、CORプロセスなどの特定プロセスに関係付けることができる”R2R_test_COR”を含んでおり、説明フィールドは数式モデルの説明を含むことができる。プレモデル調整フィールドは、追加のプレモデル調整が不要であることを意味する変数(t1)を含んでいる。調節後有効yフィールドは、2.0と6.0を含むことができ、これらは、有効範囲を2〜6に制限するために使用できる。例えば、プレモデル調整変数(y)値は、ナノメートル単位で測定されたトリミング量を表すことができる。プレモデル調整変数(y)値がこの範囲外となるとき、このモデルが不良であるためにアラームを生じさせることができる。
モデル方程式フィールドは、xに関するモデル方程式を入力および/または編集するために用いることができる。例えば、yは単一変数xの関数とすることができる。あるいは、yは、いくつかの変数の関数とすることもできる。有効方程式解xフィールドは、xの有効範囲を入力するために用いることができる。xの値がこの範囲外となるとき、このモデルが不良であるためにアラームが発生する。
図19において、モデル方程式フィールドは、3階多項式を含むが、これは本発明の要件ではない。代わりに、他の多項式をモデル方程式に使用することもできる。例えば、モデル方程式従属変数(y)がトリミング量を表し、モデル方程式独立変数(x)がプロセスガスの流量を表すことができる。有効方程式解xフィールドは、プロセスガスの流量の有効範囲を入力するために用いることができる。xの値がこの範囲外となるとき、このモデルが不良であるためにアラームを発生することができる。
CORプロセスにおける反応工程中、ウエハ表面にNHおよびHFが生成物を形成する。反応ガスを薄めてエッチング量をコントロールするためにArなどの不活性ガスが使用される。一連の実験が実施され、実験データの解析がなされた。プロセスをコントロールするために、トリミング量レンジを2つの圧力領域に分けることができる(図18)。
例示プロセスでは、ノミナルレシピ、数式モデルAおよび数式モデルBなど多数のプロセス制御オブジェクトを定義できる。なお、プロセス制御オブジェクトの数とタイプは、別のものにすることもできる。
プロセス空間をモデリングするために1つまたはそれより多い方程式を作ることができる。一実施形態において、数式モデルエディタ画面(図19)に示されているもののようなモデル方程式(y=f(x))を使うことができる。一例において、yはトリミング量(TA)と等しくすることができ、xはyに関連付けられた制御変数と等しくすることができる。例えば、実験計画(DOE)から、トリミング量の範囲(2.0≦y≦6.0)をガス流量xなどのプロセスパラメータに関係付けるプロセスレシピの測定データセットを入手し、レシピ方程式y=f(x)を求め、逆レシピ方程式x=f(y)を求める。
第1のプロセスレジーム(図18、1810)において、多項式を作成し、プロセスガス流量をプロセス空間の第1の部分のトリミング量に関係付ける多項式の係数を見つけることによって、モデル方程式を求めることができる。ここで、トリミング量(TA)は2nmと6nmの間で変化し、流量(Ar)は約60.0sccmと約70.0sccmの間で変化する。例えば、次のN階多項式を使用できる。
Figure 0005014990
ここでARは動的変数であり、トリミング量(TA)は必要プロセス結果であり、N≧1であり、Aは正値、負値、およびゼロ値のうちの少なくとも1つを有する定数を含むことができる。一実施形態において、ARの値を求めるためにN階多項式を解くことができる。
あるいは、別の多項式を作成し、プロセスガス流量を第1のプロセスレジームのトリミング量に関係付けることができる多項式の係数を見つけることによって、逆方程式を求めることができる。ここで、流量(Ar)は約60.0sccmと約70.0sccmの間で変化し、トリミング量(TA)は2.0nmと6.0nmの間で変化する。
例えば、次のN階多項式を使用できる。
Figure 0005014990
ここでARは動的変数であり、トリミング量(TA)は必要プロセス結果であり、N≧1であり、Cは正値、負値、およびゼロ値のうちの少なくとも1つを有する定数を含むことができる。
処理すべきこのモデルの条件のリストを作成するためにポストモデル調整f(x)テーブルを用いることができる。各条件をパラメータの値に割り当てるレシピパラメータマップ(不図示)を作成できる。
図19に示されるように、ポストモデル調整(x)テーブルは、モデルが扱うことのできる可変項を含むことができる。変数g5を(x−53)に等しくすることができる。ここでxはモデル方程式(y=f(x))の従属変数であり、53は別のプロセスステップの反応ガス流量を補償するために使用できる補正係数である。また、g7は15に等しくすることができ、ステップ1および2において、”Gas3”などのパラメータを表すことができ、g8はステップ1〜4において「チャンバ圧(Chamber Pressure)」などのパラメータを表すことができ、g9は(g5+15)と等しくすることができ、このように2つの変数を互いに関係付けることができる。
また、数多くの補償係数がテーブル内に示されている。例えば、静的レシピなど、他のプロセスオブジェクトで実施されたプロセスパラメータの変更を補償するために補償係数を用いることができる。
制御システムが数式モデルを実行するとき、制御システムは方程式ソルバを使用できる。数式モデルは2次方程式y=f(x)ならびに方程式が現在のプロセスを表すxのユーザ指定範囲を含むことができる。
方程式yのLHSは、通常、トリミング量などのプロセスの所望出力を表す。この量は、ウエハ測定値または他の測定データから計算できる。方程式y=f(x)は実験的に、または第1原理から求められ、xはガス流などのレシピパラメータを表す。
例えば、所望のプロセス出力を達成するために必要なレシピパラメータを求めるために数式モデルが使用されるとき、方程式ソルバを用いることができる。以下のパラメータを方程式ソルバに渡すことができる:a)方程式y=f(x)b)現在のウエハのyの値;およびc)プロセス方程式の有効範囲を示すxの下限および上限。方程式ソルバは、最初にLHSのy項を方程式のRHSに移して、形式を次のように変更する:0=f(x)−y。次に、yの値が分かっているので、次のステップは、Ridders, C. F. J. ”A New Algorithm for Computing a Single Root of a Real Continuous Function.” IEEE Trans. Circuits Systems 26, 979−980, 1979の冒頭に記載されているRiddersアルゴリズムまたは方法などの適切なアルゴリズムを使って、方程式の解すなわちxの根を求めることである。
xの下限および上限は開始点として使用される。したがって、ソルバを正しく働かせるためにxの下限および上限が必要である。方程式ソルバ法は、プロセスを概念的に出力(y)と入力(x)に分ける。このように分けることにより、y項およびx項の意味に混乱を生じることなく、異なる数式モデルを異なるプロセスリジョンに用いることが可能となる。また、その後のフィードバックコントローラにおいても、所望の出力(y)を首尾一貫して使用できる。ソルバは一次方程式に限定されない。多くのプロセスが非線形リジョンを有する。数値的アプローチにより、プロセスを説明するのに複雑な多項方程式を使うことさえ可能となる。ただし、方程式は与えられるxの範囲にわたって連続的でなくてはならない。しかし、不連続の方程式は複数の数式モデルに分けることもできる。
図20は、本発明の一実施形態にしたがうさらに別のR2R数式モデルエディタ画面の例示図を示す。図示実施形態には、1つの数式モデル”COR2”が示されている。代わりに、別のモデルおよび/またはレシピを示すこともできる。
名称フィールドは、この数式モデルの名前である”COR2”を含んでいる。レシピレンジフィールドは、CORプロセスなどの特定プロセスに関係付けることができる”R2R_test_COR”を含んでおり、説明フィールドは”COR2”数式レシピを説明する記述を含むことができる。プレモデル調整フィールドは、追加のプレモデル調整が不要であることを意味する変数(t1)を含んでいる。調節後有効yフィールドは、6.0と30.0を含むことができ、これらは、有効範囲を6〜30に制限するために使用できる。例えば、プレモデル調整変数(y)値は、ナノメートル単位で測定されたトリミング量を表すことができる。プレモデル調整変数(y)値がこの範囲外となるとき、このモデルが不良であるためにアラームを生じさせることができる。
モデル方程式フィールドは3階多項式を含むが、これは本発明の要件ではない。代わりに、他の多項式をモデル方程式に使用することもできる。例えば、モデル方程式従属変数(y)がトリミング量を表し、モデル方程式独立変数(x)がプロセスガスの流量を表すことができる。有効方程式解xフィールドは、プロセスガスの流量の有効範囲を入力するために用いることができる。xの値がこの範囲外となるとき、このモデルが不良であるためにアラームを発生することができる。
プロセス空間をモデリングするために1つまたはそれより多い方程式を作ることができる。一実施形態において、数式モデルエディタ画面(図20)に示されているもののようなモデル方程式(y=f(x))を使うことができる。一例において、yはトリミング量(TA)と等しくすることができ、xはyに関係付けられた制御変数と等しくすることができる。例えば、実験計画(DOE)から、トリミング量の範囲(6.0≦y≦30.0)をガス流量xなどのプロセスパラメータに関係付けるプロセスレシピの測定データセットを入手し、レシピ方程式y=f(x)を求め、逆レシピ方程式x=f(y)を求める。
第2のプロセスレジーム(図18、(1820))の第1の部分において、多項式を作成し、トリミング量を第2のプロセスレジームのプロセスガス流量に関係付ける多項式の係数を見つけることによって、モデル方程式を求めることができる。ここで、トリミング量(TA)は6.0nmと30.0nmの間で変化し、流量(Ar)は約0.0sccmと約100.0sccmの間で変化する。
例えば、次のN階多項式を使用できる。
Figure 0005014990
ここでARは動的変数であり、トリミング量(TA)は必要プロセス結果であり、N≧1であり、Aは正値、負値、およびゼロ値のうちの少なくとも1つを有する定数を含むことができる。一実施形態において、ARの値を求めるためにN階多項式を解くことができる。
あるいは、別の多項式を作成し、プロセスガス流量を第2のプロセスレジームのトリミング量に関係付けることができる多項式の係数を見つけることによって、逆方程式を求めることができる。ここで、流量(Ar)は約0.0sccmと約100.0sccmの間で変化し、トリミング量(TA)は6.0nmと30.0nmの間で変化する。
例えば、次のN階多項式を使用できる。
Figure 0005014990
ここでARは動的変数であり、トリミング量(TA)は必要プロセス結果であり、N≧1であり、Cは正値、負値、およびゼロ値のうちの少なくとも1つを有する定数を含むことができる。
手順400に戻り、タスク460で新しいレシピをプロセスツールに送ることができる。基材は新レシピを用いて処理できる。一実施形態において、CORモジュール、RHTモジュールおよび少なくとも1つのバッファモジュールを備えることができるプロセスツール(プロセスチップ)を用いてトリミング手順を実施できる。あるいは、成膜プロセスまたはエッチングプロセスを実施できる。
例えば、ウエハの必要プロセス結果(トリミング量)が範囲内(2≦y≦6)であるとき、ウエハは第1の新プロセスレシピを用いて処理され、ウエハの必要プロセス結果(トリミング量)が範囲内(6≦y≦17.3)であるとき、ウエハは第2の新プロセスレシピを用いて処理され、ウエハの必要プロセス結果(トリミング量)が範囲内(17.3≦y≦23)であるとき、ウエハは第3の新プロセスレシピを用いて処理される。
まず、ツール110がウエハを第1のバッファ(ロードロック)モジュールに移動させることができる。第1のバッファ(ロードロック)モジュールは真空になるまでポンプダウンを行なう。ツール110はウエハを第2のバッファ(RHT)モジュールに移動させることができる。GUIステータス画面が更新される(ウエハがLL内であることを示す)。次にツール110はウエハを第1のプロセス(COR)モジュールに移動させることができる。TLコントローラ(FDCコンポーネント)は制御ストラテジで定義されたデータ収集(DC)ストラテジを選択でき、センサをセットアップする。ステータス画面を更新できる(モジュールの状態が変化できる)。ツールは第1のプロセスモジュールに対して「レシピ開始(Recipe Start)」を実施する。ステータス画面を更新できる(モジュールの状態により「ウエハ処理」を変更できる)。次いで、センサが記録を開始できる。レシピはプロセスステップを繰り返す。第1のプロセスモジュールは「レシピ終了(Recipe End)」イベントを送ることができる。センサは記録を停止することができ、ツールはウエハを第2のバッファ(RHT)モジュールに移動させる。次に、TLコントローラ(FDCコンポーネント)がツール110からデータファイルを収集し、データ収集プランフィルタに基づいてデータ処理を開始できる。TLコントローラ(FDCコンポーネント)は、制御ストラテジで定義された解析ストラテジ、プロセスモジュールおよびプロセス状態データを選択して、データベース(すなわち、モジュール状態およびプロセス状態)を更新できる。その後、ステータス画面を更新できる(モジュール状態はLL/PHT内のウエハ、第2のバッファ(PHT)モジュールの場合は「レシピ開始」を示すことができる)。ステータス画面を更新できる(モジュール状態が「ウエハ処理」を変更できる)。次いで、センサが記録を開始できる。レシピがプロセスステップを繰り返す。第2のバッファ(PHT)モジュールは「レシピ終了(Recipe End)」イベントを送ることができる。センサは記録を停止できる。ツール110はウエハを第1のバッファ(ロードロック)モジュールに移動する。真空状態が真空から大気に変わる。ツール110はウエハを第1のバッファ(ロードロック)モジュールから出す。その後、ステータス画面が更新される。手順400はタスク470で終了する。
図21は、本発明の別の一実施形態にしたがうR2R数式モデルエディタ画面の例示図を示す。示されている実施形態には、1つの数式モデル”PCMO−Trim−1”が示されている。代わりに、別のモデルおよび/またはレシピを示すこともできる。
名称フィールドは、この数式モデルの名前とすることができる”PCMO−Trim−1”を含んでいる。レシピレンジフィールドは、PCMOプロセスなどの特定プロセスに関係付けることができる”PCMO−Recipe− Range3”を含んでおり、説明フィールドは、”PCMO−Trim−1”数式モデルの説明となりうる”PCMO trim before COR formula model”を含むことができる。プレモデル調整(Pre−Model Adjustment)フィールドは変数(t1)を含んでいる。代わりに、別のプレモデル調整を使用することもできる。調節後有効yフィールドは、22.0と30.0を含むことができ、これらは、有効範囲を22〜30に制限するために使用できる。例えば、プレモデル調整変数(y)値は、ナノメートル単位で測定されたトリミング量を表すことができる。プレモデル調整変数(y)値がこの範囲外となるとき、このモデルが不良であるためにアラームを生じさせることができる。
モデル方程式フィールドは1階多項式を含むが、これは本発明の要件ではない。代わりに、他の多項式をモデル方程式に使用することもできる。例えば、モデル方程式従属変数(y)がトリミング量を表し、モデル方程式独立変数(x)がプロセスガスの流量を表すことができる。有効方程式解xフィールドは、プロセスガスの流量の有効範囲を入力するために用いることができる。xの値がこの範囲外となるとき、このモデルが不良であるためにアラームを発生することができる。
プロセス完了後、ポストプロセス計測データを得ることができる。ポストプロセス計測データは、分から日へ変化しうる時間遅延後に得ることができる。ポストプロセス計測データは、フィードバック制御の一部として用いることができる。また、サイト測定データはいくつかのビジネスルールにしたがって制御ウエハの統計値としてまとめることができる。IMデータは、ある制御プランのプレ計測データとすることができ、また、別の制御プランのポスト計測データとすることができる。また、ポストプロセスデータはフィルタリング処理することができる。例えば、アウトライアで統計的に無効なウエハ上のデータポイントを取り除くために、アウトライア排除フィルタを用いることができる。つまり、信頼できないサイトを捨て、ウエハ平均計算で用いないようにすることができる。
一例において、ポストプロセス計測データに対して平均/シグマ比較法を用いることができる。例えば、シグマ乗数を指定できる。すべてのデータポイントを平均およびシグマにまとめることができる。2つの限界を計算できる(平均+X×シグマおよび平均−X×シグマ)。限界外のすべてのデータポイントを取り除くことができる。平均を再計算し、最終IM測定値を示すことができる。あるいは、箱髭プロット計測を用いてアウトライアを識別することもできる。
また、ポスト計測データとプレ計測データとを比較して、実際のプロセス結果を求めることができる。測定目標CDは、プロセスまたはプロセスステップの実際のプロセス結果の1つである。測定目標CDの代わりに、通常、プロセス中に測定されたエッチングトリミング量を実際のプロセス結果と見なすことができる。推定プロセス誤差量であるオフセットを求めることができる。オフセットはプロセスの傾向を表すものであり、プロセスモデルおよびレシピパラメータを最適にするためにコントローラが使用できる。
フィードバック制御は、コントローラに対して調整を行なうために、所望の出力(予測出力)と測定出力との間の誤差信号を使用する必要がある。例えば、フィードバックは、システムに永続変数を加えることによって実施できる。これらはシステムの変化にともなってプロセスモデルセットを遅れずにゆっくりとシフトし、所望のプロセス結果計算に対するオフセットとして用いることができる。これらの変数は、その後、各ウエハのポストプロセス計測データに基づいてロット終了時に更新される。フィードバック更新の設定は、制御ストラテジ内のフィードバックプランに格納できる。例えば、ポスト計測をIMMで測定した後、各ウエハに対して実際のプロセス結果および誤差計算を実施することができる。ロット終了後、測定された各ウエハの誤差計算値の平均を取る。その後、ロット平均誤差計算に基づいてフィードバックプランの「制御プラン選択」テーブルで定義された各変数について更新を行なうことができる。
図22および23は、本発明の別の実施形態にしたがうR2R制御プランエディタ画面の例示図を示す。図示実施形態では、複数の数式モデルを管理するための制御プランのためのR2R数式モデルエディタ画面示されている。あるいは、他のプロセスを使用することもできる。
制御プランを作成/編集するために、ユーザはプラン名アイテムを選択し、新しい制御プランまたは既存のプランまたはモデルを選択できる。例えば、制御ストラテジエディタ画面上にドロップダウンメニューを表示させて追加プラン集を選ぶことができる。
制御プランエディタ(Control Plan Editor)画面は多数のフィールドを備えることができる。プラン名(Plan Name)フィールドは、制御プランの名称を入力/編集するために用いることができる。モジュール名を入力/編集するためにモジュールフィールドを用いることができる。例えば、プランとストラテジを関係付けるとモジュールフィールドが自動的に記入されるようにすることもできる。プランの関連付けを行なわなければ、プロセスモジュールを選択するためのモジュールフィールドを用いることができる。レシピを入力/編集するためにレシピフィールドを用いることができる。例えば、プランとストラテジを関係付けるとレシピフィールドが自動的に記入されるようにすることもできる。プランの関連付けを行なわなければ、レシピを選択するためにこのフィールドを用いることができる。
プランに関する説明を入力/編集するために説明フィールドを用いることができる。更新フィールドは前回のプラン変更時を示す。
データソースを入力/編集するためにデータテーブルを用いることができる。例えば、R2Rプランデータソース画面が開かれてもよい。データソーステーブルを使用すると、ドロップダウンメニューを使ってR2Rプランデータソース画面を開くことができる(図11Aおよび11B)。データソース画面は、新データソースのシンボルを選択し、ソースタイプを選択し、データソース説明を選択する等のために用いることができる。例えば、選択されたソースタイプによってデータソース画面上に表示されるオプションが決まる。プロセスツールの一部である統合計測モジュールデータソースを定義するために”Telius ODPタイプを用いることができる。”「所望出力(Desired Output)」タイプにより、ユーザはコントローラの固定単位を入力できる。「フィードバックオフセット」タイプにより、ユーザは永続フィードバック変数を定義できる。「制御プラン値(Control Plan Value)」により、ユーザは別の制御プランの結果を参照する(入れ子型のプランを作成する)変数を作成できる。「統合計測サイトフィルタリング(Integrated Metrology Site Filtering)」タイプは、各データソースが選択されるときに各オプションの説明を備えたテーブルを作成する。
図22および23に示される目標計算フィールドには、いくつかの異なる目標計算が示されているが、これらのフィールドは変更できる。例えば、プレプロセスデータ(d1)を所望プロセス結果データ(o1)に関係付ける方程式(t1)を入力できる。また、プレプロセスデータ(d1)を所望プロセス結果データ(o1)およびフィードバックプランのフィードバックオフセットデータ(f1)に関係付ける別の方程式(t2)を入力できる。また、プレプロセスデータ(d1)を所望プロセス結果データ(o1)およびホストなどの別のコントローラから入手したフィードバックオフセットデータ(f2)に関係付ける第3の方程式(t3)を入力できる。また、ポストプロセスデータ(d2)をホストなどの別のコントローラから入手した所望プロセス結果データ(o2)に関係付ける別の方程式(t4)を入力でき、ポストプロセスデータ(d2)を所望プロセス結果データ(o1)およびフィードバックプランのフィードバックオフセットデータ(f1)に関係付ける別の方程式(t5)を入力できる。ポストプロセスデータ(d2)を所望プロセス結果データ(o2)およびホストなどの別のコントローラから入手したフィードバックオフセットデータ(f2)に関係付けるさらに別の方程式(t6)を入力できる。あるいは、方程式は他の変数を含むことができる。例えば、別のステップで生じた誤差を補正するために補償係数を用いることができる。
例えば、新しい目標値は実行時または実行時前に計算される変数とすることができ、この目標値を計算するために方程式が使われる。また、下限値および上限値を用いることができ、これらの値は下限フィールドおよび上限フィールドに入力できる。例えば、新しい下限値および上限値は、実行時または実行時前に計算される定数または変数とすることができ、方程式を用いて新しい下限値および上限値を計算できる。
新しいモデルを作成したり、既存の静的または数式モデルを選択したりするためにモデル選択フィールドを用いることができる。例えば、モデルタイプ選択アイテムの下で、テーブル内の選択アイテムを用いてモデルタイプを入力および/または編集できる。例えば、テーブルアイテムからドロップダウンリストを有効にすることができ、このドロップダウンリストから選択を行なうことができる。ドロップダウンリスト内の1つのオプションによって新しいモデルを作成できるようになる。使用および/または修正するために既存のモデルを表示および選択するために他のオプションを用いることができる。各モデルタイプは、モデルタイプに関連付けられたモジュール名、目標値、下限値、上限値、レシピ出力を備えることができる。新モデルを作成するときは、新モデルタイプを使用してモデルタイプフィールドに入力でき、新モデル名を使用してモデル名フィールドに入力できる。
新しい予測結果値を入力したり、既存の予測結果値を選択するために、予測結果計算フィールドを用いることができる。予測結果は、期待される結果を求める方程式とすることができる。例えば、名称、目標計算およびモデル選択情報が入力されるときに制御プランを保存できる。
6つのデータソースが異なるシンボル(d1,d2,f1,f2,o1,o2)で示されているが、これは必要事項ではない。別の数の入力データソースを使用することができ、また、各入力データソースは別のシンボル値を有することができる。また、6つの数式モデルが示されている。異なる目標値(t1〜16)の6つの数式モデルが示されているが、これは必要事項ではない。別の数の数式モデルを使用することができ、また、各数式モデルは別の目標値を有することができる。6つの数式モデルは、上限値と下限値で定められる別々の有効範囲を有することができる。
図24は、本発明のさらに別の実施形態にしたがうR2Rフィードバックプランエディタ(R2R Feed−back Plan Editor)画面の例示図を示す。示されている実施形態では、フィードバック数式モデルのためのフィードバック計算フィールドが示されている。代わりに他のプロセスを使用することもできる。
フィードバックプラン(Feed−back Plan)を作成/編集するために、ユーザはプラン名アイテムを選択し、新しいフィードバックプランまたは既存のプランまたはモデルを選択できる。例えば、制御ストラテジエディタ画面上にドロップダウンメニューを表示させて追加プラン集を選ぶことができる。
R2Rフィードバックプランエディタ(R2R Feed−back Plan Editor)画面は多数のフィールドを備えることができる。プラン名フィールドはフィードバックプランの名称を入力/編集するために用いることができる。プランに関する説明を入力/編集するために説明フィールドを用いることができる。更新フィールドは前回のプラン変更時を示す。
データソースを入力/編集するためにデータテーブルを用いることができる。例えば、R2Rプランデータソース画面が開かれてもよい。データソーステーブルを使用すると、ドロップダウンメニューを使ってR2Rプランデータソース画面を開くことができる(図11Aおよび11B)。データソース画面は、新データソースのシンボルを選択し、ソースタイプを選択し、データソース説明を選択する等のために用いることができる。例えば、選択されたソースタイプによってデータソース画面上に表示されるオプションが決まる。プロセスツールの一部である統合計測モジュールデータソースを定義するためにTelius ODPタイプを用いることができる。「所望出力(Desired Output)」タイプにより、ユーザはコントローラの固定単位を入力できる。「フィードバックオフセット(Feed−back Offset)」タイプにより、ユーザは永続フィードバック変数を定義できる。「制御プラン値(Control Plan Value)」により、ユーザは別の制御プランの結果を参照する(入れ子型のプランを作成する)変数を作成できる。「統合計測サイトフィルタリング(Integrated Metrology Site Filtering)」タイプは、各データソースが選択されるときに各オプションの説明を備えたテーブルを作成する。
実際のプロセス結果計算フィールドには、方程式を入力でき、この方程式は「実際のプロセス結果(Actual Process Result)」を求めるために用いることができる。「実際のプロセス結果」は、測定データ、予測データ、シミュレートデータおよび実際のデータのうちの少なくとも1つを含むことができる。図24に示されるように、「実際のプロセス結果」を予測値とすることができる。あるいは、異なる値を用いることができ、いくつかの異なる変数を用いることができる。例えば、プリプロセスデータ、所望プロセス結果データ、ポストプロセスデータおよびホストなどの別のコントローラから入手したデータを使用する方程式(a1)を入力できる。
例えば、実際のプロセス結果値はプロセス実行後に計算される変数とことができ、方程式を用いて実際のプロセス結果値を計算できる。また、下限値および上限値を用いることができ、これらの値は下限フィールド(不図示)および上限フィールド(不図示)に入力できる。例えば、新しい下限値および上限値は、実行時または実行時前に計算される定数または変数とすることができ、方程式を用いて新しい下限値および上限値を計算できる。
誤差計算フィールドを用いて、算出された新誤差値の入力または既存の誤差計算方程式の編集を行なうことができる。例えば、算出される誤差値はプロセス実行後に計算される変数とすることができ、方程式を用いてこの算出誤差値を求めることができる。また、下限値および上限値を用いることができ、これらの値は下限フィールド(不図示)および上限フィールド(不図示)に入力できる。例えば、新しい下限値および上限値は、実行時または実行時前に計算される定数または変数とすることができ、方程式を用いて新しい下限値および上限値を計算できる。
以上、本発明の特定の実施形態のみを詳細に説明したが、当業者には、本発明の新規な教示内容および利点から著しく逸脱せずにこれら実施形態に多くの変更例が可能であることがすぐに分かるであろう。したがって、そのような変更例はすべて本発明の範囲内に含まれるものとする。
このように、上記説明は本発明を制限しようとするものではなく、本発明の構成、作用および挙動は、本明細書に記載されている詳細さのレベルから判断して実施形態の修正および変更が可能であるという理解に基づいて記載されている。よって、上記の詳細な説明は本発明をいかようにも限定することを意味または意図するものでなく、本発明の範囲は添付されている請求の範囲によって定められる。
本発明の一実施形態にしたがうプロセスシステムの例示ブロック図である。 本発明の一実施形態にしたがうプロセスツール用GUI画面の例示図である。 本発明の一実施形態にしたがうウエハ断面の例示図である。 本発明の一実施形態にしたがってプロセスシステムを操作する方法の例示フロー図である。 本発明の一実施形態にしたがうプロセス空間の例示グラフである。 本発明の一実施形態にしたがうプロセス空間の例示グラフである。 本発明の一実施形態にしたがう例示トリミングプロセスを示す図である。 本発明の一実施形態にしたがう構成画面の例示図である。 本発明の一実施形態にしたがうエディタ画面の例示図である。 本発明の一実施形態にしたがう制御ストラテジエディタ画面の例示図である。 本発明の一実施形態にしたがう制御プランエディタ画面の例示図である。 本発明の一実施形態にしたがうデータソース画面の例示図である。 本発明の一実施形態にしたがうデータソース画面の例示図である。 本発明の一実施形態にしたがう例示プロセスのグラフである。 本発明の一実施形態にしたがうR2R数式モデルエディタ画面の例示図である。 本発明の一実施形態にしたがう条件エディタ画面の例示図である。 本発明の一実施形態にしたがう条件入力画面の例示図である。 本発明の一実施形態にしたがうモデル方程式画面の例示図である。 本発明の一実施形態にしたがうR2R静的レシピエディタ画面の例示図である。 本発明の一実施形態にしたがう例示ノミナルレシピを示す図である。 本発明の一実施形態にしたがう例示プロセスのグラフである。 本発明の一実施形態にしたがうR2R数式モデルエディタ画面の例示図である。 本発明の一実施形態にしたがう別のR2R数式モデルエディタ画面の例示図である。 本発明の一実施形態にしたがうさらに別のR2R数式モデルエディタ画面の例示図である。 本発明の別の実施形態にしたがうR2R制御プランエディタ画面の例示図である。 本発明のさらに別の実施形態にしたがうR2R制御プランエディタ画面の例示図である。 本発明のさらに別の実施形態にしたがうR2Rフィードバックプランエディタ画面の例示図である。

Claims (30)

  1. 基材に関する所望のプロセス結果および実際の測定データを含むプリプロセスデータを受け取る工程と、
    前記所望のプロセス結果と前記実際の測定データの差を含む必要プロセス結果を求める工程と、
    静的レシピおよび数式モデルの少なくとも一方を用いて、プロセスツールから入手したノミナルレシピを修正することによって新プロセスレシピを作成する工程であって、
    前記新プロセスレシピが前記必要プロセス結果と等しい新プロセス結果を提供し、
    前記ノミナルレシピが複数のプロセスステップを備え、各ステップは各ステップ内で定義される複数のプロセスパラメータを有し、
    前記静的レシピが、少なくとも1つの前記プロセスステップの少なくとも1つの前記プロセスパラメータをさらに定義するための少なくとも1つの定数値を含み、
    前記数式モデルが、少なくとも1つの前記プロセスステップの少なくとも1つの前記プロセスパラメータをさらに定義するための少なくとも1つの動的変数を含む、工程と、
    前記新プロセスレシピを前記プロセスツールに送る工程と、
    前記新プロセスレシピに基づいて前記基材を処理する工程と、
    N階方程式を用いて前記数式モデルを作成する工程であって、前記N階方程式の形態が、
    Figure 0005014990
    であり、ここでxが動的変数を含み、yが必要プロセス結果であり、N≧1であり、Aが正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    動的変数の値を求めるためにN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    を含む基材処理方法。
  2. N階方程式を用いて前記数式モデルを作成する工程であって、前記N階方程式の形態が、
    Figure 0005014990
    であり、ここでxが動的変数を含み、yが必要プロセス結果であり、N≧1であり、Cが正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、mは0以上のすべての整数である、工程と、
    動的変数の値を求めるためにN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    をさらに含む、請求項1に記載の基材処理方法。
  3. 第1のN階方程式を用いて第1の数式モデルコンポーネントを作成する工程であって、前記第1のN階方程式の形態が、
    Figure 0005014990
    であり、前記第1の数式モデルコンポーネントが第1のプロセスレジームで使用され、x(1)が前記第1のプロセスレジームの動的変数を含み、yが前記第1のプロセスレジームの必要プロセス結果であり、N≧1であり、A(1)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    第2のN階方程式を用いて第2の数式モデルコンポーネントを作成する工程であって、
    前記第2のN階方程式の形態が、
    Figure 0005014990
    であり、前記第2の数式モデルコンポーネントが第2のプロセスレジームで使用され、x(2)が前記第2のプロセスレジームの動的変数を含み、yが前記第2のプロセスレジームの必要プロセス結果であり、N≧1であり、A(2)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    前記第1のプロセスレジームの前記動的変数の値を求めるために前記第1のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第1のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    前記第2のプロセスレジームの前記動的変数の値を求めるために前記第2のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第2のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    をさらに含む、請求項1に記載の基材処理方法。
  4. 前記第1及び第2のプロセスレジームを確立するために第1の制御パラメータが用いられ、前記第1のプロセスレジームが前記第1の制御パラメータの第1の値を用いて決定され、前記第2のプロセスレジームが前記第1の制御パラメータの第2の値を用いて決定される、請求項3に記載の基材処理方法。
  5. 前記第1の制御パラメータがチャンバ圧であり、前記第1のプロセスレジームはチャンバ圧が12mTorr未満のときに決定され、前記第2のプロセスレジームはチャンバ圧が12mTorrより大きいときに決定される、請求項4に記載の基材処理方法。
  6. 第3のN階方程式を用いる第3の数式モデルコンポーネントを作成する工程であって、
    前記第3のN階方程式の形態が、
    Figure 0005014990
    であり、前記第3の数式モデルコンポーネントが第3のプロセスレジームで使用され、x(3)が前記第3のプロセスレジームの動的変数を含み、yが前記第3のプロセスレジームの必要プロセス結果であり、N≧1であり、A(3)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    前記第3のプロセスレジームの前記動的変数の値を求めるために前記第3のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第3のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    をさらに含む、請求項3に記載の基材処理方法。
  7. 第1のN階方程式を用いる第1の数式モデルコンポーネントを作成する工程であって、
    前記第1のN階方程式の形態が
    Figure 0005014990
    であり、前記第1の数式モデルコンポーネントが第1のプロセスレジームで使用され、x(1)が前記第1のプロセスレジームの動的変数を含み、yが前記第1プロセスレジームの必要プロセス結果であり、N≧1であり、C(1)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    第2のN階方程式を用いて第2の数式モデルコンポーネントを作成する工程であって、
    前記第2のN階方程式の形態が、
    Figure 0005014990
    であり、前記第2の数式モデルコンポーネントが第2のプロセスレジームで使用され、x(2)が前記第2のプロセスレジームの動的変数を含み、yが前記第2のプロセスレジームの必要プロセス結果であり、N≧1であり、C(2)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    前記第1のプロセスレジームの前記動的変数の値を求めるために前記第1のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第1のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    前記第2のプロセスレジームの前記動的変数の値を求めるために前記第2のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第2のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    をさらに含む、請求項1に記載の基材処理方法。
  8. 第3のN階方程式を用いる第3の数式モデルコンポーネントを作成する工程であって、
    前記第3のN階方程式の形態が、
    Figure 0005014990
    であり、前記第3の数式モデルコンポーネントが第3のプロセスレジームで使用され、x(3)が前記第3のプロセスレジームの動的変数を含み、yが前記第3のプロセスレジームの必要プロセス結果であり、N≧1であり、C(3)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    前記第3のプロセスレジームの前記動的変数の値を求めるために前記第3のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第3のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    をさらに含む、請求項7に記載の基材処理方法。
  9. 前記所望プロセス結果が、目標限界寸法(CD)、目標CDプロファイル、CD均一値およびCDプロファイル均一値のうちの少なくとも1つを含む、請求項1に記載の基材処理方法。
  10. 前記プリプロセスデータが、測定限界寸法(CD)、測定CDプロファイル、測定CD均一値および測定CDプロファイル均一値のうちの少なくとも1つを含む、請求項1に記載の基材処理方法。
  11. 前記プリプロセスデータが、ユーザ、ツールレベルコントローラ、システムレベルコントローラおよびファクトリレベルコントローラのうちの少なくとも1つから受け取ったデータを含む、請求項1に記載の基材処理方法。
  12. ノミナルプロセス結果と前記必要プロセス結果とを比較することによって、前記ノミナルレシピをいつ使えるかを判断する工程と、
    前記ノミナルプロセス結果が前記必要プロセス結果と等しい場合、新プロセスレシピを前記プロセスツールに送るのを控える工程と、
    をさらに含む、請求項1に記載の基材処理方法。
  13. 前記新プロセスレシピが化学的酸化物除去(COR)プロセスを含み、前記必要プロセス結果がトリミング量を含み、前記動的変数がプロセスガスの流量を含む、請求項1に記載の基材処理方法。
  14. 前記新プロセスレシピがCORプロセスレシピと後熱処理(PHT)プロセスレシピを含み、前記方法が、
    CORモジュールにおいてCORプロセスレシピを実行する工程であって、プロセスガスを用いて基材の露出面を化学処理し、少なくとも1つの露出面に固体反応生成物が形成される、工程と、
    PHTモジュールにおいてPHTプロセスを実行する工程であって、前記実行する工程は固体反応生成物を蒸発させ、それによって化学処理された露出表面層をトリミングすることを含む、工程と、
    をさらに含む、請求項13に記載の基材処理方法。
  15. 前記必要プロセス結果が達成されるまで前記実行する工程を繰り返す工程をさらに含む、請求項14に記載の基材処理方法。
  16. CORモジュールにおいてCORプロセスレシピを実行する工程であって、プロセスガスを用いて基材の露出面を化学処理し、少なくとも1つの露出面に、前記トリミング量と等しい厚さを有する固体反応生成物が形成される、工程と、
    後熱処理(PHT)モジュールにおいてPHTプロセスを実行する工程であって、前記実行する工程は固体反応生成物を蒸発させ、それによって化学処理された露出表面層の少なくとも1つを前記トリミング量だけトリミングすることを含む、工程と、
    をさらに含む、請求項13に記載の基材処理方法。
  17. CORプロセスレシピを実行する前記工程が、
    化学処理チャンバを備える前記CORモジュールに前記基材を移送し、
    前記化学処理チャンバ内に取り付けられた温度調節式基材ホルダ上に前記基材を置き、
    前記化学処理チャンバに接続された真空排気システムを用いて前記チャンバの圧力を変更し、
    前記化学処理チャンバに接続され、前記化学処理チャンバにプロセスガスを導入するように構成されたガス供給システムを用いて前記プロセスガスを供給し、
    前記プロセスレシピにしたがって、前記CORモジュール、前記温度調節式基材ホルダ、前記真空排気システムおよび前記ガス供給システムを制御する、
    ことを含む、請求項16に記載の基材処理方法。
  18. PHTプロセスレシピを実行する前記工程が、
    熱処理チャンバを備える前記PHTモジュールに前記基材を移送し、
    前記熱処理チャンバ内に取り付けられた温度調節式基材ホルダ上に前記基材を置き、
    前記熱処理チャンバに接続された温度調節式上側アセンブリを使用して前記チャンバの温度を変更し、
    前記熱処理チャンバに接続された真空排気システムを用いて前記チャンバの圧力を変更し、
    前記プロセスレシピにしたがって、前記PHTモジュール、前記真空排気システム、温度調節システムおよび温度調節式基材ホルダを制御する、
    ことを含む、請求項16に記載の基材処理方法。
  19. ポストプロセス計測データを受け取る工程と、
    前記ノミナルレシピ、前記静的レシピおよび前記数式モデルのうちの少なくとも1つを更新するために前記ポストプロセス計測データを使用する工程と、
    をさらに含む、請求項1に記載の基材処理方法。
  20. 基材に関する所望のプロセス結果および実際の測定データを含むプリプロセスデータを受け取る工程と、
    所望のプロセス結果と実際の測定データの間の差を含む必要プロセス結果を求める工程と、
    静的レシピおよび数式モデルの少なくとも一方を用いて、プロセスツールから入手したノミナルレシピを修正することによって新プロセスレシピを作成する工程であって、
    前記新プロセスレシピが前記必要プロセス結果と等しい新プロセス結果を提供し、
    前記ノミナルレシピが第1の数の列と第2の数の行を有する第1のテーブルを備え、各列がプロセスステップを含み、各行がプロセスパラメータを含み、各セルがプロセスパラメータ値を含み、
    プロセス静的レシピが前記第1の数の列と前記第2の数の行を有する第2のテーブルを備え、前記第2のテーブルの少なくとも1つのセルが、前記第1のテーブルの少なくとも1つのセルと関連付けられたプロセスパラメータ値を変更する定数値を含み、
    前記数式モデルが前記第1の数の列と前記第2の数の行を有する第3のテーブルを備え、前記第3のテーブルの少なくとも1つのセルが、前記第1のテーブルの少なくとも1つのセルと関連付けられたプロセスパラメータ値を変更する動的変数を含む、工程と、
    前記新プロセスレシピを前記プロセスツールに送り、前記新プロセスレシピに基づいて前記基材を処理する工程と、
    N階方程式を用いる前記数式モデルを作成する工程であって、前記数式モデルの形態が
    Figure 0005014990
    であり、ここでxは動的変数を含み、yは必要プロセス結果であり、N≧1であり、Aは正値、負値、およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    動的変数の値を求めるためにN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    を含む基材処理方法。
  21. N階方程式を用いる前記数式モデルを作成する工程であって、前記数式モデルの形態が
    Figure 0005014990
    であり、ここでxが動的変数を含み、yが必要プロセス結果であり、N≧1であり、Cが正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、mは0以上のすべての整数である、工程と、
    動的変数の値を求めるためにN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    をさらに含む、請求項20に記載の基材処理方法。
  22. 第1のN階方程式を用いる第1の数式モデルコンポーネントを作成する工程であって、
    前記第1のN階方程式の形態が
    Figure 0005014990
    であり、前記第1の数式モデルコンポーネントが第1のプロセスレジームで使用され、x(1)が前記第1のプロセスレジームの動的変数を含み、yが前記第1プロセスレジームの必要プロセス結果であり、N≧1であり、A(1)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    第2のN階方程式を用いて第2の数式モデルコンポーネントを作成する工程であって、
    前記第2のN階方程式の形態が、
    Figure 0005014990
    であり、前記第2の数式モデルコンポーネントが第2のプロセスレジームで使用され、x(2)が前記第2のプロセスレジームの動的変数を含み、yが前記第2のプロセスレジームの必要プロセス結果であり、N≧1であり、A(2)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    前記第1のプロセスレジームの前記動的変数の値を求めるために前記第1のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第1のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    前記第2のプロセスレジームの前記動的変数の値を求めるために前記第2のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第2のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    をさらに含む、請求項21に記載の基材処理方法。
  23. 前記第1及び第2のプロセスレジームを確立するために第1の制御パラメータが用いられ、前記第1のプロセスレジームが前記第1の制御パラメータの第1の値を用いて決定され、前記第2のプロセスレジームが前記第1の制御パラメータの第2の値を用いて決定される、請求項22に記載の基材処理方法。
  24. 前記第1の制御パラメータがチャンバ圧であり、前記第1のプロセスレジームはチャンバ圧が12mTorr未満のときに決定され、前記第2のプロセスレジームはチャンバ圧が12mTorrより大きいときに決定される、請求項23に記載の基材処理方法。
  25. 第3のN階方程式を用いる第3の数式モデルコンポーネントを作成する工程であって、
    前記第3のN階方程式の形態が、
    Figure 0005014990
    であり、前記第3の数式モデルコンポーネントが第3のプロセスレジームで使用され、x(3)が前記第3のプロセスレジームの動的変数を含み、yが前記第3のプロセスレジームの必要プロセス結果であり、N≧1であり、A(3)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    前記第3のプロセスレジームの前記動的変数の値を求めるために前記第3のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第3のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    をさらに含む、請求項22に記載の基材処理方法。
  26. 第1のN階方程式を用いる第1の数式モデルコンポーネントを作成する工程であって、前記第1のN階方程式の形態が
    Figure 0005014990
    であり、前記第1の数式モデルコンポーネントが第1のプロセスレジームで使用され、x(1)が前記第1のプロセスレジームの動的変数を含み、yが前記第1プロセスレジームの必要プロセス結果であり、N≧1であり、C(1)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    第2のN階方程式を用いて第2の数式モデルコンポーネントを作成する工程であって、
    前記第2のN階方程式の形態が、
    Figure 0005014990
    であり、前記第2の数式モデルコンポーネントが第2のプロセスレジームで使用され、x(2)が前記第2のプロセスレジームの動的変数を含み、yが前記第2のプロセスレジームの必要プロセス結果であり、N≧1であり、C(2)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    前記第1のプロセスレジームの前記動的変数の値を求めるために前記第1のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第1のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    前記第2のプロセスレジームの前記動的変数の値を求めるために前記第2のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第2のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    をさらに含む、請求項20に記載の基材処理方法。
  27. 第3のN階方程式を用いる第3の数式モデルコンポーネントを作成する工程であって、
    前記第3のN階方程式の形態が、
    Figure 0005014990
    であり、前記第3の数式モデルコンポーネントが第3のプロセスレジームで使用され、x(3)が前記第3のプロセスレジームの動的変数を含み、yが前記第3のプロセスレジームの必要プロセス結果であり、N≧1であり、C(3)が正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    前記第3のプロセスレジームの前記動的変数の値を求めるために前記第3のN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの前記第3のプロセスレジームの前記動的変数について求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    をさらに含む、請求項26に記載の基材処理方法。
  28. ポストプロセス計測データを受け取る工程と、
    前記ノミナルレシピ、前記静的レシピおよび前記数式モデルのうちの少なくとも1つを更新するために前記ポストプロセス計測データを使用する工程と、
    をさらに含む、請求項20に記載の基材処理方法。
  29. 前記数式モデルが、プレモデル調整方程式と、モデル方程式と、複数のポストモデル調整と、レシピパラメータ割当てマップとを備える、請求項20に記載の基材処理方法。
  30. 基材に関する所望のプロセス結果および実際の測定データを含むプリプロセスデータを受け取る工程と、
    前記所望のプロセス結果と前記実際の測定データの差を含む必要プロセス結果を求める工程と、
    新プロセスレシピを作成するための制御ストラテジを識別する工程であって、前記新プロセスレシピが前記必要プロセス結果と等しい新プロセス結果を提供し、制御ストラテジが、複数の静的レシピを含む静的レベルのための制御プランと、複数の数式モデルを含む数式モデルのための制御プランを備えている、工程と、
    プロセスツールからノミナルレシピを入手する工程であって、前記ノミナルレシピが第1の数の列と第2の数の行を有する第1のテーブルを備え、各列がプロセスステップを含み、各行がプロセスパラメータを含み、各セルがプロセスパラメータ値を含む、工程と、
    前記第1のテーブルの少なくとも1つのセルと関連付けられたプロセスパラメータ値を変更するために静的レシピおよび数式モデルの少なくとも一方を実行する工程であって、
    前記静的レシピが前記第1の数の列と前記第2の数の行を有する第2のテーブルを備え、前記第2のテーブルの少なくとも1つのセルが、前記第1のテーブルの少なくとも1つのセルと関連付けられたプロセスパラメータ値を変更するための定数値を含み、
    数式モデルが前記第1の数の列と前記第2の数の行を有する第3のテーブルを備え、前記第3のテーブルの少なくとも1つのセルが、前記第1のテーブルの1つまたはそれより多いセルと関連付けられたプロセスパラメータ値を変更するための動的変数を含む、工程と、
    前記新プロセスレシピを前記プロセスツールに送る工程と、
    前記新プロセスレシピに基づいて前記基材を処理する工程と
    N階方程式を用いて前記数式モデルを作成する工程であって、前記N階方程式の形態が、
    Figure 0005014990
    であり、ここでxが動的変数を含み、yが必要プロセス結果であり、N≧1であり、Aが正値、負値およびゼロ値のうちの少なくとも1つを有する定数を含み、nは0以上のすべての整数である、工程と、
    動的変数の値を求めるためにN階方程式を解く工程と、
    少なくとも1つの前記プロセスステップの求められた前記動的変数の値を含むことによって前記ノミナルレシピを修正する工程と、
    を含む基材処理方法。
JP2007521462A 2004-07-14 2005-05-17 数式ベースのラン・ツウ・ラン制御 Expired - Fee Related JP5014990B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/890,410 2004-07-14
US10/890,410 US7292906B2 (en) 2004-07-14 2004-07-14 Formula-based run-to-run control
PCT/US2005/016990 WO2006019449A1 (en) 2004-07-14 2005-05-17 Formula-based run-to-run control

Publications (2)

Publication Number Publication Date
JP2008507131A JP2008507131A (ja) 2008-03-06
JP5014990B2 true JP5014990B2 (ja) 2012-08-29

Family

ID=34970136

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007521462A Expired - Fee Related JP5014990B2 (ja) 2004-07-14 2005-05-17 数式ベースのラン・ツウ・ラン制御

Country Status (6)

Country Link
US (1) US7292906B2 (ja)
JP (1) JP5014990B2 (ja)
KR (1) KR101186694B1 (ja)
CN (1) CN100561389C (ja)
TW (1) TWI311161B (ja)
WO (1) WO2006019449A1 (ja)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI286785B (en) * 2002-03-29 2007-09-11 Tokyo Electron Ltd Method for interaction with status and control apparatus
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
DE102005030586A1 (de) * 2005-06-30 2007-01-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
DE102005046972A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US7366575B2 (en) * 2005-12-30 2008-04-29 Intel Corporation Wafer polishing control
JP4796574B2 (ja) * 2006-02-07 2011-10-19 東京エレクトロン株式会社 基板処理装置の制御装置および基板処理装置の制御プログラム
US8560109B1 (en) * 2006-02-09 2013-10-15 Cadence Design Systems, Inc. Method and system for bi-directional communication between an integrated circuit (IC) layout editor and various IC pattern data viewers
JP4839101B2 (ja) 2006-03-08 2011-12-21 東京エレクトロン株式会社 基板処理装置、基板処理条件検討方法及び記憶媒体
US7642100B2 (en) * 2006-09-13 2010-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for yield and productivity improvements in semiconductor processing
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
JP5213322B2 (ja) * 2006-10-05 2013-06-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置並びにプログラムを記憶する記憶媒体
US7738986B2 (en) * 2006-10-09 2010-06-15 GlobalFoundries, Inc. Method and apparatus for compensating metrology data for site bias prior to filtering
US7713758B2 (en) * 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US7899637B2 (en) * 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library
US8082045B1 (en) * 2007-06-29 2011-12-20 Intermolecular, Inc. Substrate processing recipe manager
US8635125B2 (en) * 2007-07-03 2014-01-21 Microsoft Corporation Automatic calculation with multiple editable fields
US7967995B2 (en) 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
JP5089513B2 (ja) * 2008-07-11 2012-12-05 東京エレクトロン株式会社 プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
TWI380141B (en) * 2008-09-24 2012-12-21 Inotera Memories Inc Method for automatically detecting and shifting the base line in semiconductor process
US9768082B2 (en) 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US20100211202A1 (en) * 2009-02-13 2010-08-19 Hermes Microvision, Inc. Method and machine for examining wafers
GB2468146B (en) * 2009-02-26 2011-11-23 Biopharm Services Ltd Method of generating recipe for process
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US8224475B2 (en) * 2009-03-13 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for advanced process control
US8392009B2 (en) * 2009-03-31 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control with novel sampling policy
JP5334787B2 (ja) 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9026239B2 (en) * 2010-06-03 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. APC model extension using existing APC models
KR20160118387A (ko) * 2010-08-03 2016-10-11 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
US8527081B2 (en) * 2010-08-31 2013-09-03 Applied Materials, Inc. Method and apparatus for automated validation of semiconductor process recipes
CN102456084A (zh) * 2010-10-27 2012-05-16 沈阳中科博微自动化技术有限公司 Ic装备配方编辑器的配置方法
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US9308618B2 (en) * 2012-04-26 2016-04-12 Applied Materials, Inc. Linear prediction for filtering of data during in-situ monitoring of polishing
JP6301083B2 (ja) * 2012-09-12 2018-03-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及びレシピの作成方法
JP6262137B2 (ja) * 2012-09-26 2018-01-17 株式会社日立国際電気 統合管理システム、管理装置、基板処理装置の情報表示方法及びプログラム
JP6177513B2 (ja) * 2012-09-28 2017-08-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10885002B2 (en) * 2013-10-14 2021-01-05 Aveva Software, Llc Recipe management system
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
CN104752269A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体工艺配方的加载方法与系统
JP6376554B2 (ja) * 2014-03-26 2018-08-22 株式会社Screenホールディングス 基板処理装置
KR102308587B1 (ko) 2014-03-19 2021-10-01 가부시키가이샤 스크린 홀딩스 기판 처리 장치 및 기판 처리 방법
CN103871954B (zh) * 2014-03-20 2017-07-07 上海华力微电子有限公司 一种优化浅槽隔离刻蚀线宽的方法
JP6501601B2 (ja) * 2014-05-20 2019-04-17 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板処理プログラム
US9541906B2 (en) * 2014-09-11 2017-01-10 Hong-Te SU Controller capable of achieving multi-variable controls through single-variable control unit
WO2016078861A1 (en) * 2014-11-17 2016-05-26 Asml Netherlands B.V. Process based metrology target design
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
TWI676357B (zh) * 2015-06-05 2019-11-01 美商蘭姆研究公司 配方組之執行同步系統與方法
US9934351B2 (en) * 2015-11-09 2018-04-03 Applied Materials, Inc. Wafer point by point analysis and data presentation
CN106371326B (zh) * 2016-09-18 2021-01-05 海信集团有限公司 设备工作场景的存储方法及装置
JP6476370B2 (ja) * 2016-09-26 2019-03-06 株式会社Kokusai Electric 記録媒体、プログラム、半導体装置の製造方法および基板処理装置。
WO2018182503A1 (en) * 2017-03-31 2018-10-04 Neitas Pte. Ltd. Information processing device
WO2018182502A1 (en) * 2017-03-31 2018-10-04 Neitas Pte. Ltd. Information processing device
JP6779173B2 (ja) * 2017-05-18 2020-11-04 株式会社荏原製作所 基板処理装置、プログラムを記録した記録媒体
US11504821B2 (en) 2017-11-16 2022-11-22 Applied Materials, Inc. Predictive filter for polishing pad wear rate monitoring
JP7080065B2 (ja) * 2018-02-08 2022-06-03 株式会社Screenホールディングス データ処理方法、データ処理装置、データ処理システム、およびデータ処理プログラム
KR102268618B1 (ko) * 2019-11-27 2021-06-23 세메스 주식회사 약액 토출 방법
CN112486113B (zh) * 2020-11-20 2021-09-24 长江存储科技有限责任公司 一种机台程式控制方法及装置
CN112580997B (zh) * 2020-12-24 2021-07-27 上海赛美特软件科技有限公司 数据收集方法及系统、spc系统及计算机存储介质
US20230012173A1 (en) * 2021-07-08 2023-01-12 Hitachi High-Tech Corporation Process recipe search apparatus, etching recipe search method and semiconductor device manufacturing system
US20230058468A1 (en) * 2021-08-23 2023-02-23 United Microelectronics Corp. Method of fabricating an air gap
US11860591B2 (en) * 2021-09-13 2024-01-02 Applied Materials, Inc. Process recipe creation and matching using feature models
CN114747794B (zh) * 2022-04-21 2022-12-27 河南中烟工业有限责任公司 基于累计和策略的梗丝干燥机出口含水率控制方法
US20230359179A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US14145A (en) * 1856-01-22 Means for operating the steam-valves of blower-engines
US192966A (en) * 1877-07-10 Improvement in latches for gates and doors
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
KR100649387B1 (ko) * 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US6415193B1 (en) * 1999-07-08 2002-07-02 Fabcentric, Inc. Recipe editor for editing and creating process recipes with parameter-level semiconductor-manufacturing equipment
US6511898B1 (en) 2000-05-24 2003-01-28 Advanced Micro Devices Inc. Method for controlling deposition parameters based on polysilicon grain size feedback
US20020192966A1 (en) 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US7337019B2 (en) 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6732007B1 (en) * 2002-06-05 2004-05-04 Advanced Micro Devices, Inc. Method and apparatus for implementing dynamic qualification recipes
JP3639268B2 (ja) * 2002-06-14 2005-04-20 株式会社日立製作所 エッチング処理方法
US6924088B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US6665623B1 (en) 2002-07-31 2003-12-16 Advanced Micro Devices, Inc. Method and apparatus for optimizing downstream uniformity
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US6893975B1 (en) * 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask

Also Published As

Publication number Publication date
WO2006019449A1 (en) 2006-02-23
TW200617201A (en) 2006-06-01
US20060015206A1 (en) 2006-01-19
KR20070032690A (ko) 2007-03-22
TWI311161B (en) 2009-06-21
CN100561389C (zh) 2009-11-18
KR101186694B1 (ko) 2012-09-27
JP2008507131A (ja) 2008-03-06
US7292906B2 (en) 2007-11-06
CN1985221A (zh) 2007-06-20

Similar Documents

Publication Publication Date Title
JP5014990B2 (ja) 数式ベースのラン・ツウ・ラン制御
US8175736B2 (en) Method and system for performing a chemical oxide removal process
EP1782139B1 (en) Semiconductor processing method using virtual modules
US7158851B2 (en) Feedforward, feedback wafer to wafer control method for an etch process
KR101154658B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
TWI393169B (zh) 施行晶圓均勻度控制之動態量測取樣
KR101158950B1 (ko) 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법
US7502709B2 (en) Dynamic metrology sampling for a dual damascene process
WO2006044016A2 (en) R2r controller to automate the data collection during a doe

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20080508

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080513

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120419

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120515

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120606

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5014990

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees