CN1985221A - 基于公式的制程间控制 - Google Patents

基于公式的制程间控制 Download PDF

Info

Publication number
CN1985221A
CN1985221A CNA2005800236899A CN200580023689A CN1985221A CN 1985221 A CN1985221 A CN 1985221A CN A2005800236899 A CNA2005800236899 A CN A2005800236899A CN 200580023689 A CN200580023689 A CN 200580023689A CN 1985221 A CN1985221 A CN 1985221A
Authority
CN
China
Prior art keywords
jurisdiction
area under
value
processing
handles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800236899A
Other languages
English (en)
Other versions
CN100561389C (zh
Inventor
麦里特·法克
凯文·奥古斯丁·品托
山下朝夫
韦斯利·纳特勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
International Business Machines Corp
Original Assignee
Tokyo Electron Ltd
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, International Business Machines Corp filed Critical Tokyo Electron Ltd
Publication of CN1985221A publication Critical patent/CN1985221A/zh
Application granted granted Critical
Publication of CN100561389C publication Critical patent/CN100561389C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • General Factory Administration (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明提供了一种处理衬底的方法,包括:接收处理前数据,所述处理前数据包括所述衬底的期望处理结果和实际测量数据;确定所需处理结果,所述所需处理结果包括所述期望处理结果和所述实际测量数据之间的差别;通过使用静态配方和公式模型中的至少一个修改从处理工具获得的名义配方来创建新处理配方,其中所述新处理配方提供了近似等于所述所需处理结果的新处理结果;以及发送所述新处理配方到所述处理工具并处理所述衬底。

Description

基于公式的制程间控制
相关申请的交叉引用
本PCT申请基于2004年7月14日递交的美国非临时专利申请10/890,410并要求享受其优先权,该申请的全部内容通过引用结合于此。
本申请与以下申请有关:2003年11月12日递交的题为“ProcessingSystem And Method For Chemically Treating A Substrate”的共同未决美国专利申请No.10/705,200;2003年11月12日递交的题为“Procesing SystemAnd Method For Thermally Treating A Substrate”的共同未决美国专利申请No.10/704,969;和2003年11月12日递交的题为“Method And Apparatusfor Thermally Insulating Adjacent Temperature Controller Chanmbers”的共同未决美国专利申请No.10/705,397。这些申请的内容均通过全文引用结合于此。
技术领域
本发明涉及用于处理衬底的系统和方法,更具体而言涉及使用基于公式的配方进行制程间(run-to-run)控制的系统和方法。
背景技术
在诸如半导体或显示器制造等等离子处理的各个阶段中,处理参数可能发生很大的变化。处理条件随时间改变,处理参数的最轻微的改变会造成不希望的结果。蚀刻气体的成份或压力、处理室或晶片温度很容易发生微小的改变。因此,等离子处理设备需要被持续监视。
在任意给定时刻对这些处理参数进行测量和监视允许有价值的数据被累积和分析。处理控制反馈可被用来调节处理参数或确定某些处理材料的活性(viability)。但是,在很多情形下,反映处理特性恶化的处理数据的改变不能通过简单地参考所显示的处理数据来检测。因此,可能很难检测处理的早期阶段异常和特性恶化,并且可能常常必须通过高级处理控制获得缺陷检测和预测以及图样识别。处理工具常常并不连接到APC系统并且处理工具上的数据不能被充分使用。
发明内容
出于这些和其他原因,这里体现和广泛描述的本发明的原理涉及处理衬底的方法,包括:接收处理前数据,其中所述处理前数据包括所述衬底的期望处理结果和实际测量数据;确定所需处理结果,其中所述所需处理结果包括所述期望处理结果和所述实际测量数据之间的差别;通过使用静态配方和公式模型中的至少一个修改从处理工具获得的名义配方来创建新处理配方,其中:所述新处理配方提供了近似等于所述所需处理结果的新处理结果。所述名义配方包括多个处理步骤,每个步骤具有多个在其中定义的处理参数,所述静态配方包括至少一个常数值,以便进一步定义至少一个所述处理步骤中的至少一个所述处理参数,并且所述公式模型包括至少一个动态变量,以便进一步定义至少一个所述处理步骤中的至少一个所述处理参数。所述方法还包括发送所述新处理配方到所述处理工具和处理所述衬底。
此外,本发明提供了一种处理衬底的方法,包括:接收处理前数据,所述处理前数据包括所述衬底的期望处理结果和实际测量数据;确定所需处理结果,其中所述所需处理结果包括所述期望处理结果和所述实际测量数据之间的差别;识别控制策略以便创建新处理配方,其中所述新处理配方提供近似等于所述所需处理结果的新处理结果,所述控制策略包括用于包括多个静态配方的静态配方的控制计划和用于包括多个公式模型的公式模型的控制计划中的至少一个。
所述方法还包括确定名义配方,所述名义配方包括具有第一数量的列和第二数量的行的第一表,每一列包括一个处理步骤,每一行包括一个处理参数,每个单元包括一个处理参数值;执行静态配方和公式模型中的至少一个,以便修改与所述第一表中的至少一个单元相关联的处理参数值,静态配方包括具有第一数量的列和第二数量的行的第二表,所述第二表的至少一个单元包括用于修改与所述第一表中的至少一个单元相关联的处理参数值的常数值,并且公式模型包括具有第一数量的列和第二数量的行的第三表,所述第三表的至少一个单元包括用于修改与所述第一表中的一个或多个单元相关联的处理参数值的动态变量;以及将所述新处理配方发送到所述处理工具并处理衬底。
从下面的描述和附图可以更加清楚本发明的其他方面。
附图说明
现在参考附图,仅以示例的方式描述本发明的实施例,在附图中,相应的标号指示相应的部件,其中:
图1示出了根据本发明实施例的处理系统的示例性框图;
图2示出了根据本发明实施例的处理工具的GUI屏幕的示意图;
图3示出了根据本发明实施例的晶片截面图的示意图;
图4示出了根据本发明实施例的用于操作处理系统的方法的示例性流程图;
图5A和5B示出了示出了根据本发明实施例的处理空间的示意图;
图6示出了根据本发明实施例的示例性修剪处理;
图7示出了根据本发明实施例的配置屏幕的示意图;
图8示出了根据本发明实施例的编辑屏幕的示意图;
图9示出了根据本发明实施例的控制策略编辑器屏幕的示意图;
图10示出了根据本发明实施例的控制计划编辑器屏幕的示意图;
图11A和11B示出了根据本发明实施例的数据源屏幕的示意图;
图12示出了根据本发明实施例的示例性处理的曲线图;
图13示出了根据本发明实施例的R2R公式模型编辑器屏幕的示意图;
图14A示出了根据本发明实施例的项编辑器屏幕的示意图;
图14B示出了根据本发明实施例的项条目屏幕的示意图;
图15示出了根据本发明实施例的模型方程屏幕的示意图;
图16示出了根据本发明实施例的R2R静态配方编辑器屏幕的示意图;
图17示出了根据本发明实施例的示例性名义配方;
图18示出了根据本发明实施例的示例性处理的曲线图;
图19示出了根据本发明实施例的R2R公式模型编辑器屏幕的示意图;
图20示出了根据本发明实施例的另一R2R公式模型编辑器屏幕的示意图;
图21示出了根据本发明实施例的另一R2R公式模型编辑器屏幕的示意图;
图22示出了根据本发明另一实施例的R2R控制计划编辑器屏幕的示意图;
图23示出了根据本发明另一实施例的R2R控制计划编辑器屏幕的示意图;以及
图24示出了根据本发明另一实施例的R2R反馈计划编辑器屏幕的示意图。
具体实施方式
在材料处理方法中,图样蚀刻包括将光敏材料(例如光刻胶)的薄层涂敷在随后要被图样化的衬底的上表面,以便提供在蚀刻期间将该图样转移到下层薄膜的掩膜。光敏材料的图样化一般涉及使用例如微光刻(micro-lithography)系统利用照射源通过刻线(和相关的光学组件)对光敏材料曝光,然后使用显影溶液移除光敏材料的被照射区域(在正光刻胶的情形下)或未照射区域(在负光刻胶的情形下。)
此外,可以实现多层和硬掩膜以便在薄膜中蚀刻图案。例如,当使用硬掩膜在薄膜中蚀刻图案时,在薄膜的主蚀刻步骤之前使用独立的蚀刻步骤,将光敏层中的掩膜图样转移到硬掩膜层。硬掩膜例如可以从用于硅处理的几种材料中选择,所述材料包括但不限于二氧化硅(SiO2)、氮华硅(Si3N4)和碳。
图1示出了根据本发明实施例的处理系统的示例性框图。在所示实施例中,处理系统100包括处理工具110、耦合到处理工具110的控制器120和至少耦合到控制器120的制造设备系统(MES)130。此外,处理工具110、控制器120和MES 130中的至少一个可以包括GUI组件和/或数据库组件(未示出)。在备选实施例中,不需要GUI组件和/或数据库组件。
一些设置和/或配置信息可被处理工具110和/或控制器120从工厂系统130中获得。工厂级作业规则可被用来建立控制等级。例如,处理工具110和/或控制器120可以独立操作,或者可以在某种程度上受控于工厂系统130。此外,工厂级作业规则可被用来确定处理何时暂停和/或停止,以及当处理暂停和/或停止时进行何种操作。此外,工厂级作业规则可被用来确定何时改变处理和如何改变处理。
作业规则可被用来规定针对普通处理采取的动作和针对例外情况采取的动作。所述动作可包括:初始模型装载、蚀刻前度量数据过滤、控制器配方选择、蚀刻后度量数据过滤、反馈计算和模型更新。
作业规则可被定义在控制策略级别、控制计划级别或控制模型级别上。作业规则可被指定为只要遇到特定场境(context)就执行。当在较高级别和较低级别都遇到匹配场境时,可以执行与较高级别相关联的作业规则。GUI屏幕可被用来定义和维护作业规则。可以允许具有高于普通的安全性级别的用户进行作业规则定义和指定。作业规则可在数据库中维护。可以针对如何定义、指定和维护作业规则提供文档和帮助屏幕。
MES 130可以被配置为使用从处理前工具110和/或控制器120相关联的数据库报告的数据来监视某些系统处理。工厂级作业规则可被用来确定监视哪些处理和使用哪些数据。例如,处理工具110和/或控制器120可以独立地收集数据,或者数据收集处理可以在某种程度上受控于工厂系统130。此外,工厂级作业规则可被用来确定在处理被改变、暂停和/或停止时如何管理数据。
此外,MES 130可以向处理工具110和/或控制器120提供制程中的配置信息。例如,自动化处理控制(APC)设置、限制、规则和算法可以作为制程中的“APC配方”、“APC系统规则”和“APC配方参数”从工厂下载到处理工具110和/或控制器120。
一些设置和/或配置信息可以在处理工具110和/或控制器120被处理系统100初始配置时由它们确定。系统级作业规则(系统规则)可被用来建立控制等级。例如,处理工具110和/或控制器120可以独立地操作,或者处理工具110可以在某种程度上受控于控制器120。此外,系统规则可被用来确定处理何时暂停和/或停止,以及当处理暂停和/或停止时进行何种操作。此外,系统规则可被用来确定何时改变处理和如何改变处理。此外,控制器120可以使用工具级规则来控制某些工具级操作。
一般而言,规则允许系统和/或工具操作基于处理系统100的动态状态而改变。
在图1中示出了一个处理工具110和控制器120,但是这并不是本发明必需的。除了独立处理工具和模块之外,半导体处理系统还可以包括任意数量的具有任意数量的与其相关联的控制器的处理工具。
处理工具110和/或控制器120可被用来配置除了任意数量的独立处理工具和模块之外的任意数量的具有任意数量的与其相关联的处理工具的处理工具。除了其他功能之外,处理工具110和/或控制器120还可以收集、提供、处理、存储和显示来自涉及处理工具、处理子系统、处理模块和传感器的处理的数据。
处理工具110和/或控制器120可以包括若干个应用,所述若干个应用包括至少一个工具相关应用、至少一个模块相关应用、至少一个传感器相关应用、至少一个接口相关应用、至少一个数据库相关应用、至少一个GUI相关应用和至少一个配置应用,等等。
例如,系统100可包括来自Tokyo Electron Limited的APC系统,该系统可包括Unity Tool、Telius Tool和/或Trias Tool和与它们相关联的处理子系统和处理模块。此外,该系统还可包括制程间(R2R)控制器,例如来自Tokyo Electron Limited的Ingenio TL ES服务器和来自TokyoElectron Limited的集成度量模块(IMM)。
或者,控制器120可以支持其他处理工具和其他处理模块。图2示出了根据本发明实施例的处理工具的GUI屏幕的示意图。
GUI组件(未示出)可以提供易于使用的界面,使得用户能够:(a)查看工具状态和处理模块状态;(b)创建和编辑选定的晶片的汇总和原始(跟踪)参数数据的x-y图表;(c)查看工具警报日志;(d)配置规定了向数据库或输出文件写数据的情况的数据收集计划;(e)向统计处理控制(SPC)绘图、建模和制表程序输入文件;(f)检查特定晶片的晶片处理信息,并审查当前正被保存到数据库的数据;(g)创建和编辑处理参数的SPC图表,并设置生成e-mail警告的SPC警报;(h)运行多变量PCA和/或PLS模型;以及(i)查看诊断屏幕以便定位和报告TL控制器120的问题。本领域的技术人员将很清楚,GUI组件不需要提供用于所有功能的界面。相反,GUI可以为这些功能的任意子集或这里没有列出的功能提供界面。
来自工具的原始数据和跟踪数据可以作为文件存储在数据库中。此外,IM数据和主机度量数据可以被存储在数据库中。数据量依赖于所配置的数据收集计划以及执行处理和运行处理工具的频率。从处理工具、处理室、传感器和操作系统获得的数据可被存储在数据库中。
在备选实施例中,系统100可包括客户端工作站(未示出)。系统100可以支持多个客户端工作站。客户端工作站可允许用户执行配置过程;查看包括工具、控制器、处理和工厂状态在内的状态;查看当前和历史数据;执行建模和绘图功能;以及向控制器输入数据。例如,用户可以被提供允许他控制一个或多个由控制器执行的处理的管理权限。
控制器120可包括用于耦合到至少一个其他控制器的链路122、124。例如,其他控制器可以与在该处理之前已被执行的处理相关联,并且/或者其他控制器可以与在该处理之后被执行的处理相关联。链路122和链路124可被用来前馈和/或反馈信息。
处理工具110和控制器120可被耦合到MES 130并且可以是E-Diagnostic System的一部分。处理工具110和/或控制器120可以与工厂系统交换信息。此外,MES 130可以发送命令和/或推翻信息(overrideinformation)到处理工具110和/或控制器120。例如,MES 130可以向处理工具110和/或控制器120前馈用于任何数量的处理模块、工具和测量设备的可下载配方和用于每个配方的可变参数。可变参数可包括工具级系统中的需要能被分批量(lot)调节的最终CD目标、限制、偏移量、变量,等等。此外,工厂印刷CD(litho CD)度量数据可被前馈到控制器120。
此外,MES 130可被用来向控制器120提供测量数据,例如CD SEM信息。或者,CD SEM信息可被手工提供。调节因子被用来调节IM和CD SEM测量之间的任何偏移量。CD SEM数据的手工和自动化输入包括时间戳(例如日期),以便被正确地插入R2R控制器中的FB控制循环的历史中。
可配置项可被配置为使用GEM SECS通信协议从工厂系统发送的一组可变参数。例如,可变参数可被传递作为“APC配方”的一部分。APC配方可以包含多个子配方,每个子配方都可包含可变参数。
控制器120耦合到处理工具110和MES 130,诸如反馈和前馈数据等信息可以在它们之间被交换。例如,当内部重置事件被从工具生成时,控制器120可以发送例如警报等消息到MES 130。这可以允许工厂系统和/或工厂职员进行必要的改变来最小化发生主要改变(例如在矫正性或预防性维护期间发生的改变)之后有危险的晶片数量。
图1还示出了单个控制器120,但这并不是本发明必需的。或者也可以使用额外的控制器。例如,控制器120可包括制程间(R2R)控制器、前馈(FF)控制器、处理模型控制器、反馈(FB)控制器、处理控制器(都未在图1中示出)中的至少一种。
图1还示出了单个处理工具110,但仅包括一个处理工具110的布置不是本发明必需的。或者可以使用额外的处理工具。在一个实施例中,处理工具110可包括可包括COR模块、PHT模块和缓冲(LL)模块的处理芯片(未示出)。可以使用独立配方、COR模块、PHT模块和/或缓冲(LL)模块来控制处理系统100。或者,处理工具110可以包括蚀刻模块、沉积模块、抛光模块、涂敷模块、显影模块、热处理模块,等等中的至少一种。
在一个实施例中,COR模块可以执行COR处理的一部分。该部分可以是处理气体(例如HF和氨气)与二氧化硅的混合物之间的反应,以形成晶片表面上的固体反应产物。PHT模块位于COR模块旁边,执行COR处理的第二部分。该处理部分被称为后热处理。这个步骤通过加热晶片使得固体反应产物蒸发。
例如,缓冲模块可以包括用于在COR模块、PHT模块、缓冲(LL)模块和其他模块(例如另一传输系统模块)之间传输晶片的传输系统。这些处理模块可以被包括作为被TL控制器控制的额外模块类型。
处理工具110可以使用COR配方来开始处理,当衬底被传输到COR模块时,COR配方可以开始。例如,衬底可以被安装在衬底座中的支撑脚接收,并可被降低至衬底座。然后,衬底可以使用例如静电夹持系统等夹持系统被固定到衬底座,并且传热气体可被提供到衬底的背面。
接下来,COR配方可被用来设置用于衬底的化学处理的一个或多个化学处理参数,这些参数可包括化学处理处理压力、化学处理壁温度、化学处理衬底座温度、化学处理衬底温度、化学处理气体分布系统温度、化学处理处理化学物质(包括处理气体和流)中的至少一种。然后,衬底可以在第一时间段中被化学处理。第一时间段的范围例如可以是从30到360秒。
接下来,衬底可以从化学处理室被传输到PHT模块。在此期间,衬底夹可以被移除,并且到衬底背部的传热气流可以被终止。使用安装在衬底座中的支撑脚组件可以将衬底从衬底座垂直升高到传输平面。传输系统可以从支撑脚接收衬底,并且可以将衬底放置在PHT模块中。在那里,衬底升降器组件可以从传输系统接收衬底并可将衬底降低至衬底座。
然后,PHT配方可被用来设置一个或多个热处理参数,因为PHT模块对衬底的热处理可以被设置并且衬底可以在第二时间段中被热处理。例如,所述一个或多个热处理参数可以包括热处理壁温度、热处理上方组件温度、热处理衬底温度、热处理衬底座温度、热处理衬底温度、热处理处理压力和热处理处理化学物质(包括处理气体和流)中的至少一种。第二时间段的范围例如可以是从30到360秒。
处理工具110可以包括用于耦合到至少一个其他处理工具和/或控制器的链路112、114。例如,其他处理工具和/或控制器可以与已在该处理之前被执行的处理相关联,并且/或者其他控制器可以与在该处理之后被执行的处理相关联。链路112和链路114可被用来前馈和/或反馈信息。例如,前馈信息可包括与传入晶片相关联的数据。该数据可包括批量数据、批次(batch)数据、制程数据、成份数据和晶片历史数据。所述数据可包括可被用来建立晶片的输入状态的处理前数据。处理前数据的第一部分可被提供给控制器120,处理前数据的第二部分可被提供给处理工具110。或者,这两个部分可包括相同的数据。
处理工具110可包括单个集成度量模块(IMM)设备(未示出)或多个测量设备。系统100可包括模块相关测量设备、工具相关测量设备和外部测量设备。例如,可从耦合到一个或多个处理模块的传感器或耦合到处理工具110的传感器获得数据。此外,可从诸如SEM工具和光学数字轮廓测量(ODP)工具等外部设备获得数据。ODP工具可从提供用于测量半导体器件中的特征的轮廓的专利技术的Timbre Technologies Inc.(TokyoElectron Limited公司)获得。
图3示出了根据本发明实施例的晶片横截面示意图。例如,测量数据可包括沟槽区域数据310、材料厚度数据315、侧壁角数据320、栅格厚度数据325、横截面区域数据330、CD区域335和差分宽度(differentialwidth)数据340。本领域的技术人员应当理解,也可以获得其他测量数据。因此,测量数据的列表仅是可被获得以供本发明使用的大量测量数据的示例。
返回图1,控制器120可使用传入材料的测量数据(输入状态)和目标数据(期望状态)之间的差来预测、选择,或计算一组处理参数以获得将晶片状态从输入状态改变为期望状态的期望结果。例如,这样预测的一组处理参数可以是基于输入状态(测量CD)和期望状态(目标CD)的对要使用的配方的第一估计。在一个实施例中,诸如输入状态和/或期望状态数据等数据可从主机获得。
在一种情形下,控制器120确定晶片的输入状态和期望状态,并且控制器120确定可在晶片上执行以将晶片从输入状态改变为期望状态的一组配方。例如,该组配方可以描述涉及一组处理模块的多步骤处理。
控制器120可使用基于公式的技术,用于确定何时在处理辖区(regime)之间切换的规则可以基于输入范围、输出范围、晶片类型、处理类型、模块类型、工具类型、晶片状态和处理状态中的至少一个。当控制器120使用基于公式的技术时,前馈控制变量可以是可配置的。例如,变量可以是公式或方程中的常数或系数。此外,存在多个公式,并且用于确定何时在公式之间切换的规则可以基于输入范围或输出范围。
用于控制器120的一个时间常数可以基于测量之间的时间。当测量数据在一个批量完成之后可用时,控制器的时间常数可以基于批量之间的时间。当测量数据在一块晶片完成之后可用时,控制器的时间常数可以基于晶片之间的时间。当测量数据在处理期间被实时提供时,控制器的时间常数可以基于晶片内的处理步骤。当测量数据在晶片正被处理或在晶片完成之后或批量完成之后可用时,控制器120可以具有可以基于处理步骤之间、晶片之间和/或批量之间的时间的多个时间常数。
一个或多个控制器120可以在任意时间点操作。例如,一个控制器120可以处于操作模式,而第二控制器120可以处于监视模式。此外,另一控制器120可以以仿真模式操作。控制器可以包括单个循环或多个循环,并且这些循环可以具有不同的时间常数。例如,循环可以依赖于晶片定时、批量定时、批次定时、室定时、工具定时和/或工厂定时。
控制器120可以操作为单输入单输出(SISO)设备、单输入多输出(SIMO)设备、多输入单输出(MISO)设备和/或多输入多输出(MIMO)设备,以及其他变型。此外,输入和输出可以在一个控制器120中和/或一个或多个控制器120之间。例如,当诸如CD和侧壁角等多个输入被使用时,输入和输出可以在两个模块(例如一个用于CD控制,一个用于侧壁角控制)之间被前馈和反馈。此外,也可以使用掩膜开口控制器。在包括多个模块的多处理情形下,信息可以从一个控制器被前馈或反馈到另一个控制器。
当处理工具和/或处理模块发送数据到数据库后,该数据可被控制器120访问。例如,该数据可包括工具跟踪数据、维护数据和端点检测(EPD)数据。该跟踪数据可提供关于处理的重要信息。跟踪数据可以在处理期间或对晶片的处理完成之后被更新和存储。
控制器120可以基于输入状态、处理特性和处理模型计算晶片的预测状态。例如,修剪速率模型可以与处理时间一起用于计算预测修剪量。或者,蚀刻速率模型可以与处理时间一起用于计算蚀刻深度,沉积速率模型可以与处理时间一起用于计算沉积厚度。此外,模型可包括SPC图表、PLS模型、PCA模型、FDC模型和MVA模型。
控制器120可接收和使用外部提供的用于处理模块中的处理参数限制的数据。例如,控制器GUI组件提供用于手工输入处理参数限制的装置。此外,工厂级控制器可以提供用于每个处理模块的处理参数的限制。
控制器120可以接收和执行可通过商业途径获得的建模软件创建的模型。例如,控制器120可接收和执行外部应用创建并发送到控制器120的模型(PLA、PCA等)。
此外,控制器120可以接收可用于建立晶片的输出状态的处理后数据。处理后数据的第一部分可以被提供给控制器120,第二部分可以与晶片传出事件114一起被提供给数据库。或者,两个部分可以包括相同的数据。处理后度量模块可以包括单个或多个测量设备。处理后度量模块可以包括处理模块相关测量设备、工具相关测量设备、外部测量设备,等等。例如,处理后数据可以从耦合到一个或多个处理模块的传感器和耦合到处理工具的传感器获得。此外,处理后数据可以从诸如SEM工具、OES工具和光学数字轮廓测量(ODP)工具等外部设备获得。
控制器120可以使用处理后数据来计算第一组处理偏差。这组被计算的处理偏差可以基于预测的晶片状态和从处理后数据确定的晶片的输出状态确定。在一种情形下,控制器120知道晶片的期望状态和输出状态,并且控制器120确定期望状态和输出状态之间的差别。以此方式,测量的实际处理结果被与期望的处理结果比较,以便确定对处理配方的矫正。在另一情形下,控制器120知道晶片的预测状态和输出状态,并且控制器120确定预测状态和输出状态之间的差别。以此方式,测量的实际处理结果被与预测的处理结果比较,以便确定对处理模型的矫正。
模型更新是可通过运行监视晶片、更改处理设置和观察结果,然后更新模型而进行的另一形式的反馈。例如,通过测量监视晶片的前后膜特性,可以每N个处理小时进行一次模型更新。通过随时间改变设置以检查不同操作区域,可以在一段时间上验证整个的操作空间,或者利用不同的配方设置一次运行几个监视晶片。模型更新可以发生在工具或工厂的控制器120中,允许工厂控制管理监视晶片和模型更新。
控制器120可以计算用于下一晶片的更新配方。在一种情形下,控制器120可使用前馈信息、建模信息和反馈信息来确定是否在运行当前晶片之前改变当前配方。在另一实施例中,控制器120可以使用前馈信息、建模信息和反馈信息来确定是否在运行下一晶片之前改变当前配方。或者,控制器120可以使用前馈信息、建模信息和反馈信息来确定是否在运行下一批量之前改变当前配方。
当度量数据源被用来提供处理结果数据时,可以规定使得衬底被传递到处理中的正确点处的IM模块的路线序列。例如,衬底可以在进入处理模块之前和/或衬底已经在处理模块中被处理之后被传递到IM模块。此外,IM配方可被规定为使得进行一组预定测量并提供一组预定输出数据。例如,一个结果可以是代表利用IM配方测量的每个测量点(site)的测量参数的值的数据的矢量。在数据被取平均和被控制器120使用之前,测量点过滤可以被用来移除偏离(outlying)的测量点。
控制器120可以包括一个或多个过滤器(未示出)以过滤度量数据,以便移除随机噪声的影响。例如,过滤器可以被应用到控制器120的输入或输出。在一种情形下,过滤器可以被应用到传入变量,以便在不考虑控制方法的情况下进行过滤(即独立于使用查找表)。这也使得控制器120在控制范围之上改变输出变量,例如以小步长改变流速,然后改变压力并逐级增大流速的改变。
另一偏离滤波器可以被用来移除在统计上无效并且不应在计算晶片测量平均值时被考虑的偏离值。偏离过滤器可以被用来去除比平均值高和比平均值低的偏离值。例如,盒式(box)和须式(whisker)方法可被应用于测量点度量数据。所述方法很有效、易于在没有绝对限制的情况下维护、允许一组过滤限制被应用到不同组的传入CD平均数据(目标可以改变而不影响过滤器限制)并且易于形成可视图像。使用偏离过滤器时需要维护额外的规则(晶片中的在统计上代表晶片的最小数量的点和代表一个批量的最小数量的晶片)。
噪声过滤器可以被用来移除随机噪声和稳定控制循环。例如,可以应用指数加权移动平均(EWMA)或Kalman过滤器。在使用过滤器时,必须设置过滤器时间常数。在EWMA的情形下,λ是时间常数。在一个示例中,可以根据处理日期和时间,每次都使用完整的历史来进行EWMA计算,以便捕捉被乱序添加的数据点。
控制器120可以接收和利用前馈数据。例如,控制器120可以接收关于要被处理的传入材料和期望的处理结果(目标CD)的信息,并且控制器120可以提供一组配方参数以获得期望的处理结果。控制器120可以接收和利用反馈数据。例如,控制器120可以接收关于已被处理的材料的信息,并基于该数据调节处理模型。控制器120可以接收和利用被延迟的反馈数据。例如,控制器120可以接收关于已被处理的材料的信息并基于该数据调节处理模型,即使该数据不是以它被工具处理的顺序被接收的也如此。控制器120可以接收和利用手工输入的数据以便配置和控制控制器。例如,控制器GUI组件提供用于控制器配置信息的手工输入的装置。
控制器120可以发送和接收例外情况的通知。例如,控制器120可以发送和接收去往和来自工厂级控制器、R2R控制器和/或工具级控制器和其他设备的通知。此外,通知可以在识别出例外情况后经由e-Diagnostics网络、e-mail或寻呼器发送。
控制器120可以运行在仿真模式中。例如,控制器120可以与实际处理模式并行地在仿真模式中操作。在此情形下,仿真动作可被记录在控制器日志和历史数据库中,并且不采取即刻动作。
控制器120可以基于传入材料场境选择处理模型。例如,控制器120可以基于传入材料状态和处理配方选择处理模型。控制器可以包括用于验证系统100可以计算有效的R2R设置的装置。例如,控制器120可以包括用于在批量开始之前验证配方参数设置的硬件和/或软件。控制器120可以包括用于使用配方设置点的默认设置的硬件和/或软件。例如,当控制器120不能提供用于特定晶片的配方参数时,“名义”配方中的配方参数可以被使用。
控制器120可以包括用于将输入和输出数据归档的数据库组件。例如,控制器可以将接收到的输入、被发送的输出和控制器采取的动作归档到可搜索数据库中。此外,控制器120可以包括用于数据备份和恢复的硬件和/或软件。此外,可搜索数据库可包括模型信息、配置信息和历史信息,并且控制器120可以使用数据库组件来备份和恢复模型信息以及历史和当前模型配置信息。
控制器120可以包括基于web的用户接口。例如,控制器120可以包括web使能的GUI组件用于查看数据库中的数据。控制器可以包括能够提供依赖于安全管理员授权的许可多级访问的安全组件。控制器120还可以包括在安装时提供的一组默认模型,并且具有复位到默认情况的能力。
控制器120可以依赖于例外的性质而采取各种动作。例如,例外情况可以包括丢失测量数据、丢失目标CD、度量误差、超出配方参数限制、超出处理模型参数限制,以及反馈事件的接收乱序。基于例外采取的动作可以以针对系统配方、处理配方、模型类型、模型标识号、装载端口号、盒号(cassette number)、批量号、控制工作ID、处理工作ID或槽号(slot number)规定的场境而建立的作业规则为前提。场境可以被布置在从最高级别到最低级别的等级中。匹配较高级别的场境可以推翻匹配较低级别的场境。如果没有发现匹配场境,则可以采取默认动作。
控制器120的输入可以包括例如指令、衬底状态、模块物理状态、处理状态和控制器参数。此外,控制器的输入可以包括用于前馈/反馈循环的时间常数、用于累积的复位事件、IMM步骤和ODP偏移量,等等。指令可以包括目标、容差、计算命令、数据收集计划、算法、模型、系数、配方,等等。衬底状态可以包括例如来自被处理的衬底的信息(测量点、晶片、批量、批次状态)、轮廓,以及在物理或电学上测量的特性。模块物理状态可以包括当前或最近已知的所记录的将被用于处理衬底的模块和组件的状态——RF小时、晶片数量、可供消耗的状态。处理状态可以包括当前或最近已知的来自处理环境的测量状态,包括跟踪数据和归纳统计量。控制器参数可以包括创建衬底状态、模块物理状态和处理状态的配方/控制器设置点和处理目标的最近设置。
控制器的输出可以包括导出的参数、设置、事件或消息、干预、导出的场境、日志消息和历史,等等。例如,一个参数可以是要被发送到离线系统以供分析的数据。导出的参数可以包括控制器120生成的可以代表控制器120、处理、材料或设备的状态的信息。设置可以包括由控制器120计算的并一般在运行时被下载到工具110的处理工具参数。例如,这些参数可以包括步进时间(time by step)、压力、温度、气流和功率。事件或消息可以包括指示被控制的系统中已经发生了例外的信息。干预可以包括关于控制器基于分析结果推荐(或采取)的动作的信息。导出的场境可以包括由控制器导出的场境信息。日志消息可以是描述控制器的活动的文本消息。历史项可以包括要被发送到离线系统以进行判决支持系统(DSS)型分析的数据。
控制器120可以包括至少一个计算机和支持至少一个控制器应用的软件。控制器可以包括至少一个存储数据的存储设备。例如,至少一个计算机可以包括来自Tokyo Electron的可操作软件,例如Ingenio软件。在一种情形下,可操作软件包括配置装置、数据管理装置、GUI装置、默认管理装置和故障定位装置中的至少一个。此外,配置GUI屏幕可被用来配置计算机和处理元件之间的接口,以便确定处理元件(即工具、模块、传感器等)的设备类型。数据管理GUI屏幕可被用来确定要收集的数据数量和类型,以及确定如何和在哪里存储所收集的数据。此外,故障管理GUI屏幕可被用来通知用户故障情况。
一般地,前馈控制是使用在晶片到达处理模块中之前在晶片上测量的处理前数据来更新处理模块配方。在一种情形下,度量数据和处理目标数据被控制器120接收。这些值可以被比较,并且结果是期望处理结果(例如是期望修剪量)。然后,该期望处理结果可被发送到控制器以供模型选择和计算合适的处理配方参数。该新配方被发送到处理模块,并且晶片被使用新配方处理(修剪)。
在系统100中,通过配置控制策略、控制计划和控制模型,可以在控制器120中实现前馈控制。在实现前馈控制时,可以针对每个系统配方编写控制策略。当该系统配方在处理工具110中执行时,控制策略中的控制计划可以被执行。每个控制计划可被用来基于前馈信息修改配方。利用控制计划,用户可以规定输入参数(称为数据源)。
使用这些输入,用户可以规定用于目标计算的计算。然后,该计算的结果被用来选择执行哪个控制模型。控制模型可以是静态配方(用于整个目标计算范围的固定配方)或公式模型(允许处理配方参数的值基于精确计算而变化的模型)。从静态配方或公式模型的结果可以进行对处理配方的修改。系统以名义配方(工具上已有的配方)开始。然后,来自每个被执行的控制计划的更新被添加。一旦所有控制计划都被执行(在匹配控制策略中),最终配方就被发送到工具。
控制器120可被视为根据合适的处理模型、处理模型约束、处理目标和处理参数约束来产生配方参数的配方参数求解器。控制器120能够管理同时执行并受限于同一组处理配方约束的多个处理模型。
控制器120和/或处理工具110可以检测控制故障。除了其他参数以外,控制器120还可以检测度量数据故障和配方选择故障。处理工具110可以检测配方接收超时、集成通信故障、同步故障,等等。当处理工具110上发生系统配方同步故障时,处理不会开始。如果发生控制故障,则控制器120可以被配置为使用工具处理配方(名义配方)、使用空配方,或停止制程间控制(根据工具参数设置)。为了暂停工具110,控制器120可被配置为暂停处理模块或暂停整个系统100。
如果处理工具110和控制器120之间有冲突,则可以显示告警警报,并且处理可以使用系统配方中规定的处理配方来继续。这允许操作者或高级控制器决定是利用处理配方继续处理还是放弃该晶片。
图4示出了根据本发明实施例的用于操作处理系统的方法的示例性流程图。过程400开始于任务410。
在任务420,可以接收处理前数据。处理前数据可包括衬底的期望处理结果和当前测量数据。当前测量数据可包括衬底的实际测量数据。此外,处理前数据可包括配合度(GOF)数据、栅格厚度数据、关键尺寸(CD)数据、均匀性数据、CD轮廓数据、CD轮廓均匀性数据、材料厚度数据、材料横截面区域数据、沟槽横截面区域数据、侧壁角数据、差分宽度数据、测量点结果数据、测量点编号数据、CD测量标志数据、测量点数量数据、配方结果数据、坐标X数据、坐标Y数据,等等。
处理前数据可被用于前馈控制,某些数据可被归纳作为根据某些作业规则的控制晶片的统计值。
处理前数据可被过滤。例如,偏离拒收滤波器可被用来移除晶片上的异常的和统计上无效的数据点。换言之,来自不可靠的测量点的数据可被丢掉并且不被用于晶片平均值计算。
在一种情形下,在处理前数据上可以使用平均值/标准差(sigma)比较方法。例如,(a)可以规定标准差乘数X;(b)所有数据点都可被归纳为平均值和标准差;(c)可以计算两个界限(平均值+X乘标准差和平均值-X乘标准差);(d)界限以外的所有数据点都可被移除;(e)平均值可以被重新计算并且可以代表最终IM测量值。或者,可以使用盒式和须式绘图方法标识异常值。
此外,衬底的期望处理结果可被用来建立衬底的期望状态。可以提供一个或多个期望输出参数以便确定衬底的期望状态。例如,衬底的期望处理结果可以包括目标关键尺寸(CD)、目标CD轮廓、CD均匀性值、CD轮廓均匀性值等中的至少一个。
例如,要被控制的处理参数和目标处理参数之间的控制位置标识必须一致。因为要被控制的处理参数由要被控制的处理室定义,所以目标处理参数也可由要被控制的处理室定义。因此,每个目标处理参数都可与各自的控制室相关联,并且每个控制室所关联的目标处理参数值可以在批量开始之前被规定。
此外,期望处理结果的源可被预先标识。例如,可以有至少两种已知类型的期望处理结果:外部生成的和内部生成的。外部生成的期望处理结果可以由MES通过控制器120提供。内部生成的期望处理结果可以使用计算出的值和/或来自GUI的输入提供。此外,可以提供可用于确定何时使用外部生成的期望处理结果和内部生成的期望处理结果的作业规则。
处理前数据可包括处理结果数据。被验证的处理结果数据可被用于定义处理空间和开发处理空间中的新处理配方。实验设计(DOE)方法可被用来改变一个或多个处理参数以获得处理结果数据,并且处理结果数据可用来开发处理参数和处理结果之间的关系。一维和多维图/表可被开发来图示处理参数和处理结果之间的关系。例如,控制器120可包括用于使用单维和多维图表来显示处理结果的绘图组件。可以执行被控制的处理并且可以收集被验证的处理结果数据。例如,处理结果数据可以包括从SEM、ODP工具或其他光学测量系统获得的CD数据。
处理空间可以被细分为处理辖区,在处理辖区中,一个或多个处理参数基本恒定。在一个实施例中,基本恒定的处理参数可被包括在静态配方中。或者,基本恒定的处理参数可被包括在公式模型中。公式模型可以是一维或多维的。
在任务430中,可以确定所需处理结果。所需处理结果可包括期望处理结果和实际测量数据之间的差。例如目标数据这样的期望处理结果数据可以与测量数据相比较。例如,期望处理结果可包括期望沟槽区域、期望材料厚度、期望侧壁角、期望栅格厚度、期望横截面区域、期望CD宽度、期望CD深度、期望特征轮廓、期望修剪量、期望差分深度、期望均匀性和期望差分宽度中的至少一个。
图5A和5B示出了根据本发明实施例的示例性处理空间图。在图5A所示的实施例中,图示了作为处理结果的函数的处理参数。在图5B所示的实施例中,图示了作为处理参数的函数的处理结果。图中示出了单条曲线,但是这并不是本发明必需的。也可是使用多条曲线,并且处理空间可被划分为区块。所示处理空间是二维空间。但是,本领域的技术人员将理解,处理空间可以是三维的。在又一备选实施例中,可以使用N维空间。
测量和/或预测数据可被用来开发处理空间中的处理参数和处理结果之间的关系。例如可以使用实验设计(DOE)数据。诸如图5A和5B所示的公式可被用于创建公式模型。
对于诸如蚀刻或修剪处理等材料移除处理,当测量数据小于期望处理结果数据时,可以宣告差错。当期望处理结果数据约等于测量数据时,可以宣告“空”情况。当期望处理结果数据大于测量数据时,可以建立所需处理结果。所需处理结果可包括在材料移除处理期间需要被移除的修剪或蚀刻量。
对于例如沉积处理等材料添加处理来说,当测量数据大于期望处理结果数据时,可以宣告差错。当期望处理结果数据约等于测量数据时,可以宣告“空”情况。当期望处理结果数据小于测量数据时,可以建立所需处理结果。所需处理结果可包括在材料添加处理期间需要被添加的沉积量。
图6示出了根据本发明实施例的示例性修剪处理。在所示实施例中,处理系统可被用于执行修剪处理,例如CD控制处理。例如,一种处理可包括确定修剪量,所述修剪量可以是测量CD和目标CD之间的差。此外,可以在目标CD附近建立容差。在修剪(与垂直蚀刻相对)的情形下,同时在结构的两个表面上发生修剪。因此,修剪量是毯状晶片(blanket wafer)的量的两倍。
如图6所示,本发明可被用于控制规定的控制CD的修剪量以到达容差内的目标CD。例如,控制器(TL和R2R)可使用基于修剪蚀刻量的算法(控制配方选择方法)。首先,包含关于要被修剪的量的信息的控制配方必须被评估和预限制。
图7示出了根据本发明实施例的配置屏幕的示意图。在所示实施例中,示出了包括导航树的配置屏幕,所述导航树包括至少一个工具级别、至少一个配方范围级别、至少一个系统配方级别、至少一个处理模块级别、至少一个策略级别,和至少一个计划级别。工具级别被示为顶级,但是这并不是本发明必需的。或者可以示出系统和/或子系统。
例如,当配方范围文件夹被打开时,模块和该模块的可用配方范围可被选择。此外,当系统配方文件夹被打开时,相关联的控制策略和相关联的控制计划可被创建或删除。
在图1所示的系统中,通过配置控制策略、控制计划和控制模块,可以实现前馈和/或反馈控制。在实现前馈和/或反馈控制时,可以针对每个系统配方编写控制策略。在某个策略被保护时,它的所有子对象(计划和模块)都不能被编辑。当系统配方执行时,控制策略中的一个或多个控制计划可被执行。每个控制计划都可被用来基于前馈和/或反馈信息修改配方。
在控制计划中,可以规定输入参数和反馈变量。此外,这些输入可被用来规定用于目标计算的计算。该计算的结果可被用于选择执行哪个控制模块。控制模块可包括静态配方(用于整个目标计算范围的固定配方)和公式模型(允许处理配方参数基于精确计算而变化的模型)中的至少一个。对处理配方的修改可以使用一个或多个静态配方的结果和/或一个或多个公式模型的结果来进行。系统可以以名义配方(工具上已有的配方)开始,然后来自每个被执行的控制计划的更新可被添加。一旦所有的控制计划都被执行(在匹配控制策略中),最终配方就被发送到工具。最终配方可包括名义配方分量、静态配方分量和公式模型分量。或者可以使用不同的一组分量。例如可以使用基线配方(baseline recipe)和/或空配方。
图8示出了根据本发明实施例的编辑器屏幕的示意图。在所示实施例中,R2R配方范围编辑器屏幕被示为包括处理参数表和每个参数的一组可允许范围。在安装时,在每个模块上可创建默认配方范围,所述范围对于可被系统控制器120操纵的每个参数而言跨越整个操作范围。然后可以以比默认范围更严格的限制为每个模块创建新的配方范围。此外,在配方范围中,用户可以禁止某些参数被控制器120操纵。当公式模型和静态配方被创建时,它们被链接到一组可用参数的配方范围,以便控制和限制那些参数。如果静态配方和/或公式模型试图设置相关联的配方范围之外的值,则警报可被生成。
图9示出了根据本发明实施例的控制策略编辑器屏幕的示意图。控制策略包含要被匹配的系统配方和其他场境匹配标准。场境信息被用来确定哪块晶片使用控制策略。控制策略还包含几个包含选择要被执行的(一个或多个)控制模块所必需的信息的独立的控制计划。控制策略编辑器屏幕使得用户能够利用控制室标志下载系统配方或利用传输路线手工输入系统配方名称。此外,用户可以可选地创建某些场境匹配标准。
控制策略可包括标准控制策略和仿真控制策略。标准控制策略可被配置为控制处理工具110。仿真控制策略可以与(一个或多个)仿真控制计划相关联。基于所选择的模型,控制计划将调整配方变量。配方变量可以被控制器记录,但是不会被发送到处理工具。多个仿真控制策略可被同时执行,但是对于给定的晶片,只有一个标准类型的控制计划将被执行。标准控制策略可以从标准文件夹被移动到仿真文件夹。只有当相应的系统配方已从工具110被下载时,仿真控制策略才可以被移动到标准文件夹。
使用例如图9所示的控制策略编辑器屏幕,用户可以执行控制策略配置、查看已有控制策略、创建新控制策略、拷贝已有控制策略、编辑已有控制策略和/或删除已有控制策略等功能。如果一个对象被选择并且新的孩子类型被创建,则孩子可以与家长相关联。例如,如果控制策略被选择并且新控制计划被创建,则控制计划可以与控制策略相关联。
控制策略编辑器屏幕可以包括若干个域。策略名称域可被用来输入/编辑策略名称。模式域可被用来输入/编辑策略的模式:标准——与工具110通信以执行制程间软件功能;和仿真——不与工具110通信但模仿标准功能。在本发明的上下文中,“使能”特征被自动选择。在把光标放在被选中的框上之后,可以通过点击鼠标来移除选中标记,以便禁用控制策略。
描述域可被用来输入/编辑对新策略的简要描述。装载端口域可被用来输入/编辑装载端口。在选择装载端口之后,系统配方选项变为可用。选定的装载端口应当具有至少一个被配置的系统配方。系统配方域可被用来输入/编辑系统配方,一旦系统配方被选择,传输路线域就可以被自动填充。更新装载端口按钮可被用来输入/编辑可用的装载端口。系统配方更新按钮可被用来从处理工具(Telius)输入/编辑/下载对选定的装载端口可用的系统配方和更新下拉选项。
度量数据故障域可被用来输入/编辑来自以下选项的度量数据故障动作:(a)使用工具处理配方(名义配方)——软件发送指示到处理工具并且处理工具使用工具处理配方;(b)不使用处理配方(空配方)——软件发送与晶片相关联的空配方信息到处理工具并且晶片进出室而不被处理:(c)PM暂停——暂停处理模块,和(d)系统暂停——暂停包括传输系统在内的系统。其他选项对于本领域的技术人员来说也是很清楚的。
控制故障域可被用来输入/编辑来自以下选项和其他选项的控制故障选项:(a)使用工具处理配方(名义配方)——软件发送指示到处理工具并且处理工具使用工具处理配方;(b)不使用处理配方(空配方)——软件发送与晶片相关联的空配方信息到处理工具并且晶片进出室而不被处理;(c)PM暂停——暂停处理模块,和(d)系统暂停——暂停包括传输系统在内的系统。
此外,其他域也可被操纵。例如,(一个或多个)LotID域可被用来输入/编辑批量标识符;(一个或多个)CJID域可被用来输入/编辑控制工作标识符。(一个或多个)PJID域可被用来输入/编辑处理工作标识符。(一个或多个)Cassette ID(盒ID)域可被用来输入/编辑盒标识符。(一个或多个)Carrier ID(载体ID)域可被用来输入/编辑载体标识符。(一个或多个)Slot ID(槽ID)域可被用来输入/编辑槽号。(一个或多个)Wafer Type(晶片类型)域可被用来输入/编辑晶片类型。(一个或多个)Scribed Wafer(登记晶片)ID域可被用来输入/编辑登记的晶片标识符。(一个或多个)Substrate ID(衬底ID)域可被用来输入/编辑衬底标识符。(一个或多个)Wafer ID(晶片ID)域可被用来输入/编辑晶片标识符。Start Time earlier than(开始时间早于)域可被用来输入/编辑开始时间。此外,Start Time later than(开始时间晚于)域可被用来输入/编辑结束时间。
如图9所示,控制策略包括用于静态配方的控制计划和用于公式模型的控制计划。此外,控制(前馈)计划选项卡和反馈计划选项卡可被用来创建新控制计划,将控制计划与控制策略相关联,以及编辑控制计划。
图10示出了根据本发明实施例的控制计划编辑器屏幕的示意图。在图10中,示出了用于管理COR处理的一组公式模型的控制计划的控制计划编辑器屏幕。或者也可以使用其他处理。
为了创建控制计划,用户可以选择计划名称项和选择新的控制计划或已有计划或模型。例如,在控制策略编辑器屏幕上,可以显示下拉菜单并且可以挑选Add计划选择。
控制计划编辑器屏幕可包括若干个域。Plan Name(计划名称)域可被用来输入/编辑控制计划的名称。Module(模块)域可被用来输入/编辑模块名称。例如,如果计划与策略相关联,则Module域可以被自动填充。如果计划没有关联,则Module域可被用来选择处理模块。Recipe(配方)域可被用来输入/编辑配方。例如,如果计划与策略相关联,则Recipe域可以被自动填充。如果计划没有关联,则该域可被用来选择配方。
Description(描述)域可被用来输入/编辑对计划的描述。Updated(更新)域显示计划被改变的最近时间。
Data Source(数据源)表可被用来输入/编辑数据源。例如,可以打开R2R Plan Data Source(R2R计划数据源)屏幕。使用Data Source表,下拉菜单可被用来打开R2R Data Source屏幕(图11A和11B)。
图11A和11B示出了根据本发明实施例的数据源屏幕的示意图。除了其他功能以外,数据源屏幕还可被用来:(a)选择用于新数据源的符号;(b)选择源类型;和(c)选择数据源描述。例如,选定的源类型确定在数据源屏幕上显示的选项。“Telius ODP”类型可被用来定义作为处理工具的一部分的集成度量模块数据源。“Desired Output(期望输出)”类型允许用户输入用于控制器的固定单位。“Feed-back Offset(反馈偏移量)”类型允许用户定义不变的反馈变量。“Control Plan Value(控制计划值)”允许用户创建引用不同控制计划的结果的变量(创建嵌套计划)。接下来,虽然未在图11A中示出,但是“Integrated Metrology SiteFiltering(集成度量测量点过滤)”类型在各个数据源被选择时创建具有对每个选项的描述的表。如图所示,也可以提供其他数据源。
如图11B所示,可以从Symbol(符号)下拉列表选择符号,并且可从Data Source Type(数据源类型)下拉菜单选择源类型。例如,数据源信息域可以依赖于拣选的数据源而变化。本领域的技术人员应当理解,“Apply(应用)”和/或“OK(确定)”选择项可被用来关闭屏幕。
在图10所示的R2R Control Plan Editor(R2R控制计划编辑器)屏幕上,可以输入目标计算。例如,可以输入将CD-SEM数据与ODP数据相关的公式。此外,该公式可以包括额外的补偿项。例如,额外的补偿因子可被用来矫正在另一步骤(例如栅层叠(gate stack)蚀刻步骤)中引入的误差。
例如,仍如图10所示,新目标值可以是在运行时或运行之前计算的变量,而且可以使用方程来计算目标值。此外,可以使用新的下限和上限值,这些值可被输入lower limit(下限)域和upper limit(上限)域。例如,新下限和上限值可以是在运行时或运行之前计算的常数或变量,并且可以使用方程来计算新的下限和上限值。
图10所示的Model Selections(模型选择)域可被用来创建新模型或选择已有的静态或公式模型。例如,在模型类型选择项中,表中的选择项可被用来输入和/或编辑模型类型。例如,可从表项激活下拉列表,并且从下拉列表进行选择。下拉列表中的一个选项允许创建新模型,其他选项可被用来显示和选择已有模型以供使用或修改。每个模型类型可以具有模型名称、目标值、下限、上限、与它相关联的配方输出,以及其他选项。在创建新模型时,新模型类型可被使用和输入模型类型域,新模型名称可被使用和输入模型名称域。
Predicted Result Calculation(预测结果计算)域可被用来输入新预测结果值或选择已有预测结果值。预测结果值可以是用于期望结果的方程。对于图10所示的R2R Control Plan Editor,将控制计划设计为可以在输入了名称、目标计算和模型选择信息时被保存。
此外,Associate Control Plan(关联控制计划)屏幕(未示出)也可以被包括为本发明的一部分。除了其他功能之外,Associate Control Plan屏幕还可被用来:(a)选择与选定的控制计划相关联的目标模块;(b)显示选定的控制计划;(c)打开R2R Control Plan Editor屏幕;(d)打开选定的控制计划;(e)创建与选定的控制计划具有相同配置的新控制计划;(f)将选定的控制计划与目标模块相关联;和(g)关闭AssociateControl Plan屏幕。图10所示的R2R Control Plan Editor的#域包括模型列表中的模型号。模型类型允许选择静态或公式模型。模型名称域列出了可用模型的名称。例如,为了创建新模型,“新静态配方”选项或“新公式配方”选项可以从下拉列表中选择。可以创建包括一个或多个静态配方的静态配方控制计划。
此外,目标计算可以是将例如基底CD(bottom CD)测量结果这样的期望输出与例如来自SEM(扫描电子显微镜)的CD测量结果这样的测得数据相联系的方程。示例性的关系如图12所示。3个输入数据源以不同符号(d1、o1和o2)示出。很自然,本发明并不仅限于这3个输入数据源。可以使用不同数量的输入数据源,并且每个输入数据源可以具有不同的符号值。例如,一个数据源可以是ODP工具,它可以是处理工具(例如Telius)的一部分。此外,另一数据源可以是SEM,参数/值可以是例如CD-SEM数据这样的实际测得数据。
如图10所示,可以创建包括一个或多个公式模型的控制计划。例如,示出了10个公式模型。这10个公式模型被示为具有相同的目标值(t1),但这并不是必需的。可以使用不同数量的公式模型,并且每个公式模型可以具有不同的目标值。如图10所示,这10个公式模型可以具有由下限值和上限值定义的不同的操作范围。
返回过程400(图4),在任务440可以确定名义配方。例如名义配方这样的处理配方可以从处理工具110、控制器120或配方库获得。名义配方可以是在用于受控处理模块的系统配置中包括的处理配方。
在一个实施例中,系统开始于名义配方(工具110上已有的配方),然后来自每个被执行的控制计划的更新被添加。或者,不需要名义配方。一旦所有控制计划都被执行(在匹配控制策略中),则最终配方被发送到工具110。名义配方可以是用于受控处理模块的系统配方中包括的处理配方。名义配方可以是基线或默认配方。控制器120执行的控制动作可以覆写选定的处理参数以便指定从名义配方的偏离。可以从MES获得名义配方。
此外,也可以使用空配方。空配方可以是被处理工具和/或处理系统用来允许衬底通过处理室而不被处理的控制配方。例如,当处理工具暂停时或当衬底不需要处理时,可以使用空配方。
在配置期间,例如名义配方这样的系统配方可以在R2R控制可被配置之前被下载到控制器120。例如,系统配方可从处理工具或MES下载。此外,可以选择度量数据源。对受控处理模块的选择可以基于选定的处理工具系统配方。
在批量开始之前,处理工具110可以发送对系统配方验证的请求到控制器。该事件触发R2R控制策略及其相关联的控制计划的验证。接下来,控制器120将在配置期间下载的系统配方信息发送回处理工具110,以便验证其与R2R控制开始之前是否一致。当匹配控制策略中的控制计划所引用的所有系统配方都已被验证时,控制器120发送消息到处理工具110,指示系统配方验证成功。
如果系统配方被验证,则批量可以开始于R2R控制。如果它没被验证,则批量不能开始于R2R控制。
在批量开始之后的运行期间,度量数据可以从处理工具110被发送到控制器120。例如,可以在使用集成度量模块测量每个晶片之后发送数据。
基于在控制器120上配置的控制计划,控制器120根据度量数据、目标CD和控制模型计算最优控制变量。控制器120检查计算出的参数是否在处理配方约束内。如果在,则控制器120发送计算出的配方参数到处理工具110。计算出的配方参数覆写在处理工具110的名义处理配方中发现的默认值。
最后,当每个晶片到达受控处理模块时,处理工具110使用修改处理后配方中的参数处理每个晶片。
在任务450,可以创建新处理配方,其中新处理配方是要被用来获得所需处理结果的配方。新处理配方可包括一个或多个处理,每个处理包括一个或多个处理步骤。新处理配方可以在单个室或多个室中被执行。可以使用名义配方、静态配方和公式模型中的至少一个来配置新处理配方。
静态配方可以是用于获得特定的期望处理结果的一组配方调节,并且该期望处理结果不被用于计算配方参数。一组静态配方可被用来建立基于表的控制器,或者静态配方可与公式模型一起使用来处理使用相同配方时期望输出的范围。当使用具有静态配方的反馈时,在用于所使用的每个静态配方的控制计划中可以规定单个预测处理结果。GUI屏幕可被用来创建和/或编辑静态配方。
公式模型可以包括建模前调节、模型方程、一系列建模后调节、配方参数指定映射,以及其他参数。建模前调节可以允许将期望处理结果(通常是t1)重新表达为在模型方程中使用的正确的单位(得到值y),并且模型方程可以是计算作为一个可操纵的变量(x)的函数的预测处理结果的表达式。当模型被执行时,给定重新表达的期望处理结果(y),它将解得x的值:。一旦x被确定,就可以计算建模后调节,并且它们的值将被指定给在配方参数映射中规定的合适的配方参数。
此外,可以提供一个或多个处理模型。处理模型可被用来定义处理空间。处理模型代表期望结果(输出)与得到那些结果所需的接收到的变量之间的被验证的关系。处理模型可包括可以包括基于公式的模型的方程。基于公式的模型可包括包含期望结果与配方变量之间基于某些评估得到的实验数据的分段关联的方程。处理模型可以是线性或非线性的。处理模型可被用于验证新处理配方和更新已有处理配方。
公式模型可被用来控制动态处理,例如修剪处理。或者,公式模型可被用来控制不同的处理。与处理参数和处理结果有关的一组示例性方程如图5A和5B所示。
图13示出了根据本发明实施例的R2R Formula Model Editor(R2R公式模型编辑器)屏幕。在所示实施例中,示出了一个公式模型“Trim6_1to6_7”。或者可以示出不同模型和/或配方。
R2R Formula Model Editor屏幕可包括用于输入和/或编辑公式配方的名称的Name(名称)域、用于选择配方范围或创建新配方范围的RecipeRange(配方范围)域、用于打开R2R Recipe Range Editor屏幕(图8)的Edit Range(编辑范围)选择项,以及用于输入和/或编辑对新公式配方的描述的Description(描述)域。也可以提供其他域。
在图13中,Name域包括“Trim6_1to6_7”,它是该示例性公式模型的名称。Recipe Range域包括“COR”,它可以与例如COR处理这样的特定处理有关,Description域可包括“COR Trim(14mT)”,它可以是描述FMA公式配方的充分描述。建模前调节域包括意味着不需要额外的建模前调节的变量(t1)。“Valid y after adjustment(调节后的有效y)”域可包括“6.1”和“6.7”,它们可被用来将操作范围限定为6.1-6.7。例如,建模前调节变量(y)值可代表以纳米测量的修剪量。当建模前调节变量(y)值落在该范围之外时,可以发生警报,因为该模型失败。
Model Equation(模型方程)域可被用来输入和/或编辑关于x的模型方程。例如,y可以是单个变量x的函数。或者,y可以是几个变量的函数。“Valid equation solution x(有效方程解x)”域可被用来输入x的有效范围。当x值落在该范围之外时,将会发生警报,因为该模型失败。
在图13中,Model Equation域包括三次多项式,但是这并不是本发明必需的。或者,其他多项式也可被用于该模型方程。例如,模型方程的因变量(y)值可代表修剪量,模型方程的自变量(x)值可代表处理气体的流速。“Valid equation solution x”域可被用来输入处理气体的流速的合法范围。当x值落在该范围之外时,将会发生警报,因为该模型失败。
作为示例性处理,可以定义若干个处理控制对象,例如名义配方、静态配方A、静态配方B、公式模型A、公式模型B1和公式模型B2。或者,处理控制对象的数量和类型可以与这里列出的不同。
可以创建一个或多个方程来对例如图5A和5B所示的处理空间这样的处理空间建模。在一个实施例中,可以使用如Formula Model Editor屏幕(图13)所示那样的模型方程(y=f(x))。在一种情形下,y可以等于期望处理结果,例如“修剪量”(TA),x可以等于已经与y相关的处理参数(控制变量)。例如,从用于将修剪量范围(6.1≤y≤6.7)与处理参数(例如气体流速x)相关的处理配方(配方A)的一组测得数据;确定处理配方(配方A)方程y=f(x);并且确定逆处理配方(逆配方A)方程x=f(y)。
在处理空间中,通过创建多项式和发现在处理空间的第一部分中将处理气体流速与修剪量相关的多项式的系数,可以确定一个或多个模型方程,其中修剪量(TA)在6.1nm和6.7nm之间变化,流速(Ar)在约60.0sccm和约71.0sccm之间变化。例如,可以使用N次多项式
TA = Σ 0 N A n ( AR ) n
其中AR是动态变量,修剪量(TA)是所需处理结果,N>=1;An可以包括具有正值、负值和0值中的至少一个的常数。在一个实施例中,可以求解N次多项式来确定AR的值。
或者,可以通过创建不同的多项式和发现可以在逆处理空间的第一部分中将处理气体流速与修剪量相关的不同多项式的系数来确定逆方程,其中流速(AR)在约60.0sccm和约71.0sccm之间变化,修剪量(TA)在6.1nm和6.7nm之间变化。
例如,可以使用N次多项式
AR = Σ 0 N C m ( TA ) m
其中AR是动态变量,修剪量(TA)是所需处理结果,N>=1;Cm可以包括具有正值、负值和0值中的至少一个的常数。
“Post Model Adjustment(x)”表可被用来创建该模型操纵的项的列表。所述项可以由控制器定义,并且可被分配给图13所示的处理表/图表中的至少一个与步骤相关的单元。或者,可以创建配方参数映射,其中每个项被指定一个参数值。
如图13所示,“Post Model Adjustment(x)”表/图表可包括模型可操纵的若干个变量(gn,hn,和in)。变量可由可以包括常数和其他变量的方程定义。其他变量可包括被发送到执行模型的R2R控制器的值。其他变量可以由诸如工具级控制器、R2R控制器、系统级控制器、客户端控制器或工厂级控制器等另一控制器发送。
下拉列表可被用于编辑R2R Formula Model Editor屏幕上的各个域。例如,下拉列表可被用于输入和/或改变表中的变量。图14A示出了根据本发明实施例的Term Editor(项编辑器)屏幕的示意图,图14B示出了根据本发明实施例的New Term Name(新项名称)的示意图。
在图14A所示的示例中,g7可以等于43,其中x是因变量,43是可用来补偿另一处理中的反应气体流速或者可用于输入作为静态配方的一部分的常数值的矫正常数。变量g6可以等于(x-43),其中x是模型方程(y=f(x))中的因变量,43是可被用于补偿另一处理步骤中的反应气体流速或可被用于输入作为静态配方的一部分的常数值的矫正常数。变量g1可以等于(80*x/100),其中100是模型方程(y=f(x))中的因变量。变量g2可以等于(g1+g7),其中g1和g7是表中的其他变量。
图15示出了根据本发明实施例的Model Equation屏幕的示意图。针对处理空间的特定部分示出了模型方程的图。在所示实施例中,示出了基本线性的曲线,但是这仅是示例性的。本领域的技术人员将理解,曲线可以具有非线性形状。
图16示出了根据本发明实施例的R2R Static Recipe Editor(R2R静态配方编辑器)屏幕的示意图。在所示实施例中,示出了静态配方“SRA_no_HF”。或者,可以示出不同的模型和/或配方。
在所示实施例中,示出了若干个处理参数和若干个处理步骤,但是这并不是本发明必需的。在备选实施例中,可以使用不同的处理参数和不同的处理步骤。
Name(名称)域包括“SRA_no_HF”,它可以是静态配方的名称。Recipe Range(配方范围)域包括“RRafterinstall1”,它可以与特定处理(例如在安装后执行的处理)相关。Description(描述)域可包括对静态配方的描述。可在公式模型中使用常数以替换静态配方中的值。
图17示出了根据本发明实施例的示例性名义配方。在所示实施例中,示出了若干个处理参数和若干个处理步骤,但是这并不是实践本发明必需的。在备选实施例中,可以使用不同的处理参数和不同的处理步骤。
图18示出了根据本发明实施例的示例性处理的图。在所示实施例中,图示出了气流与修剪量的关系。这些参数对于本发明不是必需的,也可使用其他参数。所示结果表明,在很多情形下,需要多个处理辖区中的多个方程来覆盖大范围的处理结果。方程可以是线性的或非线性的。
图18还示出了两种不同配方和它们的处理结果之间的关系。这两种不同配方可包括可以被保持基本恒定的不同参数。例如,在配方A中,室压力被维护为基本恒定的一个值(14mTorr),在配方B中,室压力被维护为基本恒定的另一个值(10mTorr)。在其他实施例中,处理空间可以被细分,因为当处理工具(例如气流)包括两个或多个流控制器时,处理工具所指定的限制可被用于划分处理空间。
在图18中,示出了两个处理辖区,并且处理空间可被划分为两部分。在第一处理辖区1810中,处理结果(修剪量)从约2nm到约6nm变化,在第二处理辖区1820中,处理结果(修剪量)从约6nm到约23nm变化。在备选实施例中,可以有不同数量的处理辖区,并且限制可以不同于已示出的那些。
在每个处理辖区中,方程(公式)可被用来计算处理结果(例如修剪量)和处理参数(例如气流)之间的关系。处理配方可包括名义配方分量、静态配方分量、公式模型分量等中的至少一个。或者,可以使用不同数量的分量和/或不同类型的分量。
如图示的实施例所示,第一处理辖区1810中使用的处理配方可包括名义处理分量和公式模型A分量。或者,也可使用静态配方分量(未示出)。第二处理辖区1820中使用的处理配方可包括名义配方分量和公式模型B分量。或者,也可使用静态配方分量(未示出)。
可以开发单变量和多变量公式模型来图示处理参数和处理结果之间的关系。
图19示出了根据本发明实施例的R2R Formula Model Editor(R2R公式模型编辑器)屏幕的示意图。在所示实施例中,示出了一个公式模型。或者,本领域的技术人员应当理解,可以使用不同的模型和/或配方。
R2R Formula Model Editor屏幕可包括用于输入和/或编辑公式模型的名称的Name(名称)域、用于选择配方范围或创建新配方范围的RecipeRange(配方范围)域、用于打开R2R Recipe Range Editor(R2R配方范围编辑器)(未示出)的Edit Range(编辑范围)选择项,以及用于输入和/或编辑对新公式模型的描述的Description(描述)域。
在图19中,Name域包括“COR1”,它是公式模型的名称。RecipeRange域包括“R2R_test_COR”,它可以与例如COR处理这样的特定处理相关,而Description域可包括对公式模型的描述。Pre-Model Adjustment(建模前调节)域包括变量(t1),它意味着不需要额外的建模前调节。“Valid y after adjustment(调节后的有效y)”域可包括“2.0”和“6.0”,它们可被用来将操作范围限定为2-6。例如,建模前调节变量(y)值可以代表以纳米为单位测得的修剪量。当建模前调节变量(y)值落在该范围之外时,可以发生警报,因为该模型失败。
Model Equation(模型方程)域可被用来输入和/或编辑关于x的模型方程。例如,y可以是单个变量x的函数。或者,y可以是几个变量的函数。“Valid equation solution x(有效方程解x)”域可被用来输入x的有效范围。当x值落在该范围之外时,将会发生警报,因为该模型失败。
在图19中,Model Equation域包括三次多项式,但是这并不是本发明必需的。或者,其他多项式也可被用于该模型方程。例如,模型方程的因变量(y)值可代表修剪量,模型方程的自变量(x)值可代表处理气体的流速。“Valid equation solution x”域可被用来输入处理气体的流速的合法范围。当x值落在该范围之外时,将会发生警报,因为该模型失败。
在COR处理的反应步骤中,NH3和HF在晶片表面形成产物。例如Ar这样的惰性气体被用来稀释反应气体和控制蚀刻量。已经执行了一组实验,并且已经分析了来自实验的数据。为了控制处理,修剪量范围可被划分为两个压力区域(图18)。
作为示例性处理,可以定义若干个处理控制对象,例如名义配方、公式配方A和公式配方B。或者,处理控制对象的数量和类型可以与这里列出的不同。
可以创建一个或多个方程来对处理空间建模。在一个实施例中,可以使用如Formula Model Editor屏幕(图19)所示那样的模型方程(y=f(x))。在一种情形下,y可以等于“修剪量”(TA),x可以等于已经与y相关的控制变量。例如,从实验设计(DOE)获得用于将修剪量范围(2.0<=y<=6.0)与处理参数(例如气体流速x)相关的处理配方的一组测得数据;确定配方方程y=f(x);并且确定逆配方方程x=f(y)。
在第一处理辖区(图18,1810)中,通过创建多项式和发现在第一处理辖区中将处理气体流速与修剪量相关的多项式的系数,可以确定模型方程,其中修剪量(TA)在2nm和6nm之间变化,流速(Ar)在约60.0sccm和约71.0sccm之间变化。例如,可以使用N次多项式
TA = Σ 0 N A n ( AR ) n
其中AR是动态变量,修剪量(TA)是所需处理结果,N>=1;An可以包括具有正值、负值和0值中的至少一个的常数。在一个实施例中,可以求解N次多项式来确定AR的值。
或者,可以通过创建不同的多项式和发现可以在第一处理辖区中将处理气体流速与修剪量相关的不同多项式的系数来确定逆方程,其中流速(AR)在约60.0sccm和约70.0sccm之间变化,修剪量(TA)在2.0nm和6.0nm之间变化。
例如,可以使用N次多项式
AR = Σ 0 N C m ( TA ) m
其中AR是动态变量,修剪量(TA)是所需处理结果,N>=1;Cm可以包括具有正值、负值和0值中的至少一个的常数。
“Post Model Adjustment(x)”表可被用来创建该模型操纵的项的列表。可以创建Recipe Parameter Map(未示出),其中每个项被分配一个参数值。
如图19所示,“Post Model Adjustment(x)”表可包括模型可以操纵的变量项。例如,g5可以等于(x-53),其中x是模型方程(y=f(x))中的因变量,53是可被用来补偿另一处理步骤中的反应气体流速的矫正常数。此外,g7可以等于15,并且可以代表步骤1和2中的参数(例如“Gas3”);g8可以代表步骤1-4中的参数(例如“ChamberPressure(室压力)”);g9可以等于(g5+15),以此方式,两个变量可以彼此相关。
此外,表中示出了若干个补偿因子。例如,补偿因子可被用于补偿在其他处理对象(例如静态配方)中执行的处理参数改变。
控制系统在执行公式模型时可以使用方程求解器。公式模型可包含二维方程y=f(x),以及用户规定的x的范围,在该范围上,方程描述了当前处理。
方程的LHS y通常代表处理的期望输出,例如修剪量。这个量是从晶片读数或其他测得数据计算的。方程y=f(x)通过经验或从第一原理来确定,并且x代表配方参数,例如气流。
例如,当公式模型被用于确定获得期望的处理输出所必需的配方参数时可以使用方程求解器。以下参数可被传递给方程求解器:a)方程y=f(x);b)用于当前晶片的值y;以及c)x的下限和上限,它们指示处理方程的有效范围。方程求解器首先将LHS项y移到方程的RHS,从而将其形式变为:0=f(x)-y。由于y值已知,因此下一步是使用例如Ridders算法或方法这样的适当算法来确定方程的解或根x,Ridders算法或方法首先在Ridders,C.F.J.“A New Algorithm for Computing a Single Rootof a Real Continuous Function.”,IEEE Trans.Circuits System 26,979-980,1979中描述。
x的下限和上限被用作为开始点,因此为了使得求解器正确地工作,它们是必需的。方程求解器方法在原理上把处理分离为输出(y)和输入(x)。这种分离允许不同的公式模型被用于不同的处理区域,而不会混淆项y和x的意义。它还允许后续反馈控制器中对期望输出(y)的一致用途。求解器并不限于线性方程。很多处理具有非线性区域。数字方法确保即使复杂的多项式方程也可被用于描述处理。但是,方程必需在提供的x的范围上连续,但是不连续方程可被分割为多个公式模型。
图20示出了根据本发明实施例的另一R2R Formula Model Editor屏幕的示意图。在所示实施例中,示出了一个公式模型“COR2”。或者可以示出不同的模型和/或配方。
Name(名称)域包括“COR2”,它是公式模型的名称。RecipeRange(配方范围)域包括“R2R_test_COR”,它可以与例如COR处理这样的特定处理相关,而Description(描述)域可包括用于描述“COR2”公式配方的描述。Pre-Model Adjustment(建模前调节)域包括变量(t1),它意味着不需要额外的建模前调节。“Valid y after adjustment(调节后的有效y)”域可包括“6.0”和“30.0”,它们可被用来将操作范围限定为6-30。例如,建模前调节变量(y)值可以代表以纳米为单位测得的修剪量。当建模前调节变量(y)值落在该范围之外时,可以发生警报,因为该模型失败。
Model Equation(模型方程)域包括三次多项式,但是这并不是本发明必需的。或者,其他多项式也可被用于该模型方程。例如,模型方程的因变量(y)值可代表修剪量,模型方程的自变量(x)值可代表处理气体的流速。“Valid equation solution x(有效方程解x)”域可被用来输入处理气体的流速的合法范围。当x值落在该范围之外时,将会发生警报,因为该模型失败。
可以创建一个或多个方程来对处理空间建模。在一个实施例中,可以使用如Formula Model Editor屏幕(图20)所示那样的模型方程(y=f(x))。在一种情形下,y可以等于“修剪量”(TA),x可以等于已经与y相关的控制变量。例如,从实验设计(DOE)获得用于将修剪量范围(6.0<=y<=30.0)与处理参数(例如气体流速x)相关的处理配方的一组测得数据;确定配方方程y=f(x);并且确定逆配方方程x=f(y)。
在第二处理辖区的第一部分(图18(1820))中,可以通过创建多项式和发现在第二处理辖区中将修剪量与处理气体流速相关的多项式的系数来确定模型方程,其中修剪量(TA)在6.0nm和30.0nm之间变化,流速(AR)在约0.0sccm和约100.0sccm之间变化。
例如,可以使用N次多项式
TA = Σ 0 N A n ( AR ) n
其中AR是动态变量,修剪量(TA)是所需处理结果,N>=1;An可以包括具有正值、负值和0值中的至少一个的常数。在一个实施例中,可以求解N次多项式来确定AR的值。
或者,可以通过创建不同的多项式和发现可以在第二处理辖区中将处理气体流速与修剪量相关的不同多项式的系数来确定逆方程,其中流速(AR)在约0.0sccm和约100.0sccm之间变化,修剪量(TA)在6.0nm和30.0nm之间变化。
例如,可以使用N次多项式
AR = Σ 0 N C m ( TA ) m
其中AR是动态变量,修剪量(TA)是所需处理结果,N>=1;Cm可以包括具有正值、负值和0值中的至少一个的常数。
返回过程400,新配方可以被发送到任务460中的处理工具,并且衬底可以使用新配方被处理。在一个实施例中,可以使用可以包括COR模块、PHT模块和至少一个缓冲模块的处理工具(处理芯片)来执行修剪过程。或者,可以执行沉积处理或蚀刻处理。
例如,当晶片的所需处理结果(处理量)在范围(2<=y<=6)中时,使用第一新处理配方来处理晶片;当晶片的所需处理结果(修剪量)在范围(6<y<=17.3)中时,使用第二新处理配方来处理晶片;当晶片的所需处理结果(修剪量)在范围(17.3<y<=23)中时,使用第三新处理配方来处理晶片。
首先,工具110可以将晶片移动到第一缓冲(装载锁)模块中。第一缓冲(装载锁)模块抽真空。工具110可以将晶片移动到第二缓冲(PHT)模块。GUI状态屏幕被更新(在LL中示出晶片)。接下来,工具110可以将晶片移动到第一处理(COR)模块中。TL控制器(FDC组件)可以选择在控制策略中定义的数据收集(DC)策略,并设置传感器。可以更新状态屏幕(模块状态可以改变)。工具执行用于第一处理模块的“配方开始”。状态屏幕可以被更新(模块状态可以改变“晶片处理”)。然后,传感器可以开始记录。配方循环经过处理步骤。第一处理模块可以发送“配方结束”事件。传感器可以停止记录:工具将晶片移动到第二缓冲(PHT)模块。接下来,TL控制器(FDC组件)可以从工具110收集(一个或多个)数据文件,并基于数据收集计划过滤器开始处理数据。TL控制器(FDC组件)可以选择在控制策略中定义的分析策略、处理模块和处理状态数据,并更新数据库(即模块状态和处理状态)。然后,状态屏幕可以被更新(模块状态可以示出LL/PHT中的晶片,第二缓冲(PHT)模块的“配方开始”),状态屏幕可以被更新(模块状态可以改变“晶片处理”)。然后,处理器可以开始记录。配方循环经过处理步骤。第二缓冲(PHT)模块可以发送“配方结束”事件。传感器可以停止记录。工具110将晶片移动到第一缓冲(装载锁)模块。真空状态从真空变为大气。工具110将晶片移出第一缓冲(装载锁)模块。然后,状态屏幕被更新。过程400在任务470结束。
图21示出了根据本发明另一实施例的R2R Formula Model Editor屏幕的示意图。在所示实施例中,示出了一个公式模型“PCMO-Trim-1”。或者也可以示出不同的模型和/或配方。
Name(名称)域包括“PCMO-Trim-1”,它可以是公式模型的名称。Recipe Range(配方范围)域包括“PCMO-Recipe-Range3”,它可以与例如PCMO处理这样的特定处理相关,而Description(描述)域可包括“PCMO trim before COR formula model(在COR公式模型之前的PCMO修剪)”,它可以是对“PCMO-Trim-1”公式模型的描述。Pre-ModelAdjustment(建模前调节)域包括变量(t1)。或者,可以使用不同的建模前调节。“Valid y after adjustment(调节后的有效y)”域可包括“22.0”和“30.0”,它们可被用来将操作范围限定为22-30。例如,建模前调节变量(y)值可以代表以纳米为单位测得的修剪量。当建模前调节变量(y)值落在该范围之外时,可以发生警报,因为该模型失败。
Model Equation(模型方程)域包括一次多项式,但这并不是本发明必需的。或者,可以将其他多项式用于模型方程。例如,模型方程的因变量(y)值可以代表修剪量,而模型方程的自变量(x)值可以代表处理气体的流速。“Valid equation solution x(有效方程解x)”域可被用来输入处理气体的流速的有效范围。当x值落在该范围之外时,可以发生警报,因为该模型失败。
在处理已完成之后,可以获得处理后度量数据。可以在一段时间的延迟之后获得处理后度量数据,所述时间延迟从分钟到天之间变化。处理后度量数据可被用作为反馈控制的一部分。此外,测量点测量数据可被归纳作为根据某些作业规则的控制晶片的统计值。IM数据可以是用于一个控制计划的预度量数据和用于不同控制计划的后度量数据。此外,处理后度量数据可以被过滤。例如,偏离拒绝过滤器可以被用来移除晶片上异常的和统计上无效的数据点。换言之,不可靠的测量点可以被丢掉并且不被用在晶片平均值计算中。
在一种情形下,可以在处理后度量数据上使用平均值/标准差比较方法。例如,可以规定标准差乘数。所有数据点都可被归纳为平均值和标准差。可以计算两个限制(平均值+X乘标准差和平均值-X乘标准差)。限制以外的所有数据点都可被移除。平均值可以被重新计算并且可以代表最终IM测量值。或者,可以使用盒式和须式绘图方法标识异常值。
此外,可以将处理后度量数据与处理前度量数据相比较,以便确定实际处理结果。测得的目标CD是来自处理或处理步骤的实际处理结果之一。通常,处理期间测得的蚀刻修剪量而不是测得的目标CD可被当作实际处理结果。可以确定作为处理误差的估计量的偏移量,它代表了处理趋势并且可被控制器用来优化处理模型和配方参数。
反馈控制涉及使用所需输出(预测输出)和测得的输出之间的误差信号来调节控制器。例如,可以通过在系统中添加持久变量来实现反馈,所述持久变量可被用作为到期望的处理结果的计算的偏移量,它们在系统改变时及时地缓慢地转变这一组处理模型。然后,在批量的末尾处,基于每个晶片的处理后度量数据更新这些变量。反馈更新的配置可被存储在控制策略中的反馈计划中。例如,当在IMM中测量厚度量之后,可以对每个晶片执行实际的处理结果和误差计算。在晶片末尾处,对来自每个被测晶片的误差计算取平均。然后,可以基于批量平均误差计算,对反馈计划的“控制计划选择”表中定义的每个变量进行更新。
图22和23示出了根据本发明另一实施例的R2R Control Plan Editor(R2R控制计划编辑器)屏幕的示意图。在所示实施例中,针对用于管理多个公式模型的控制计划示出了R2R Control Plan Editor屏幕。
为了创建/编辑控制计划,用户可以选择计划名称项并选择新控制计划或已有的计划或模型。例如,在Control Strategy Editor(控制策略编辑器)屏幕上,可以显示下拉菜单并且可以选择Add Plan(添加计划)选项。
Control Plan Editor屏幕可以包括若干个域。Plan Name(计划名称)域可被用来输入/编辑控制计划的名称。Module(模块)域可被用来输入/编辑模块名称。例如,如果计划与策略相关联,则模块域可以被自动填充。如果计划没有关联,则模块域可被用来选择处理模块。Recipe(配方)域可被用来输入/编辑配方。例如,如果计划与策略相关联,则配方域可以被自动填充。如果计划没有关联,则该域可被用来选择配方。
Description(描述)域可被用来输入/编辑对计划的描述。Updated(更新)域显示计划被改变的最后时间。
Data Sources(数据源)表可被用来输入/编辑数据源。例如,可以打开R2R Plan Data Source(R2R计划数据源)屏幕。使用数据源表,下拉菜单可被用来打开R2R Data Source屏幕(图1A和11B)。数据源屏幕可被用来:选择用于新数据源的符号;选择源类型;以及选择数据源描述,等等。例如,选定的源类型确定在Data Source屏幕上显示的选项。“Telius ODP”类型可被用来定义作为处理工具一部分的集成度量模块数据源。“Desired Output(期望输出)”类型允许用户输入用于控制器的固定单位。“Feed-back Offset(反馈偏移量)”类型允许用户定义持久的反馈变量。“Control Plan Value(控制计划值)”允许用户创建参考不同的控制计划的结果的变量(创建嵌套计划)。“Integrated Metrology SiteFiltering(集成度量测量点过滤)”类型在每个数据源被选择时创建具有对每个选项的描述的表。
在图22和23所示的目标计算域中,示出了几个不同的目标计算,并且这些域可以被改变。例如,可以输入将处理前数据(d1)与期望处理结果数据(o1)相关的方程(t1)。可以输入将处理前数据(d1)与期望处理结果数据(o1)和来自反馈计划的反馈偏移量数据(f1)相关的另一方程(t2)。可以输入将处理前数据(d1)与期望处理结果数据(o2)和从另一控制器(例如主机)获得的反馈偏移量数据(f2)相关的第三方程(t3)。此外,可以输入将处理后数据(d2)与从另一控制器(例如主机)获得的期望处理结果数据(o2)相关的另一方程(t4);可以输入将处理后数据(d2)与期望处理结果数据(o1)和来自反馈计划的反馈偏移量数据(f1)相关的另一方程(t5)。还可以输入将处理后数据(d2)与期望处理结果数据(o1)和从另一控制器(例如主机)获得的反馈偏移量数据(f2)相关的另一方程(t6)。或者,这些方程可以包括其他变量。例如,可以使用补偿因子来矫正在另一步骤中引入的误差。
例如,新目标值可以是在运行时或运行前计算出的变量,并且可以使用方程来计算目标值。此外,可以使用新的下限和上限值,这些值可以被输入下限域和上限域。例如,新的下限和上限值可以是在运行时或运行前常数或计算出的常数或变量,并且可以使用方程来计算新的下限和上限值。
Model Selection(模型选择)域可被用来创建新模型或选择已有的静态或公式模型。例如,在模型类型选择项下,表中的选择项可被用来输入和/或编辑模型类型。例如,可以从表项激活下拉列表并且从下拉列表进行选择。下拉列表中的一个选项允许创建一个新模型。其他选项可被用来显示和选择要使用和/或修改的已有模型。每个模型类型可以具有模块名称、目标值、下限、上限,以及与之相关联的配方输出。在创建新模型时,可以使用并在模型类型域输入新模型类型,可以使用并在模型名称域输入新模型名称。
Predicted Result Calculation(预测结果计算)域可被用来输入新预测结果值或选择已有预测结果值。预测结果值可以是用于期望结果的公式。例如,当名称、目标计算和模型选择信息被输入时,控制计划可被保存。
6个输入数据源被示为具有不同的符号(d1、d2、f1、f2、o1和o2),但是这不是必需的。可以使用不同数量的输入数据源,并且每个输入数据源可以具有不同的符号值。此外,示出了6个公式模型。这6个公式模型被示为具有不同的目标值(t1-t6),但是这不是必需的。可以使用不同数量的公式模型,每个公式模型可以具有不同的目标值。这6个公式模型可以具有由下限值和上限值定义的不同的操作范围。
图24示出了根据本发明另一实施例的R2R Feed-back Plan Editor(R2R反馈计划编辑器)屏幕的示意图。在所示实施例中,针对反馈公式模型示出了反馈计算域。或者可以使用其他处理。
为了创建/编辑反馈计划,用户可以选择计划名称项并选择新反馈计划或已有计划或模型。例如,在控制策略编辑器屏幕上,可以显示下拉菜单并且可以拣选Add Plan(添加计划)选择项。
R2R Feed-back Plan Editor屏幕可以包括若干个域。Plan Name(计划域)可被用来输入/编辑用于反馈计划的名称。Description(描述)域可被用来输入/编辑对计划的描述。Updated(更新)域显示计划被改变的最后时间。
Data Source(数据源)表可被用来输入/编辑数据源。例如,可以打开R2R Plan Data Source(R2R计划数据源)屏幕。使用数据源表,下拉菜单可被用来打开R2R Data Source屏幕(图11A和11B)。Data Source屏幕可被用来:选择用于新数据源的符号;选择源类型;以及选择数据源描述,等等。例如,选定的源类型确定在Data Source屏幕上显示的选项。“Telius ODP”类型可被用来定义作为处理工具一部分的集成度量模块数据源。“Desired Output(期望输出)”类型允许用户输入用于控制器的固定单位。“Feed-back Offset(反馈偏移量)”类型允许用户定义持久的反馈变量。“Control Plan Value(控制计划值)”允许用户创建参考不同的控制计划的结果的变量(创建嵌套计划)。“Integrated Metrology SiteFiltering(集成度量测量点过滤)”类型在每个数据源被选择时创建具有对每个选项的描述的表。
可以在Actual Process Result Calculation(实际处理结果计算)域中输入方程,该方程可被用来确定“实际处理结果”。“实际处理结果”可以包括测量数据、预测数据、仿真数据和实际数据中的至少一种。如图24所示,“实际处理结果”可以是预测值。或者可以使用不同的值,并且可以使用多个不同变量。例如,可以输入使用处理前数据、期望处理结果数据、处理后数据和从其他控制器(例如主机)获得的数据的方程(a1)。
例如,实际处理结果值可以是在处理已经运行之后计算出的变量,可以使用方程来计算实际处理结果值。此外,可以使用下限和上限值,这些值可以被输入下限域(未示出)和上限域(未示出)。例如,新下限和上限值可以是在运行时或运行前计算出的常数或变量,并且可以使用方程来计算新下限和上限值。
Error Calculation(误差计算)域可被用来输入新计算出的误差值或编辑已有的误差计算方程。例如,计算出的误差值可以是在处理已经运行之后计算出的变量,并且可以使用方程来确定计算出的误差值。此外,可以使用下限和上限值,这些值可以被输入下限域(未示出)和上限域(未示出)。例如,新下限和上限值可以是在运行时或运行前计算出的常数或变量,并且可以使用方程来计算新下限和上限值。
虽然上面已经详细描述了本发明的某些实施例,但是本领域的技术人员将很容易理解,在实质上不脱离本发明的新颖教导和优点的情况下可以在实施例中作出很多修改。因此,所有这种修改都被包括在本发明的范围内。
因此,本说明书并非意图限制本发明,对本发明的配置、操作和行为的描述应被理解为可以在这里提供的水平上对实施例进行修改和改变。因此,前面的详细描述并不意图以任何形式限制本发明,相反,本发明的范围由所附权利要求限定。

Claims (32)

1.一种处理衬底的方法,包括:
接收处理前数据,所述处理前数据包括所述衬底的期望处理结果和实际测量数据;
确定所需处理结果,所述所需处理结果包括所述期望处理结果和所述实际测量数据之间的差别;
通过使用静态配方和公式模型中的至少一个修改从处理工具获得的名义配方来创建新处理配方,其中:
所述新处理配方提供了近似等于所述所需处理结果的新处理结果,
所述名义配方包括多个处理步骤,每个步骤具有多个在其中定义的处理参数,
所述静态配方包括至少一个常数值,以便进一步定义至少一个所述处理步骤中的至少一个所述处理参数,并且
所述公式模型包括至少一个动态变量,以便进一步定义至少一个所述处理步骤中的至少一个所述处理参数;
发送所述新处理配方到所述处理工具;以及
基于所述新处理配方处理所述衬底。
2.如权利要求1所述的处理衬底的方法,还包括:
使用N次方程 y = Σ 0 N A n x n 来创建所述公式模型,
其中x包括动态变量,y是所述所需处理结果,N>=1,An包括具有正值、负值和零值中的至少一个的常数;
求解所述N次方程以确定所述动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的值来修改所述名义配方。
3.如权利要求1所述的处理衬底的方法,还包括:
使用N次方程 x = Σ 0 N C m y m 来创建所述公式模型,
其中x包括动态变量,y是所述所需处理结果,N>=1,Cm包括具有正值、负值和零值中的至少一个的常数;
求解所述N次方程以确定所述动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的值来修改所述名义配方。
4.如权利要求1所述的处理衬底的方法,还包括:
使用第一N次方程 y ( 1 ) = Σ 0 N A ( 1 ) n x ( 1 ) n 来创建第一公式模型分量,
其中所述第一公式模型分量被用于第一处理辖区,其中x(1)包括所述第一处理辖区中的动态变量,y是所述第一处理辖区中的所需处理结果,N>=1,A(1)n包括具有正值、负值和零值中的至少一个的常数;
使用第二N次方程 y ( 2 ) = Σ 0 N A ( 2 ) n x ( 2 ) n 来创建第二公式模型分量,
其中所述第二公式模型分量被用于第二处理辖区,其中x(2)包括所述第二处理辖区中的动态变量,y是所述第二处理辖区中的所需处理结果,N>=1,A(2)n包括具有正值、负值和零值中的至少一个的常数;
求解所述第一N次方程以确定所述第一处理辖区中的动态变量的值;
通过在至少一个所述处理步骤中包括所确定的所述第一处理辖区中的动态变量的值来修改所述名义配方;
求解所述第二N次方程以确定所述第二处理辖区中的动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的所述第二处理辖区中的动态变量的值来修改所述名义配方。
5.如权利要求4所述的处理衬底的方法,其中第一控制参数被用来建立处理辖区,其中使用所述第一控制参数的第一值来确定第一处理辖区,使用所述第一控制参数的第二值来确定所述第二处理辖区。
6.如权利要求5所述的处理衬底的方法,其中所述第一控制参数是室压力,当室压力小于12mTorr时确定所述第一处理辖区,当室压力大于等于12mTorr时确定所述第二处理辖区。
7.如权利要求4所述的处理衬底的方法,还包括:
使用第三N次方程 y ( 3 ) = Σ 0 N A ( 3 ) n x ( 3 ) n 来创建第三公式模型分量,
其中所述第三公式模型分量被用于第三处理辖区,其中x(3)包括所述第三处理辖区中的动态变量,y是所述第三处理辖区中的所需处理结果,N>=1,A(3)n包括具有正值、负值和零值中的至少一个的常数;
求解所述第三N次方程以确定所述第三处理辖区中的动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的所述第三处理辖区中的动态变量的值来修改所述名义配方。
8.如权利要求1所述的处理衬底的方法,还包括:
使用第一N次方程 x ( 1 ) = Σ 0 N C ( 1 ) n y ( 1 ) n 来创建第一公式模型分量,
其中所述第一公式模型分量被用于第一处理辖区,其中x(1)包括所述第一处理辖区中的动态变量,y是所述第一处理辖区中的所需处理结果,N>=1,C(1)n包括具有正值、负值和零值中的至少一个的常数;
使用第二N次方程 x ( 2 ) = Σ 0 N C ( 2 ) n y ( 2 ) n 来创建第二公式模型分量,
其中所述第二公式模型分量被用于第二处理辖区,其中x(2)包括所述第二处理辖区中的动态变量,y是所述第二处理辖区中的所需处理结果,N>=1,C(2)n包括具有正值、负值和零值中的至少一个的常数;
求解所述第一N次方程以确定所述第一处理辖区中的动态变量的值;
通过在至少一个所述处理步骤中包括所确定的所述第一处理辖区中的动态变量的值来修改所述名义配方;
求解所述第二N次方程以确定所述第二处理辖区中的动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的所述第二处理辖区中的动态变量的值来修改所述名义配方。
9.如权利要求8所述的处理衬底的方法,还包括:
使用第三N次方程 x ( 3 ) = Σ 0 N C ( 3 ) n y ( 3 ) n 来创建第三公式模型分量,
其中所述第三公式模型分量被用于第三处理辖区,其中x(3)包括所述第三处理辖区中的动态变量,y是所述第三处理辖区中的所需处理结果,N>=1,C(3)n包括具有正值、负值和零值中的至少一个的常数;
求解所述第三N次方程以确定所述第三处理辖区中的动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的所述第三处理辖区中的动态变量的值来修改所述名义配方。
10.如权利要求1所述的处理衬底的方法,其中所述期望处理结果包括目标关键尺寸(CD)、目标CD轮廓、CD均匀性值和CD轮廓均匀性值中的至少一个。
11.如权利要求1所述的处理衬底的方法,其中所述处理前数据包括测得的关键尺寸(CD)、测得的CD轮廓、测得的CD均匀性值和测得的CD轮廓均匀性值中的至少一个。
12.如权利要求1所述的处理衬底的方法,其中所述处理前数据包括从用户、工具级控制器、系统级控制器和工厂级控制器中的至少一个接收的数据。
13.如权利要求1所述的处理衬底的方法,还包括:
通过比较名义处理结果和所述所需处理结果来确定何时可以使用所述名义配方;以及
当所述名义处理结果近似等于所述所需处理结果时,制止向所述处理工具发送新处理配方。
14.如权利要求1所述的处理衬底的方法,其中所述新处理配方包括化学氧化去除(COR)处理,所述所需处理结果包括修剪量,所述动态变量包括处理气体的流速。
15.如权利要求14所述的处理衬底的方法,其中所述新处理配方包括COR处理配方和PHT处理配方,所述方法还包括:
在COR模块中执行COR处理配方,其中使用处理气体来对衬底上的暴露表面进行化学处理,其中在至少一个暴露表面上形成固态反应产物;以及
在PHT模块中执行PHT处理配方,其中所述执行包括蒸发所述固态反应产物,从而修剪被化学处理过的暴露表面层。
16.如权利要求15所述的处理衬底的方法,还包括:
重复所述执行步骤直到获得所述所需处理结果。
17.如权利要求14所述的处理衬底的方法,还包括:
执行COR模块中的COR处理配方,其中使用处理气体来对衬底上的暴露表面进行化学处理,其中在至少一个暴露表面上形成具有近似等于所述修剪量的厚度的固态反应产物;以及
执行PHT模块中的PHT处理配方,其中所述执行包括蒸发所述固态反应产物,从而将至少一个被化学处理过的暴露表面修剪掉所述修剪量。
18.如权利要求17所述的处理衬底的方法,其中所述执行COR处理配方包括:
将所述衬底传递到包括化学处理室的COR模块中;
将所述衬底放置在安装于所述化学处理室中的温度受控衬底座上;
使用耦合到所述化学处理室的真空泵系统来改变所述室压力;
使用耦合到所述化学处理室并被配置为将处理气体引入所述化学处理室的气体分配系统来提供所述处理气体;以及
根据所述处理配方控制所述COR模块、所述温度受控衬底座、所述真空泵系统和所述气体分配系统。
19.如权利要求17所述的处理衬底的方法,其中所述执行PHT处理配方包括:
将所述衬底传递到包括热处理室的PHT模块中;
将所述衬底放置在安装于所述热处理室中的温度受控衬底座上;
使用耦合到所述热处理室的温度受控上组件来改变所述室温度;
使用耦合到所述热处理室的真空泵系统来改变所述室压力;以及
根据所述处理配方控制所述PHT模块、所述真空泵系统、温度控制系统和所述温度受控衬底座。
20.如权利要求1所述的处理衬底的方法,还包括:
接收处理后度量数据;以及
使用所述处理后度量数据来更新所述名义配方、所述静态配方和所述公式模型中的至少一个。
21.一种处理衬底的方法,包括:
接收处理前数据,所述处理前数据包括所述衬底的期望处理结果和实际测量数据;
确定所需处理结果,其中所述所需处理结果包括所述期望处理结果和所述实际测量数据之间的差别;
通过使用静态配方和公式模型中的至少一个修改从处理工具获得的名义配方来创建新处理配方,其中
所述新处理配方提供了近似等于所述所需处理结果的新处理结果,
所述名义配方包括具有第一数量的列和第二数量的行的第一表,每一列包括一个处理步骤,每一行包括一个处理参数,每个单元包括一个处理参数值,
静态配方包括具有第一数量的列和第二数量的行的第二表,所述第二表的至少一个单元包括用于修改与所述第一表中的至少一个单元相关联的处理参数值的常数值,并且
公式模型包括具有第一数量的列和第二数量的行的第三表,所述第三表的至少一个单元包括用于修改与所述第一表中的至少一个单元相关联的处理参数值的动态变量;以及
将所述新处理配方发送到所述处理工具并基于所述新处理配方处理所述衬底。
22.如权利要求21所述的处理衬底的方法,还包括:
使用N次方程 y = Σ 0 N A n x n 来创建所述公式模型,
其中x包括动态变量,y是所述所需处理结果,N>=1,An包括具有正值、负值和零值中的至少一个的常数;
求解所述N次方程以确定所述动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的值来修改所述名义配方。
23.如权利要求21所述的处理衬底的方法,还包括:
使用N次方程 x = Σ 0 N C m y m 来创建所述公式模型,
其中x包括动态变量,y是所述所需处理结果,N>=1,Cm包括具有正值、负值和零值中的至少一个的常数;
求解所述N次方程以确定所述动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的值来修改所述名义配方。
24.如权利要求21所述的处理衬底的方法,还包括:
使用第一N次方程 y ( 1 ) = Σ 0 N A ( 1 ) n x ( 1 ) n 来创建第一公式模型分量,
其中所述第一公式模型分量被用于第一处理辖区,其中x(1)包括所述第一处理辖区中的动态变量,y是所述第一处理辖区中的所需处理结果,N>=1,A(1)n包括具有正值、负值和零值中的至少一个的常数;
使用第二N次方程 y ( 2 ) = Σ 0 N A ( 2 ) n x ( 2 ) n 来创建第二公式模型分量,
其中所述第二公式模型分量被用于第二处理辖区,其中x(2)包括所述第二处理辖区中的动态变量,y是所述第二处理辖区中的所需处理结果,N>=1,A(2)n包括具有正值、负值和零值中的至少一个的常数;
求解所述第一N次方程以确定所述第一处理辖区中的动态变量的值;
通过在至少一个所述处理步骤中包括所确定的所述第一处理辖区中的动态变量的值来修改所述名义配方;
求解所述第二N次方程以确定所述第二处理辖区中的动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的所述第二处理辖区中的动态变量的值来修改所述名义配方。
25.如权利要求24所述的处理衬底的方法,其中第一控制参数被用来建立处理辖区,其中使用所述第一控制参数的第一值来确定第一处理辖区,使用所述第一控制参数的第二值来确定所述第二处理辖区。
26.如权利要求25所述的处理衬底的方法,其中所述第一控制参数是室压力,当室压力小于12mTorr时确定所述第一处理辖区,当室压力大于等于12mTorr时确定所述第二处理辖区。
27.如权利要求24所述的处理衬底的方法,还包括:
使用第三N次方程 y ( 3 ) = Σ 0 N A ( 3 ) n x ( 3 ) n 来创建第三公式模型分量,
其中所述第三公式模型分量被用于第三处理辖区,其中x(3)包括所述第三处理辖区中的动态变量,y是所述第三处理辖区中的所需处理结果,N>=1,A(3)n包括具有正值、负值和零值中的至少一个的常数;
求解所述第三N次方程以确定所述第三处理辖区中的动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的所述第三处理辖区中的动态变量的值来修改所述名义配方。
28.如权利要求21所述的处理衬底的方法,还包括:
使用第一N次方程 x ( 1 ) = Σ 0 N C ( 1 ) n y ( 1 ) n 来创建第一公式模型分量,
其中所述第一公式模型分量被用于第一处理辖区,其中x(1)包括所述第一处理辖区中的动态变量,y是所述第一处理辖区中的所需处理结果,N>=1,C(1)n包括具有正值、负值和零值中的至少一个的常数;
使用第二N次方程 x ( 2 ) = Σ 0 N C ( 2 ) n y ( 2 ) n 来创建第二公式模型分量,
其中所述第二公式模型分量被用于第二处理辖区,其中x(2)包括所述第二处理辖区中的动态变量,y是所述第二处理辖区中的所需处理结果,N>=1,C(2)n包括具有正值、负值和零值中的至少一个的常数;
求解所述第一N次方程以确定所述第一处理辖区中的动态变量的值;
通过在至少一个所述处理步骤中包括所确定的所述第一处理辖区中的动态变量的值来修改所述名义配方;
求解所述第二N次方程以确定所述第二处理辖区中的动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的所述第二处理辖区中的动态变量的值来修改所述名义配方。
29.如权利要求28所述的处理衬底的方法,还包括:
使用第三N次方程 x ( 3 ) = Σ 0 N C ( 3 ) n y ( 3 ) n 来创建第三公式模型分量,
其中所述第三公式模型分量被用于第三处理辖区,其中x(3)包括所述第三处理辖区中的动态变量,y是所述第三处理辖区中的所需处理结果,N>=1,C(3)n包括具有正值、负值和零值中的至少一个的常数;
求解所述第三N次方程以确定所述第三处理辖区中的动态变量的值;以及
通过在至少一个所述处理步骤中包括所确定的所述第三处理辖区中的动态变量的值来修改所述名义配方。
30.如权利要求21所述的处理衬底的方法,还包括:
接收处理后度量数据;以及
使用所述处理后度量数据来更新所述名义配方、所述静态配方和所述公式模型中的至少一个。
31.如权利要求21所述的处理衬底的方法,其中所述公式模型包括建模前调节方程、模型方程、多个建模后调节和配方参数分配映射。
32.一种处理衬底的方法,包括:
接收处理前数据,所述处理前数据包括所述衬底的期望处理结果和实际测量数据;
确定所需处理结果,其中所述所需处理结果包括所述期望处理结果和所述实际测量数据之间的差别;
识别控制策略以创建新处理配方,其中该新处理配方提供近似等于所述所需处理结果的新处理结果,所述控制策略包括用于包括多个静态配方的静态配方的控制计划和用于包括多个公式模型的公式模型的控制计划;
从处理工具获得名义配方,所述名义配方包括具有第一数量的列和第二数量的行的第一表,每一列包括一个处理步骤,每一行包括一个处理参数,每个单元包括一个处理参数值,
执行静态配方和公式模型中的至少一个,以便修改与所述第一表中的至少一个单元相关联的处理参数值,其中
所述静态配方包括具有第一数量的列和第二数量的行的第二表,所述第二表的至少一个单元包括用于修改与所述第一表中的至少一个单元相关联的处理参数值的常数值,并且
公式模型包括具有第一数量的列和第二数量的行的第三表,所述第三表的至少一个单元包括用于修改与所述第一表中的一个或多个单元相关联的处理参数值的动态变量;
将所述新处理配方发送到所述处理工具;以及
基于所述新处理配方处理所述衬底。
CNB2005800236899A 2004-07-14 2005-05-17 基于公式的制程间控制 Active CN100561389C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/890,410 2004-07-14
US10/890,410 US7292906B2 (en) 2004-07-14 2004-07-14 Formula-based run-to-run control
PCT/US2005/016990 WO2006019449A1 (en) 2004-07-14 2005-05-17 Formula-based run-to-run control

Publications (2)

Publication Number Publication Date
CN1985221A true CN1985221A (zh) 2007-06-20
CN100561389C CN100561389C (zh) 2009-11-18

Family

ID=34970136

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800236899A Active CN100561389C (zh) 2004-07-14 2005-05-17 基于公式的制程间控制

Country Status (6)

Country Link
US (1) US7292906B2 (zh)
JP (1) JP5014990B2 (zh)
KR (1) KR101186694B1 (zh)
CN (1) CN100561389C (zh)
TW (1) TWI311161B (zh)
WO (1) WO2006019449A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103081071A (zh) * 2010-08-03 2013-05-01 东京毅力科创株式会社 基板处理方法和基板处理装置
CN112580997A (zh) * 2020-12-24 2021-03-30 特劢丝软件科技(上海)有限公司 数据收集方法及系统、spc系统及计算机存储介质
CN114747794A (zh) * 2022-04-21 2022-07-15 河南中烟工业有限责任公司 基于累计和策略的梗丝干燥机出口含水率控制方法

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI286785B (en) * 2002-03-29 2007-09-11 Tokyo Electron Ltd Method for interaction with status and control apparatus
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
DE102005030586A1 (de) * 2005-06-30 2007-01-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
DE102005046972A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US7366575B2 (en) * 2005-12-30 2008-04-29 Intel Corporation Wafer polishing control
JP4796574B2 (ja) * 2006-02-07 2011-10-19 東京エレクトロン株式会社 基板処理装置の制御装置および基板処理装置の制御プログラム
US8560109B1 (en) * 2006-02-09 2013-10-15 Cadence Design Systems, Inc. Method and system for bi-directional communication between an integrated circuit (IC) layout editor and various IC pattern data viewers
JP4839101B2 (ja) 2006-03-08 2011-12-21 東京エレクトロン株式会社 基板処理装置、基板処理条件検討方法及び記憶媒体
US7642100B2 (en) * 2006-09-13 2010-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for yield and productivity improvements in semiconductor processing
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
JP5213322B2 (ja) * 2006-10-05 2013-06-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置並びにプログラムを記憶する記憶媒体
US7738986B2 (en) * 2006-10-09 2010-06-15 GlobalFoundries, Inc. Method and apparatus for compensating metrology data for site bias prior to filtering
US7713758B2 (en) * 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US7899637B2 (en) * 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library
US8082045B1 (en) * 2007-06-29 2011-12-20 Intermolecular, Inc. Substrate processing recipe manager
US8635125B2 (en) * 2007-07-03 2014-01-21 Microsoft Corporation Automatic calculation with multiple editable fields
US7967995B2 (en) 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
JP5089513B2 (ja) * 2008-07-11 2012-12-05 東京エレクトロン株式会社 プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
TWI380141B (en) * 2008-09-24 2012-12-21 Inotera Memories Inc Method for automatically detecting and shifting the base line in semiconductor process
US9768082B2 (en) 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US20100211202A1 (en) * 2009-02-13 2010-08-19 Hermes Microvision, Inc. Method and machine for examining wafers
GB2468146B (en) * 2009-02-26 2011-11-23 Biopharm Services Ltd Method of generating recipe for process
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US8224475B2 (en) * 2009-03-13 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for advanced process control
US8392009B2 (en) * 2009-03-31 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control with novel sampling policy
JP5334787B2 (ja) 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9026239B2 (en) * 2010-06-03 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. APC model extension using existing APC models
US8527081B2 (en) * 2010-08-31 2013-09-03 Applied Materials, Inc. Method and apparatus for automated validation of semiconductor process recipes
CN102456084A (zh) * 2010-10-27 2012-05-16 沈阳中科博微自动化技术有限公司 Ic装备配方编辑器的配置方法
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US9308618B2 (en) * 2012-04-26 2016-04-12 Applied Materials, Inc. Linear prediction for filtering of data during in-situ monitoring of polishing
JP6301083B2 (ja) * 2012-09-12 2018-03-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及びレシピの作成方法
JP6262137B2 (ja) * 2012-09-26 2018-01-17 株式会社日立国際電気 統合管理システム、管理装置、基板処理装置の情報表示方法及びプログラム
JP6177513B2 (ja) * 2012-09-28 2017-08-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10885002B2 (en) * 2013-10-14 2021-01-05 Aveva Software, Llc Recipe management system
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
CN104752269A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体工艺配方的加载方法与系统
JP6376554B2 (ja) * 2014-03-26 2018-08-22 株式会社Screenホールディングス 基板処理装置
KR102308587B1 (ko) 2014-03-19 2021-10-01 가부시키가이샤 스크린 홀딩스 기판 처리 장치 및 기판 처리 방법
CN103871954B (zh) * 2014-03-20 2017-07-07 上海华力微电子有限公司 一种优化浅槽隔离刻蚀线宽的方法
JP6501601B2 (ja) * 2014-05-20 2019-04-17 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板処理プログラム
US9541906B2 (en) * 2014-09-11 2017-01-10 Hong-Te SU Controller capable of achieving multi-variable controls through single-variable control unit
WO2016078861A1 (en) * 2014-11-17 2016-05-26 Asml Netherlands B.V. Process based metrology target design
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
TWI676357B (zh) * 2015-06-05 2019-11-01 美商蘭姆研究公司 配方組之執行同步系統與方法
US9934351B2 (en) * 2015-11-09 2018-04-03 Applied Materials, Inc. Wafer point by point analysis and data presentation
CN106371326B (zh) * 2016-09-18 2021-01-05 海信集团有限公司 设备工作场景的存储方法及装置
JP6476370B2 (ja) * 2016-09-26 2019-03-06 株式会社Kokusai Electric 記録媒体、プログラム、半導体装置の製造方法および基板処理装置。
WO2018182503A1 (en) * 2017-03-31 2018-10-04 Neitas Pte. Ltd. Information processing device
WO2018182502A1 (en) * 2017-03-31 2018-10-04 Neitas Pte. Ltd. Information processing device
JP6779173B2 (ja) * 2017-05-18 2020-11-04 株式会社荏原製作所 基板処理装置、プログラムを記録した記録媒体
US11504821B2 (en) 2017-11-16 2022-11-22 Applied Materials, Inc. Predictive filter for polishing pad wear rate monitoring
JP7080065B2 (ja) * 2018-02-08 2022-06-03 株式会社Screenホールディングス データ処理方法、データ処理装置、データ処理システム、およびデータ処理プログラム
KR102268618B1 (ko) * 2019-11-27 2021-06-23 세메스 주식회사 약액 토출 방법
CN112486113B (zh) * 2020-11-20 2021-09-24 长江存储科技有限责任公司 一种机台程式控制方法及装置
US20230012173A1 (en) * 2021-07-08 2023-01-12 Hitachi High-Tech Corporation Process recipe search apparatus, etching recipe search method and semiconductor device manufacturing system
US20230058468A1 (en) * 2021-08-23 2023-02-23 United Microelectronics Corp. Method of fabricating an air gap
US11860591B2 (en) * 2021-09-13 2024-01-02 Applied Materials, Inc. Process recipe creation and matching using feature models
US20230359179A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US14145A (en) * 1856-01-22 Means for operating the steam-valves of blower-engines
US192966A (en) * 1877-07-10 Improvement in latches for gates and doors
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
KR100649387B1 (ko) * 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US6415193B1 (en) * 1999-07-08 2002-07-02 Fabcentric, Inc. Recipe editor for editing and creating process recipes with parameter-level semiconductor-manufacturing equipment
US6511898B1 (en) 2000-05-24 2003-01-28 Advanced Micro Devices Inc. Method for controlling deposition parameters based on polysilicon grain size feedback
US20020192966A1 (en) 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US7337019B2 (en) 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6732007B1 (en) * 2002-06-05 2004-05-04 Advanced Micro Devices, Inc. Method and apparatus for implementing dynamic qualification recipes
JP3639268B2 (ja) * 2002-06-14 2005-04-20 株式会社日立製作所 エッチング処理方法
US6924088B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US6665623B1 (en) 2002-07-31 2003-12-16 Advanced Micro Devices, Inc. Method and apparatus for optimizing downstream uniformity
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US6893975B1 (en) * 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103081071A (zh) * 2010-08-03 2013-05-01 东京毅力科创株式会社 基板处理方法和基板处理装置
CN103081071B (zh) * 2010-08-03 2015-09-30 东京毅力科创株式会社 基板处理方法和基板处理装置
CN112580997A (zh) * 2020-12-24 2021-03-30 特劢丝软件科技(上海)有限公司 数据收集方法及系统、spc系统及计算机存储介质
CN112580997B (zh) * 2020-12-24 2021-07-27 上海赛美特软件科技有限公司 数据收集方法及系统、spc系统及计算机存储介质
CN114747794A (zh) * 2022-04-21 2022-07-15 河南中烟工业有限责任公司 基于累计和策略的梗丝干燥机出口含水率控制方法
CN114747794B (zh) * 2022-04-21 2022-12-27 河南中烟工业有限责任公司 基于累计和策略的梗丝干燥机出口含水率控制方法

Also Published As

Publication number Publication date
WO2006019449A1 (en) 2006-02-23
TW200617201A (en) 2006-06-01
JP5014990B2 (ja) 2012-08-29
US20060015206A1 (en) 2006-01-19
KR20070032690A (ko) 2007-03-22
TWI311161B (en) 2009-06-21
CN100561389C (zh) 2009-11-18
KR101186694B1 (ko) 2012-09-27
JP2008507131A (ja) 2008-03-06
US7292906B2 (en) 2007-11-06

Similar Documents

Publication Publication Date Title
CN100561389C (zh) 基于公式的制程间控制
CN101031851B (zh) 使用虚拟模块的半导体处理方法
CN1961405B (zh) 去除化学氧化物的系统的操作方法
CN101006398B (zh) 使用虚拟模块的半导体处理方法
CN101023522B (zh) 具有模型反馈更新的隔离/嵌套级联式修饰控制
CN1816905A (zh) 用于刻蚀工艺的前馈和反馈晶片到晶片控制方法
Kuo et al. Manufacturing intelligence to exploit the value of production and tool data to reduce cycle time
WO2006044016A2 (en) R2r controller to automate the data collection during a doe
KR20020019928A (ko) 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
KR20070061868A (ko) 이용가능한 계측 용량에 기반하여 계측 샘플링을 동적으로조정하는 방법 및 시스템
CN100367140C (zh) 使用基线控制脚本控制工具的方法和装置
US7203563B2 (en) Automatic N2 purge system for 300 mm full automation fab
Baras et al. A framework for robust run by run control with lot delayed measurements
KR20050065663A (ko) 첫 번째-원칙 피드-포워드 제조 제어를 제공하기 위한 방법및 장치
Khakifirooz et al. Disturbance rejection run-to-run controller for semiconductor manufacturing
Moyne et al. Advanced process control in the semiconductor industry
El-Kilany Reusable modelling and simulation of flexible manufacturing for next generation semiconductor manufacturing facilities
CN100355053C (zh) 电气制造控制的概率约束优化
Wong Equipment control and process control in semiconductor CIM systems
Moyne 11 Integrated Run-to-Run Control Solution Examples

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant