CN103081071B - 基板处理方法和基板处理装置 - Google Patents

基板处理方法和基板处理装置 Download PDF

Info

Publication number
CN103081071B
CN103081071B CN201180037820.2A CN201180037820A CN103081071B CN 103081071 B CN103081071 B CN 103081071B CN 201180037820 A CN201180037820 A CN 201180037820A CN 103081071 B CN103081071 B CN 103081071B
Authority
CN
China
Prior art keywords
mentioned
process chamber
substrate
reaction product
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180037820.2A
Other languages
English (en)
Other versions
CN103081071A (zh
Inventor
宇贺神肇
户泽茂树
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN103081071A publication Critical patent/CN103081071A/zh
Application granted granted Critical
Publication of CN103081071B publication Critical patent/CN103081071B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region

Abstract

本发明利用化学去除处理来有效地去除Si系膜。一种用于将收纳于处理室(21)内的基板(W)表面的Si系膜去除的基板处理方法,该基板处理方法进行以下工序:第1工序,其中,在处理室(21)内,利用含有卤族元素的气体和碱性气体来使基板(W)表面的Si系膜变质为反应生成物;以及第2工序,其中,在与第1工序相比减压后的处理室(21)内使反应生成物气化,第1工序和第2工序反复进行两次以上。通过将第1工序和第2工序反复进行两次以上,从而使Si系膜的去除率变高并提高生产率。

Description

基板处理方法和基板处理装置
技术领域
本发明涉及一种利用化学处理和热处理来去除基板表面的Si系膜的基板处理方法和基板处理装置。
背景技术
例如,在NAND型闪存的制造工艺中,进行利用蚀刻去除存在于半导体晶圆(下面,称作“晶圆”。)W的表面的氧化硅膜(SiO2膜)、氮化硅膜(SiN膜)这样的Si系膜的工序。图1和图2表示NAND型闪存的制造工艺的一部分。首先,如图1的(a)所示,利用热氧化法在单晶硅基板100上形成氧化硅膜101,进而利用LPCVD法等在氧化硅膜101之上层叠多晶硅膜102。接下来,如图1的(b)所示,连续进行通常公知的各向异性蚀刻技术,通过将多晶硅膜102、氧化硅膜101以及单晶硅基板100的一部分蚀刻来形成槽105而切出各个元件。这样,通过利用各向异性蚀刻切出各个元件,上述氧化硅膜101成为各元件的栅极绝缘膜103,多晶硅膜102成为各元件的浮动栅极104。此外,形成于单晶硅基板100中的槽105成为元件分离区域(STI)。
然后,如图1的(c)所示,以填埋上述槽105和各个元件之间的空间的方式利用LPCVD法等方法堆积新的氧化硅膜106。接下来,将上述堆积后的氧化硅膜106蚀刻而形成如图2的(a)所示那样的、使浮动栅极104的侧壁的局部107暴露那样的构造。之后,如图2的(b)所示,层叠ONO绝缘膜108并进一步层叠多晶硅膜(控制栅)109。通过在浮动栅极104的侧壁的局部107暴露的状态下层叠ONO绝缘膜108而使浮动栅极104和ONO绝缘膜108的接触面积变大,从而能够在存储器单元的写入时将施加在控制栅(多晶硅膜109)上的写入电压设定得较低。这里,作为将氧化硅膜106蚀刻的方法,通常公知有使用药液的湿蚀刻、利用了反应性气体的等离子体的等离子体蚀刻等。
在如图2的(a)所示那样的使浮动栅极104的侧壁的局部107暴露的工序中,由于新堆积的氧化硅膜106的蚀刻量决定浮动栅极104的暴露后的侧壁的局部107的高度,因此要求对蚀刻量进行精度非常高的控制。若氧化硅膜106的蚀刻量在各元件处与设计的值不同,则浮动栅极104和ONO绝缘膜108间的接触面积会变得不同,结果会使各元件的可靠性降低。
然而,湿蚀刻的蚀刻率较高而难以控制。另外,等离子体蚀刻存在对Si系膜以外的膜的影响较大这样的问题。因此,作为高精度地选择性去除晶圆表面的Si系膜的方法,公知有化学性地去除Si系膜的化学去除处理(参照专利文献1、2)。在该化学去除处理中,通过向处理室内供给含有卤族元素的气体和碱性气体的混合气体,使Si系膜变质为以氟硅酸铵(fluorosilicate ammonium)为主的反应生成物并使该反应生成物气化(升华),从而从晶圆去除该反应生成物。该情况下,作为含有卤族元素的气体,例如可以使用氟化氢气体(HF),作为碱性气体,例如可以使用氨气(NH3)。
先行技术文献
专利文献
专利文献1:日本特开2008-160000号公报
专利文献2:日本特开2008-235311号公报
与湿蚀刻处理相比,上述化学去除处理的去除率较低,因此具有易于控制这样的优点。另外,与等离子体蚀刻处理相比,上述化学去除处理具有对Si系膜以外的膜影响较少这样的优点。其缺点在于,在化学去除处理中,Si系膜的去除率较低,难以提高生产率。
另外,作为用于进行化学性地去除氧化物的COR(Chemical Oxide Removal)处理的装置,如专利文献1所示,通常公知的是该装置包括:化学处理室,其用于以较低温度进行使晶圆表面的氧化膜变质为反应生成物的工序;以及热处理室,其用于以较高温度进行加热反应生成物并使其升华而从晶圆将该反应生成物去除的工序。然而,对于具有这样的化学处理室和热处理室是分开的处理装置,由于处理室的数量增加,因此装置容易变得大型化。另外,若化学处理室和热处理室分开,则在两者之间需要用于输送基板的输送机构,还需要输送时间。
另一方面,如专利文献2所示,还提出一种基板处理装置,在相同处理室内首先进行以低温使晶圆表面的氧化膜变质为反应生成物的工序之后,进行以高温加热反应生成物并使其升华而从晶圆去除该反应生成物的工序。然而,即使在相同处理室内,使晶圆的温度变化也要花费时间,从而存在难以提高生产率这样的难题。
发明内容
本发明是考虑到上述问题点而提出的,其目的在于利用化学去除处理来有效地去除Si系膜。
为了解决上述问题,本发明提供一种用于将收纳于处理室内的基板表面的Si系膜去除的基板处理方法,其特征在于,该基板处理方法进行以下工序:第1工序,其中,在上述处理室内,利用含有卤族元素的气体和碱性气体来使基板表面的Si系膜变质为反应生成物;以及第2工序,其中,在与上述第1工序相比减压后的上述处理室内使上述反应生成物气化,反复进行两次以上的上述第1工序和上述第2工序。
另外,本发明提供一种用于将收纳于处理室内的基板表面的Si系膜去除的基板处理装置,其特征在于,该基板处理装置包括:气体供给机构,其用于向上述处理室内供给含有卤族元素的气体和碱性气体;温度调节构件,其用于对收纳于上述处理室内的基板进行温度调节;排气机构,其用于对上述处理室内进行排气;以及控制部,其用于控制上述气体供给机构、温度调节构件以及排气机构,在上述控制部的控制下来进行以下工序:第1工序,其中,在上述处理室内,利用含有卤族元素的气体和碱性气体来使基板表面的Si系膜变质为反应生成物;以及第2工序,其中,在与上述第1工序相比减压后的上述处理室内使上述反应生成物气化,反复进行两次以上的上述第1工序和上述第2工序。
采用本发明,反复进行两次以上第1工序和第2工序,在第1工序中,在处理室内,利用含有卤族元素的气体和碱性气体来使基板表面的Si系膜变质为反应生成物,在第2工序中,在减压后的上述处理室内使上述反应生成物气化,从而使Si系膜的去除率变高并提高生产率。由于能够在同一处理室内去除基板表面的Si系膜,因此使基板处理装置小型化,还能够缩短处理时间,从而能够提高生产能力。另外,由于化学去除处理易于控制且对Si系膜以外的膜影响较少,因此能够有选择性地高精度去除基板表面的Si系膜。
附图说明
图1是NAND型闪存的制造工艺的一部分的说明图,图1的(a)表示将氧化硅膜和多晶硅膜层叠于单晶硅基板上的状态,图1的(b)表示切出了各个元件后的状态,图1的(c)表示堆积了氧化硅膜后的状态。
图2是用于使浮动栅极的侧壁部暴露的工序的说明图,图2的(a)表示将氧化硅膜蚀刻而使浮动栅极的侧壁的局部暴露的状态,图2的(b)表示层叠了ONO绝缘膜和多晶硅膜(控制栅)后的状态。
图3是表示处理系统的大致结构的俯视图。
图4是本发明的实施方式的COR处理装置的说明图。
图5是晶圆的处理方法的工序的说明图。
图6是表示硅氟化铵的重量变化相对于温度的的图表。
图7是表示在第1工序和第2工序反复进行了3次的本发明例(实施例1)与在持续进行了第1工序后进行第2工序的比较例中、氧化硅膜的的去除量的变化相对于处理时间的图表。
图8是表示本发明例的COR处理的步骤的表1。
图9是表示比较例的COR处理的步骤的表2。
图10是通过基于表1的步骤的本发明例的COR处理而被去除后的氧化硅膜的状态的说明图。
图11是通过基于表2的步骤的比较例的COR处理而被去除后的氧化硅膜的状态的说明图。
图12是对比较例1~比较例5的排气处理工序和实施例2、3的排气处理工序进行比较的图表。
具体实施方式
下面,作为去除基板表面的Si系膜的方法和装置的一个例子,针对利用COR(Chemical Oxide Removal)处理来去除形成于硅晶圆(下面,称为“晶圆”)W的表面上的氧化膜(二氧化硅(SiO2))的情况来说明本发明的实施方式。此外,在本说明书及附图中,通过对实质上具有相同的功能结构的构成要件标注相同的附图标记来省略重复说明。
如图3所示,该处理系统1包括:输入输出部2,其用于相对于处理系统1输入输出晶圆W;两个加载互锁室3,其与输入输出部2相邻地设置;作为基板处理装置的COR处理装置4,其分别与各加载互锁室3相邻地设置,用于利用COR处理来去除被形成在晶圆W的表面上的氧化膜;以及控制部5,其向处理系统1的各部分发出控制命令。
输入输出部2具有输送室12,该输送室12在内部设有用于输送例如呈大致圆盘状的晶圆W的第一晶圆输送机构10。晶圆输送机构10具有用于大致水平地保持晶圆W的两个输送臂11a、11b。在输送室12的侧方设有例如3个载置台13,载置台13用于载置以排列多张晶圆W的方式收纳多张晶圆W的承载件13a。另外,设有定位器14,其以使晶圆W旋转的方式利用光学方法求出偏心量,以进行晶圆W的对位。
在上述输入输出部2中,晶圆W由输送臂11a、11b保持着并利用晶圆输送机构10的驱动而在大致水平面内进行旋转和直进移动,通过使晶圆输送机构10升降来将晶圆W输送至期望的位置。然后,通过使输送臂11a、11b分别相对于载置台13上的承载件13a、定位器14、加载互锁室3进行进退来输入输出晶圆W。
各加载互锁室3以在其与输送室12之间分别设有闸阀16的状态分别连结于输送室12。在各加载互锁室3内设有用于输送晶圆W的第二晶圆输送机构17。晶圆输送机构17具有用于大致水平地保持晶圆W的输送臂17a。另外,加载互锁室3能够进行抽真空。
在上述加载互锁室3中,晶圆W由输送臂17a保持着并借助晶圆输送机构17的驱动而在大致水平面内进行旋转和直进移动,通过使晶圆输送机构17升降来输送晶圆W。而且,通过使输送臂17a相对于纵向地连结于各加载互锁室3的COR处理装置4进退,能够使晶圆W相对于COR处理装置4输入输出。
COR处理装置4具有用于收纳晶圆W的密闭构造的处理室(处理空间)21。另外,设有用于相对于处理室21内对晶圆W进行输入输出的输入输出口35,用于开闭该输入输出口35的闸阀22设于加载互锁室3和COR处理装置4之间。处理室21分别以在其与加载互锁室3之间设有闸阀22的状态连结于加载互锁室3。
如图4所示,COR处理装置4具有密闭构造的腔室30,腔室30的内部成为用于收纳晶圆W的处理室(处理空间)21。在处理室21内,设有用于将晶圆W载置为大致水平状态的载置台31。另外,COR处理装置4具有用于向处理室21内供给各种气体的气体供给机构32和用于对处理室21内进行排气而使处理室21减压的排气机构33。
在腔室30的侧壁部设有用于相对于处理室21内对晶圆W进行输入输出的输入输出口35,该输入输出口35通过设于加载互锁室3和COR处理装置4之间的闸阀22进行开闭。
配置于处理室21内的载置台31为大致圆柱形并被固定在腔室30的底部。在载置台31的内部设有用于调节载置台31的温度的温度调节构件40。温度调节构件40具有供例如温度调节用的液体(例如水等)循环的管路,通过与在上述管路内流动的液体进行热交换来调节载置台31的上表面的温度,进而,通过在载置台31和载置台31上的晶圆W之间进行热交换来调节晶圆W的温度。此外,温度调节构件40并不限定于上述构件,其也可以为利用例如电阻热来加热载置台31和晶圆W的电加热器等。
在腔室30的顶部设有喷头45,该喷头45具有用于向处理室21内喷出各种气体的多个喷射口。气体供给机构32包括氟化氢气体的供给源46、氨气的供给源47、氩气的供给源48以及氮气的供给源49。氟化氢气体的供给源46和喷头45之间由氟化氢气体供给通路50连接起来。另外,在氟化氢气体供给通路50上安装有能够进行氟化氢气体供给通路50的开闭动作和调节氟化氢气体的供给流量的流量调整阀51。氨气的供给源47和喷头45之间由氨气供给通路52连接起来。另外,在氨气供给通路52上安装有能够进行氨气供给通路52的开闭动作和调节氨气的供给流量的流量调整阀53。氩气的供给源48和喷头45之间由氩气供给通路54连接起来。另外,在氩气供给通路54上安装有能够进行氩气供给通路54的开闭动作和调节氩气的供给流量的流量调整阀55。氮气的供给源49和喷头45之间由氮气供给通路56连接起来。另外,在氮气供给通路56上安装有能够进行氮气供给通路56的开闭动作和调节氮气的供给流量的流量调整阀57。
排气机构33具有与腔室30的底部相连接的排气路径60。在排气路径60上安装有用于对开闭阀61和处理室21内进行强制排气的排气泵62。
用于构成处理系统1的加载互锁室3、晶圆输送机构10、定位器14、闸阀16、22、晶圆输送机构17、载置台31的温度调节构件40、气体供给机构32的各流量调整阀51、53、55、57、排气机构33的开闭阀61以及排气泵62均由控制部5控制。控制部5是能够利用软件来执行任意的功能的典型的通用计算机。
如图3所示,控制部5包括:运算部5a,其具有CPU(中央运算装置);输入输出部5b,其与运算部5a相连接;以及记录介质5c,其插装于输入输出部5b,用于存储控制软件。在该记录介质5c中记录有被控制部5执行而使处理系统1进行后述的规定的基板处理方法的控制软件(程序)。控制部5通过执行该控制软件来进行控制,使得处理系统1的各功能元件实现由规定的工艺制程所定义的各种工艺条件(例如,处理室21的压力等)。即,如后面详细说明地那样,控制部5发出用于实现COR处理装置4中的各处理工序的控制命令。
记录介质5c既可以固定地设于控制部5中,也可以装卸自如地安装于被设置在控制部5上的未图示的读取装置而能够利用该读取装置进行读取。在最典型的实施方式中,记录介质5c是由处理系统1的制造商的售后服务人员(serviceman)安装的硬盘驱动器,在该硬盘驱动器中安装有控制软件。在其他的实施方式中,记录介质5c是写入有控制软件的CD-ROM或DVD-ROM那样的移动硬盘。
接下来,对上述那样构成的处理系统1中的晶圆W的处理进行说明。此外,根据COR处理来说明作为处理的一个例子,在COR处理中,使用含有氟化氢气体(HF)和氨气(NH3)的混合气体来去除如上述用图1的(c)说明那样的、以将形成于晶圆W的表面的槽105填埋的方式堆积后的新的氧化硅膜106。氧化硅膜106埋入到构成元件分离区域(STI)的槽105中,通过以下说明的COR处理来将新的氧化硅膜106蚀刻至期望的高度,从而使浮动栅极104的侧壁的局部107暴露。
在利用处理系统1进行处理之前,如图1的(c)所示,被埋入到形成于晶圆W表面的槽105中的新的氧化硅膜106的上表面具有与浮动栅极104的表面相同的高度。例如,在堆积氧化硅膜106后,进行CMP(Chemical Mechanical Polishing)处理,从而使氧化硅膜106的上表面具有与浮动栅极104的表面相同的高度。然后,将这样的氧化硅膜106的上表面具有与浮动栅极104相同高度的晶圆W收纳在承载件13a内并将其输送到处理系统1中。当然,埋入到各槽105中的各氧化硅膜106的高度也相同。因此,若能够准确地进行后续的COR处理,则通过COR处理而被暴露的浮动栅极104的侧壁的局部107的高度在晶圆W内都成为均一高度。
在处理系统1中,如图1所示,将收纳有多张晶圆W的承载件13a载置在载置台13上。然后,利用晶圆输送机构10从承载件13a取出第一张晶圆W并将其输入到加载互锁室3中。当第一张晶圆W输入到加载互锁室3时,加载互锁室3密闭并进行减压。之后,打开闸阀22,将加载互锁室3和相对于大气压进行减压后的COR处理装置4的处理室21互相连通。第一张晶圆W利用晶圆输送机构17从加载互锁室3输出,并通过输入输出口35而输入到COR处理装置4的处理室21内。
在COR处理装置4的处理室21内,晶圆W在以装置形成面为上表面的状态下(在使埋入到槽105中的氧化硅膜106朝上的状态下)从晶圆输送机构17的输送臂17a交接到载置台31。在将晶圆W输入后,输送臂17a从处理室21退出,安装于输入输出口35的闸阀22关闭,从而使处理室21密闭。
首先,在密闭处理室21之后,打开流量调整阀55、57,从氩气的供给源48和氮气的供给源49向处理室21内进行例如1分30秒的氩气和氮气的供给。另外,通过排气泵62的工作来使处理室21内为压力低于大气压的低压状态。
在该情况下,通过控制流量调整阀55、57,以例如200sccm的流量向处理室21内供给氩气,并以例如500sccm~1000sccm的流量向处理室21内供给氮气。另外,将处理室21内的压力减压至例如2000mTorr。另一方面,载置台31上的晶圆W的温度利用温度调节构件40而调节至规定的目标值(90℃以上)。
然后,在将载置台31上的晶圆W的温度调节至规定的目标值(90℃以上)之后,开始进行去除第一张晶圆W表面的Si系膜的去除工序S。在该去除工序S中,进行以下工序:第1工序S1,其中,向处理室21内供给氨气和氟化氢气体,使氧化硅膜106变质至反应生成物;以及第2工序S2,其中,与第1工序S1相比,将处理室21内减压并使反应生成物气化。即,首先,从氨气的供给源47向处理室21内供给例如10秒钟的氨气。在该情况下,通过控制流量调整阀53来向处理室21内以例如80sccm的流量供给氨气。另外,通过控制流量调整阀55、57来向处理室21内以例如140sccm的流量供给氩气并停止供给氮气。另外,通过排气泵62的工作来将处理室21内的压力减压至例如900mTorr。
之后,从氨气的供给源47向处理室21内持续供给氨气并从氟化氢气体的供给源46向处理室21供给氟化氢气体。由于在处理室21内已经预先供给有氨气,因此通过供给氟化氢气体来使处理室21的气氛成为由含有氟化氢气体和氨气的混合气体构成的处理气氛。通过如此向处理室21内的晶圆W的表面供给混合气体来进行使被埋入到晶圆W表面的槽105中的氧化硅膜106变质为反应生成物的第1工序S1。作为反应生成物,生成硅氟化铵、水分等。
此外,在该第1工序S1中,通过控制流量调整阀51、53来向处理室21内以例如80sccm的流量供给氟化氢气体并以例如80sccm的流量供给氨气。另外,通过控制流量调整阀55、57来向处理室21内以例如60sccm的流量供给氩气并停止供给氮气。另外,通过排气泵62的工作来将处理室21内的压力减压至例如900mTorr。另外,载置台31上的晶圆W的温度利用温度调节构件40而维持在规定的目标值(90℃以上)。此外,第1工序S1进行例如1分钟。
接下来,进行用于使在上述第1工序S1中变质为反应生成物后的氧化硅膜106气化的第2工序S2。在该第2工序S2中,与上述第1工序S1相比,通过使处理室21内减压来使变质为反应生成物后的氧化硅膜106气化。在该情况下,通过控制流量调整阀51、53、55、57来全部停止向处理室21内供给氟化氢气体、氨气、氩气以及氮气。另外,通过排气泵62的工作来将处理室21内的压力减压至例如0mTorr。另外,载置台31上的晶圆W的温度利用温度调节构件40而维持在规定的目标值(90℃以上)。此外,第2工序S2进行例如10秒钟。
但是,根据本发明者们的实验,在使氧化硅膜106变质为反应生成物的第1工序S1中,发现向反应生成物变质的变质速度随着时间的推移而变慢。并且,还发现,时间越长,反应生成物的变质量在晶圆W面内变得越不均匀。一般推测这是因为氧化硅膜106和反应气体(含有氟化氢气体和氨气的混合气体)间的反应因反应成生物的生长而受到阻碍。若反应生成物位于氧化硅膜106上,则氧化硅膜106和反应气体间的接触概率会在晶圆W面内发生变化。如上所述,由于氧化硅膜106的去除量决定浮动栅极和ONO绝缘膜间的接触面积,因此要求氧化硅膜106的去除量在晶圆W面内具有非常高的均匀性。
这里,本发明者想到了将第1工序S1分成多个并在利用第2工序S2使反应成生物预先气化后进行第2次及以后的第1工序S1。若进行上述设置,则由于生长后的反应成生物在对氧化硅膜106和反应气体间的反应产生较大的影响之间就被气化,因此也能够以在氧化硅膜106上没有反应成生物的状态来开始第2次及以后的第1工序S1。由于在氧化硅膜106上没有遮蔽物,因此在晶圆W的面内会均匀地进行反应。
但是,若如以往技术那样采用使基板(晶圆W)的温度升降那样的方法,则在进行第2次及以后的第1工序S1时,不得不将基板冷却至规定温度。在处理室内对温度已上升了一次的基板进行冷却会显著降低整个处理的速度。本发明的另一个特征在于:无论晶圆W的温度如何变化,通过使处理室21内的压力变化来进行第1工序S1和第2工序S2。即,由于第1工序S1和第2工序S2均是在将晶圆W的温度维持在规定的目标值(90℃以上)的情况下进行的,因此即使反复进行多次第1工序S1和第2工序S2,也不必冷却晶圆W。晶圆W被维持的温度为在第2工序S2的压力下使晶圆W上的反应成生物气化的温度。另一方面,将第1工序S1中的处理室21内维持在以下压力:使氧化硅膜106变质,反应成生物堆积在晶圆W上而没有气化。由于不必在晶圆W的温度处理室21内配置用于接收晶圆W的热的冷却构件,因此若将处理室21的内壁全部设计为达到规定的温度以上,则还能够防止沉淀物附着于处理室21的内壁。
如在后述的实施例中说明地那样,将在持续地进行了3分钟的第1工序S1后进行第2工序S2的情况和反复进行了3次如下操作即每进行1分钟的第1工序S1就进行第2工序S2的操作的情况相比较之后,得到了如下的结果。即,在持续地进行了3分钟的第1工序S1后进行第2工序S2的情况下,氧化硅膜106的去除量为17nm左右。与此相对,在反复进行了3次如下操作即每进行1分钟的第1工序S1就进行第2工序S2的操作的情况下,氧化硅膜106的去除量为30nm左右。
由此,在本发明的实施方式中,在去除被埋入到晶圆W表面的槽105中的氧化硅膜106时,如图5所示,反复进行两次以上的使氧化硅膜106变质为反应生成物的第1工序S1和使在第1工序S1中变质为反应生成物后的氧化硅膜106气化的第2工序S2。在该情况下,例如,在进行了1分钟的第1工序S1后,进行10秒钟的第2工序S2,接着又在进行了1分钟的第1工序S1后,进行10秒钟的第2工序S2。此外,在如此反复进行第1工序S1和第2工序S2的期间,载置台31上的晶圆W的温度利用温度调节构件40而维持在规定的目标值(90℃以上)。
在去除工序S中,通过如此交替地反复进行第1工序S1和第2工序S2并且进行两次以上,从而将埋入到晶圆W表面的槽105中的氧化硅膜106去除至期望的深度。然后,在完成去除工序S之后,进行强制地排出处理室21内的气体的排气处理工序T。在该排气处理工序T中,交替地进行向处理室21内供给非活性气体氟化氢气体、氨气的第3工序T1和对处理室21内进行排气的第4工序T2并且进行两次以上。
首先,在第3工序T1中,利用控制流量调整阀51、53来停止对处理室21内供给氟化氢气体、氨气。然后,通过排气泵62的工作来使处理室21内减压,并通过控制流量调整阀55、57来向处理室21内供给氩气、氮气。
在该第3工序T1中,用排气泵62使处理室21内减压,并通过控制流量调整阀55、57来向处理室21内以例如1000sccm的流量供给氩气和以例如1000sccm的流量供给氮气。由此,使处理室21内的压力升压至例如上述流量时的基准压力(basepressure)。并且,该第3工序进行例如3秒钟。
然后,当完成第3工序T1时,进行对处理室21内排气的第4工序T2。在该第4工序T2中,通过控制流量调整阀51、53、55、57,从而全部停止向处理室21内供给氟化氢气体、氨气、氩气、氮气。另外,通过排气泵62的工作来将处理室21内的压力减压至例如0mTorr。此外,用于如此排出处理室21内的气体的工序进行例如5秒钟。
然后,交替地进行上述第3工序T1和第4工序T2并且进行两次以上。通过如此交替地进行两次以上的第3工序T1和第4工序T2,从而完成用于对处理室21内进行强制排气的排气处理工序T。
然后,当完成排气处理工序T时,闸阀22打开而使输入输出口35开口,第一张晶圆W利用晶圆输送机构17而从处理室21输出并返回到加载互锁室3。通过上述工序,完成对第一张晶圆W进行的一连串的COR处理,对于将埋入到槽105中的氧化硅膜106去除至期望的深度之后的第一张晶圆W,利用晶圆输送机构10从加载互锁室3输出该第一张晶圆W并使其返回到承载件13a中。
接下来,利用晶圆输送机构10从承载件13a取出第二张晶圆W,并将其输入加载互锁室3中。当第二张晶圆W输入到加载互锁室3时,加载互锁室3密闭并进行减压。之后,打开闸阀22,将加载互锁室3和相对于大气压进行减压后的COR处理装置4的处理室21互相连通。第二张晶圆W利用晶圆输送机构17从加载互锁室3输出,并通过输入输出口35而输入到COR处理装置4的处理室21内。
之后,通过同样进行由图5说明的工序来完成对第二张晶圆W进行的一连串的COR处理,对于将埋入到槽105中的氧化硅膜106去除至期望的深度之后的第二张晶圆W,利用晶圆输送机构10从加载互锁室3输出该第二张晶圆W并使其返回到承载件13a中。下面,同样地,在同一处理室21内,对多张晶圆W反复进行一连串的COR处理。
采用上述处理系统1,通过反复进行两次以上的使埋入到晶圆W表面的槽105中的氧化硅膜106变质为反应生成物的第1工序S1和使反应生成物气化的第2工序S2来去除被埋入到晶圆W表面的槽105中的氧化硅膜106,能够以较高的去除率将氧化硅膜106去除至期望的深度,从而提高生产率。另外,能够将埋入到各槽105中的氧化硅膜106去除至均一深度。将氧化硅膜106去除至均一深度,结果会使由槽105分离的各元件(NAND型闪存)中的写入电压变得均匀,从而使可靠性提高。并且,由于在同一处理室21内进行第1工序S1和第2工序S2,因此使COR处理装置4和处理系统1小型化,占用面积(footprint)也变小,从而使处理时间缩短并提高生产能力。另外,由于COR处理易于控制且对氧化膜以外的膜影响较少,因此能够选择性地高精度去除被埋入到各槽105中的氧化硅膜106。并且,由于以相同的温度进行第1工序S1和第2工序S2,因此能够省略使晶圆W的温度变化的时间,从而提高生产率。
另外,如用图5说明的处理方法那样,在排气处理工序T中,通过交替地进行向处理室21内供给非活性气体的第3工序T1和对处理室21内进行排气的第4工序T2并且进行两次以上,从而能够从处理室21内短时间且高效地去除因COR处理而产生的反应生成物(硅氟化铵、水分等)。在排气处理工序T中的第3工序T1和第4工序T2中,由于在反应成生物能够气化的压力带内进行压力变动,因此即能够使晶圆W上的反应成生物气化,还能够高效地进行处理室21内的排气,从而能够同时实现两个目的。由于排气处理工序T具有与第2工序S2同等的作用,因此,如图5所示,在以第1工序S1完成去除工序S后,能够接着进行排气处理工序T。另外,在将处理完成后的晶圆W排出后,由于不必在另外的腔室内进行排气处理,因此能够迅速地对接下来的晶圆W进行处理。若在同一处理室21内对几张晶圆W进行处理,则会担心在处理室21内滞留有微粒,但是,在本申请发明中,由于每进行1张晶圆W的处理就进行排气处理工序T,因此即使处理几张晶圆W也不会产生问题。通过从处理室21内有效地去除上述反应生成物,能够避免产生微粒。另外,由于以短时间完成排气处理工序T,因此处理时间变短,使生产率(生产能力)提高。
此外,在反复进行两次以上的第1工序S1和第2工序S2的情况下,在第1工序S1彼此之间进行的第2工序S2中,通过将处理室21内减压,能够从处理室21内去除气化后的反应生成物。若该第2工序S2的时间过短,则反应生成物无法完全升华而会残留于氧化硅膜106的表面,这会使接下来进行的第1工序S1中的变质为反应生成物的氧化硅膜106的变质量变少,结果会引起蚀刻量的降低。
另一方面,若在第1工序S1彼此之间进行的第2工序S2的时间过长,则在接下来进行的第1工序S1中,需要花费时间使含有氟化氢气体和氨气的混合气体吸附于氧化硅膜106,这会使距反应开始的时间变长。其结果,会使蚀刻形状出现偏差。为了将氧化硅膜106有效且均匀地变质为反应生成物,在第1工序S1彼此之间进行的第2工序S2中,优选不从处理室21内完全去除含有氟化氢气体和氨气的混合气体,而使含有氟化氢气体和氨气的混合气体略微残存在处理室21内。
但是,若通过如上所述那样在第1工序S1彼此之间进行的第2工序S2中使含有氟化氢气体和氨气的混合气体残留在处理室21内,则反应性生物易于堆积在处理室21内、排气路径60等处,这有可能成为微粒源。这样的问题在晶圆W的处理张数增加时表现得明显。
然而,采用本发明,对于在反复进行第1工序S1和第2工序S2期间残留在处理室21内、排气路径60等处的混合气体、反应生成物,能够在之后进行的排气处理工序T中短时间且有效地去除上述混合气体、反应生成物。其结果,能够实施无偏差的蚀刻,而不会使生产率(生产能力)降低。于是,在反复进行两次以上的第1工序S1和第2工序S2的情况下,本发明中的排气处理工序T成为尤其有用的技术。
此外,在反复进行两次以上的第1工序S1和第2工序S2的情况下,在第1工序S1彼此之间进行的第2工序S2中,最好不要进行在排气处理工序T中进行的那样的、向处理室21内供给非活性气体的第3工序T1。即,如上述那样,为了有效且均匀地使氧化硅膜106变质为反应生成物,优选以含有氟化氢气体和氨气的混合气体略微残留在处理室21内的状态来完成在第1工序S1彼此之间进行的第2工序S2。若在第1工序S1彼此之间进行的第2工序S2中向处理室21内供给非活性气体,则会从处理室21内完全去除含有氟化氢气体和氨气的混合气体,从而会担心蚀刻形状出现偏差。因此,在第1工序S1彼此之间进行的第2工序S2中,优选不进行向处理室21内供给非活性气体的第3工序T1,而仅在最后的第2工序S2的完成后进行的排气处理工序T中交替地进行第3工序T1和第4工序T2。
本发明以使闪存的浮动栅极的侧壁暴露的工艺为实施例进行了说明,但是,例如,即使是可能在下一代装置中采用的FIN型FET的制造工艺中,也可以实施本发明。这能够使具有均一高度的元件膜从氧化硅膜的层准确地突出。伴随着半导体的微细化的进展,今后越发要求在晶体管等元件周边处进行非常准确的处理。由于本发明能够在不对多晶硅等元件膜不产生损伤的情况下以短时间对氧化硅膜进行准确的蚀刻,因此其技术的意义非常大。
以上,说明了本发明的优选实施方式,但本发明并不限定于上述例子。只要是本领域的技术人员,则显然能够在权利要求书中记载的技术的思想的范围内想到各种变更例或修正例,也当然了解上述变更例或修正例属于本发明的保护范围。例如,只要反复进行两次以上的第1工序S1和第2工序S2即可,反复的次数可以为任意次。另外,只要反复进行两次以上的第3工序T1和第4工序T2即可,反复的次数既可以为任意次,也可以在第3工序T1和第4工序T2之间供给某些气体。
此外,向处理室21供给的气体的种类并不限定于以上实施方式所示的组合。例如,向处理室21供给的非活性气体也可以仅为氩气。另外,上述非活性气体既可以为其他非活性气体、例如氦气(He)、氙气(Xe)中的任意一种气体,也可以为将氩气、氮气、氦气、氙气中的两种以上的气体混合后的气体。
处理系统1的构造并不限定于以上实施方式所示的构造。例如,除了COR处理装置4之外,处理系统1也可以为具有成膜装置的处理系统。另外,在处理系统1中进行处理的基板的构造并不限于在以上实施方式中说明的构造。并且,在处理系统1中实施的氧化膜的去除方法并不限定于实施方式所示那样的将埋入到槽100中的氧化硅膜106去除的方法,本发明能够适用于各种Si系膜的去除方法。
在处理系统1中,作为去除对象的氧化硅膜,其也可以为例如自然氧化膜、BPSG膜、HDP-SO2膜等各种氧化硅膜。在该情况下,能够根据氧化硅膜的种类来对COR处理中的晶圆W的温度、混合气体中的氟化氢气体的分压等进行调节,从而能够对反应生成物达到饱和状态时的深度、蚀刻量等进行控制。另外,本发明并不限于COR处理,也可以适用于向处理室内供给含有卤族元素的气体和碱性气体的混合气体而去除基板表面的SiN膜的化学去除处理。
实施例
实施例1
首先,调查了利用COR处理由氧化硅膜生成作为反应生成物的硅氟化铵((NH42SiF6)的升华温度。在N2气氛的大气压下,加热硅氟化铵,调查了重量变化,从而得到了图6的结果。发现若为大约90℃以上,则会使硅氟化铵升华。
接下来,对反复进行将氧化硅膜变质为反应生成物的第1工序和使在第1工序中变质为反应生成物后的氧化硅膜气化的第2工序的情况下的效果进行调查。将其结果表示在图7中。在本发明例中,在进行了1分钟的使氧化硅膜变质为反应生成物的第1工序后,进行10秒钟的使在第1工序中变质为反应生成物后的氧化硅膜气化的第2工序,反复进行3次上述第1工序(1分钟)和第2工序(10秒钟)。另一方面,在比较例中,在持续地进行3分钟的使氧化硅膜变质为反应生成物的第1工序后,进行了使变质为反应生成物后的氧化硅膜气化的第2工序。此外,在为本发明例和比较例的任意一个例子的情况下,基板的温度均为120℃。在持续进行了3分钟的第1工序的比较例中,出现了向反应生成物的变质随着时间的推移而饱和且变质速度随着时间的推移而变慢的现象。与此相对,在本发明例中,向反应生成物变质的变质速度没有随着时间的推移而变慢。
接下来,如上述用图1的(c)说明地那样,使用在槽105中埋入有氧化硅膜106的晶圆W,根据本发明例和比较例来进行了COR处理。在本发明例中,根据图8(表1)所示的步骤1~步骤10进行了COR处理,在比较例中,根据图9(表2)所示的步骤1~步骤7进行了COR处理。此外,在本发明例和比较例的任意一个例子中,晶圆W的温度均为120℃。
其结果,在反复进行了两次第1工序和第2工序的本发明例中,如图10所示,均将各槽105内的氧化硅膜106均匀地去除至大约80nm左右的深度h。与此相对,在持续进行了2分钟的第1工序后进行了第2工序的比较例中,如图11所示,氧化硅膜106的去除深度h在各槽105中变得不均匀,去除后的氧化硅膜106的表面形状也变得混乱。
实施例2、3
接下来,交替地反复进行了7次第1工序和第2工序,之后,以各种方法进行了排气处理工序而强制地排出处理室内的气体。将其结果表示在图12中。
在图12中,横轴表示晶圆的处理张数(张),纵轴表示直径在0.06μm以上的微粒数(个)。另外,图12中的各线A1~线A5(比较例1~比较例5)和各线B1、B2(实施例2、3)的条件如下所示。
比较例1(A1)
每处理1张晶圆,就对处理室内进行30秒排气而将其减压至0mTorr。
比较例2(A2)
每处理5张晶圆,就向处理室内以1000sccm供给氩气和以1000sccm供给氮气并进行30秒钟的吹扫。
比较例3(A3)
每处理1张晶圆,就向处理室内以1000sccm供给氩气和以1000sccm供给氮气并进行5分钟的吹扫。
比较例4(A4)
每处理1张晶圆,就向处理室内均以1000sccm供给氩气和氮气并对处理室内进行5秒的排气而将其减压至0mTorr。
比较例5(A5)
每处理1张晶圆,就向处理室内均以100sccm供给氩气和氮气并对处理室内进行5秒的排气而将其减压至0mTorr。
实施例2(B1)
每处理1张晶圆,就向处理室内以1000sccm供给氩气和以1000sccm供给氮气,并交替地反复进行了10次的、15秒钟的将处理室内保持在0mTorr的第3工序和10秒钟的将处理室21内的压力减压至0mTorr的第4工序。
实施例3(B2)
每处理1张晶圆,就向处理室内以1000sccm供给氩气和以1000sccm供给氮气,并交替地反复进行了10次的、3秒钟的将处理室内保持在0mTorr的第3工序和5秒钟的将处理室21内的压力减压至0mTorr的第4工序。
在比较例1、2、4、5(A1、A2、A4、A5)中,当处理张数增加时,均产生了微粒。另外,在比较例3(A3)中,虽然减少了微粒,但要进行5分钟的排气处理工序,从而降低了生产率(生产能力)。
另一方面,在反复进行了第3工序和第4工序的本发明的实施例2、3中,在任意一个实施例的情况下,即使处理张数增加,也没有发现产生微粒。另外,能够如实施例3那样反复进行10次的、3秒钟的第3工序和5秒钟的第4工序而将排气处理工序的处理时间缩短至80秒。此外,在另外的实验中,可知:即使反复进行6次的、两秒钟的第3工序和3秒钟的第4工序而将排气处理工序的处理时间缩短至30秒,也能够避免产生微粒。由上述结果可知,采用本发明,在排气处理工序中,通过交替地反复进行向处理室内供给非活性气体的第3工序和对处理室内进行排气的第4工序,能够满足避免微粒和缩短处理时间这两方面的要求。
产业上的可利用性
本发明能够适用于利用化学去除处理去除基板表面的Si系膜的技术。
附图标记说明
W、晶圆;1、处理系统;2、输入输出部;3、加载互锁室;4、COR处理装置;5、控制部;5a、运算部;5b、输入输出部;5c、记录介质;10、第一晶圆输送机构;12、输送室;11a、11b、输送臂;13、载置台;13a、承载件;14、定位器;16、闸阀;17、第二晶圆输送机构;17a、输送臂;21、处理室;30、腔室;31、载置台;32、气体供给机构;33、排气机构;35、输入输出口;40、温度调节构件;45、喷头;46、氟化氢气体的供给源;47、氨气的供给源;48、氩气的供给源;49、氮气的供给源;51、53、55、57、流量调整阀;60、排气路径;61、开闭阀;62、排气泵;100、单晶硅基板;101、氧化硅膜;102、多晶硅膜;103、栅极绝缘膜;104、浮动栅极;105、槽;106、氧化硅膜;107、侧壁的局部;108、ONO绝缘膜;109、多晶硅膜(控制栅)。

Claims (16)

1.一种用于将收纳于处理室内的基板表面的Si系膜去除的基板处理方法,其特征在于,该基板处理方法进行以下工序:
第1工序,其中,在上述处理室内,利用含有卤族元素的气体和碱性气体来使基板表面的Si系膜变质为反应生成物;以及
第2工序,其中,在与上述第1工序相比减压后的上述处理室内使上述反应生成物气化,
上述第1工序和上述第2工序反复进行两次以上。
2.根据权利要求1所述的基板处理方法,其特征在于,
在上述第1工序和上述第2工序中,基板的温度为上述反应生成物开始升华的温度以上。
3.根据权利要求2所述的基板处理方法,其特征在于,
在上述第1工序和上述第2工序中,基板的温度为90℃以上。
4.根据权利要求2所述的基板处理方法,其特征在于,
在上述第1工序和上述第2工序中,基板的温度不发生变化。
5.根据权利要求1所述的基板处理方法,其特征在于,
通过去除基板表面的Si系膜来使基板表面上的没有变质为反应生成物的膜突出。
6.根据权利要求5所述的基板处理方法,其特征在于,
上述Si系膜是氧化硅膜,没有变质为上述反应生成物的膜是硅膜。
7.根据权利要求1所述的基板处理方法,其特征在于,
该基板处理方法具有去除工序,该去除工序是交替地进行上述第1工序和上述第2工序并且进行两次以上,
在上述处理室内多次反复进行上述去除工序,并且,在上述去除工序和上述去除工序之间进行从上述处理室内排出上述反应生成物的排气处理工序,
在上述排气处理工序中,交替地向上述处理室内供给非活性气体的第3工序和对上述处理室内进行排气的第4工序并且进行两次以上。
8.根据权利要求7所述的基板处理方法,其特征在于,
在上述第3工序和上述第4工序中,上述处理室内处于能够使上述反应生成物气化的压力。
9.根据权利要求7所述的基板处理方法,其特征在于,
在去除基板表面的Si系膜的基板处理的最后进行上述排气处理工序。
10.一种用于将收纳于处理室内的基板表面的Si系膜去除的基板处理装置,其特征在于,该基板处理装置包括:
气体供给机构,其用于向上述处理室内供给含有卤族元素的气体和碱性气体;温度调节构件,其用于对收纳于上述处理室内的基板进行温度调节;排气机构,其用于对上述处理室内进行排气;以及控制部,其用于控制上述气体供给机构、温度调节构件以及排气机构,
在上述控制部的控制下来进行以下工序:第1工序,其中,在上述处理室内,利用含有卤族元素的气体和碱性气体来使基板表面的Si系膜变质为反应生成物;以及第2工序,其中,在与上述第1工序相比减压后的上述处理室内使上述反应生成物气化,
反复进行两次以上的上述第1工序和上述第2工序。
11.根据权利要求10所述的基板处理装置,其特征在于,
在上述第1工序和上述第2工序中,基板的温度为上述反应生成物开始升华的温度以上。
12.根据权利要求11所述的基板处理装置,其特征在于,
在上述第1工序和上述第2工序中,基板的温度为90℃以上。
13.根据权利要求11所述的基板处理装置,其特征在于,
在上述第1工序和上述第2工序中,基板的温度不发生变化。
14.根据权利要求10所述的基板处理装置,其特征在于,
在上述控制部的控制下来进行去除工序,该去除工序是交替地进行上述第1工序和上述第2工序并且进行两次以上,
在上述处理室内多次反复进行上述去除工序,并且,在上述去除工序与上述去除工序之间进行从上述处理室内排出上述反应生成物的排气处理工序,
在上述排气处理工序中,交替地进行向上述处理室内供给非活性气体的第3工序和对上述处理室内进行排气的第4工序并且进行两次以上。
15.根据权利要求14所述的基板处理装置,其特征在于,
在上述第3工序和上述第4工序中,在上述控制部的控制下来使上述处理室内处于能够使上述反应生成物气化的压力。
16.根据权利要求14所述的基板处理装置,其特征在于,
在上述控制部的控制下而在去除基板表面的Si系膜的基板处理的最后进行上述排气处理工序。
CN201180037820.2A 2010-08-03 2011-08-02 基板处理方法和基板处理装置 Active CN103081071B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2010174514 2010-08-03
JP2010-174514 2010-08-03
JP2010284461 2010-12-21
JP2010-284461 2010-12-21
PCT/JP2011/067670 WO2012018010A1 (ja) 2010-08-03 2011-08-02 基板処理方法および基板処理装置

Publications (2)

Publication Number Publication Date
CN103081071A CN103081071A (zh) 2013-05-01
CN103081071B true CN103081071B (zh) 2015-09-30

Family

ID=45559507

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180037820.2A Active CN103081071B (zh) 2010-08-03 2011-08-02 基板处理方法和基板处理装置

Country Status (6)

Country Link
US (1) US8956546B2 (zh)
JP (1) JP5809144B2 (zh)
KR (2) KR101882531B1 (zh)
CN (1) CN103081071B (zh)
TW (1) TWI436421B (zh)
WO (1) WO2012018010A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6405958B2 (ja) * 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
JP6435667B2 (ja) * 2014-07-01 2018-12-12 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
JP6568769B2 (ja) * 2015-02-16 2019-08-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10622205B2 (en) 2015-02-16 2020-04-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
JP6523119B2 (ja) * 2015-09-28 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6643045B2 (ja) * 2015-11-05 2020-02-12 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6692202B2 (ja) * 2016-04-08 2020-05-13 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7415594B2 (ja) * 2020-01-24 2024-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
TW202310038A (zh) * 2021-05-31 2023-03-01 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1985221A (zh) * 2004-07-14 2007-06-20 东京毅力科创株式会社 基于公式的制程间控制
JP2008010619A (ja) * 2006-06-29 2008-01-17 Tokyo Electron Ltd エッチング方法及び記録媒体
CN101471281A (zh) * 2007-12-28 2009-07-01 海力士半导体有限公司 形成半导体存储器件隔离层的方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7079760B2 (en) * 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
KR101100466B1 (ko) * 2005-12-22 2011-12-29 도쿄엘렉트론가부시키가이샤 기판 처리 장치
US20080045030A1 (en) * 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
JP5084250B2 (ja) 2006-12-26 2012-11-28 東京エレクトロン株式会社 ガス処理装置およびガス処理方法ならびに記憶媒体
JP4949091B2 (ja) 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
JP4553049B2 (ja) * 2008-02-29 2010-09-29 エルピーダメモリ株式会社 半導体装置の製造方法
JP5352103B2 (ja) * 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
JP2010109335A (ja) * 2008-09-30 2010-05-13 Tokyo Electron Ltd シリコン酸化膜の除去方法及び処理装置
JP4968861B2 (ja) * 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1985221A (zh) * 2004-07-14 2007-06-20 东京毅力科创株式会社 基于公式的制程间控制
JP2008010619A (ja) * 2006-06-29 2008-01-17 Tokyo Electron Ltd エッチング方法及び記録媒体
CN101471281A (zh) * 2007-12-28 2009-07-01 海力士半导体有限公司 形成半导体存储器件隔离层的方法

Also Published As

Publication number Publication date
TWI436421B (zh) 2014-05-01
JP5809144B2 (ja) 2015-11-10
KR101882531B1 (ko) 2018-07-26
WO2012018010A1 (ja) 2012-02-09
KR20160118387A (ko) 2016-10-11
TW201225177A (en) 2012-06-16
CN103081071A (zh) 2013-05-01
US8956546B2 (en) 2015-02-17
US20130130499A1 (en) 2013-05-23
KR20130135233A (ko) 2013-12-10
JPWO2012018010A1 (ja) 2013-10-03

Similar Documents

Publication Publication Date Title
CN103081071B (zh) 基板处理方法和基板处理装置
KR101165970B1 (ko) 기판의 에칭 방법 및 시스템
JP4890025B2 (ja) エッチング方法及び記録媒体
CN100521105C (zh) 等离子体蚀刻方法
US20160218012A1 (en) Method of forming fine pattern, method of manufacturing semiconductor device, substrate processing apparatus and recording medium
WO2015115002A1 (ja) 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
TWI555059B (zh) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
KR101678266B1 (ko) 반도체 장치의 제조 방법 및 제조 장치
US8575716B2 (en) Integrated circuit devices and methods of forming memory array and peripheral circuitry isolation
TWI457990B (zh) A manufacturing method of a capacitor electrode, a manufacturing system, and a recording medium
JP2009094307A (ja) エッチング方法及び記録媒体
KR101725711B1 (ko) 에칭 방법 및 기록 매체
CN100449709C (zh) 基板处理方法、清洗方法、电子设备的制造方法和程序
KR102581739B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP5069982B2 (ja) 半導体装置の製造方法および半導体装置
KR20050118752A (ko) 불휘발성 메모리 장치의 게이트 구조물 형성 방법 및 이를수행하기 위한 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant