KR101882531B1 - 기판 처리 방법 및 기판 처리 장치 - Google Patents

기판 처리 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR101882531B1
KR101882531B1 KR1020137004141A KR20137004141A KR101882531B1 KR 101882531 B1 KR101882531 B1 KR 101882531B1 KR 1020137004141 A KR1020137004141 A KR 1020137004141A KR 20137004141 A KR20137004141 A KR 20137004141A KR 101882531 B1 KR101882531 B1 KR 101882531B1
Authority
KR
South Korea
Prior art keywords
substrate
reaction product
chamber
processing
gas
Prior art date
Application number
KR1020137004141A
Other languages
English (en)
Other versions
KR20130135233A (ko
Inventor
하지메 우가진
시게키 도자와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20130135233A publication Critical patent/KR20130135233A/ko
Application granted granted Critical
Publication of KR101882531B1 publication Critical patent/KR101882531B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region

Abstract

본 발명은 화학적 제거 처리에 의해서 Si계 막을 효과적으로 제거한다. 처리실(21)내에 수납한 기판 표면의 Si계 막을 제거하는 기판 처리 방법은, 처리실(21)내에서, 할로겐 원소를 포함하는 가스와 염기성 가스에 의해, 기판 표면의 Si계 막을 반응 생성물로 변질시키는 제 1 공정 S1과, 상기 처리실(21)내를 제 1 공정 S1보다 감압하여, 상기 반응 생성물을 기화시키는 제 2 공정 S2을 2회 이상 반복하는 것을 포함한다. 이것에 의해, Si계 막의 제거 레이트가 높아지고, 생산성이 향상한다.

Description

기판 처리 방법 및 기판 처리 장치{SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING DEVICE}
본 발명은 기판 표면의 Si계 막을 화학 처리 및 열 처리에 의해서 제거하는 기판 처리 방법 및 장치에 관한 것이다.
예를 들면, NAND형 플래시 메모리의 제조 프로세스에서는 반도체 웨이퍼(이하,「웨이퍼」라 함) W의 표면에 존재하는 실리콘 산화막(SiO2막)이나 질화 실리콘막(SiN막)과 같은 Si계 막을 에칭에 의해 제거하는 공정이 실행된다. 도 1 및 2는 NAND형 플래시 메모리의 제조 프로세스의 일부를 나타낸다. 우선, 도 1a에 나타내는 바와 같이, 단결정 실리콘 기판(100)상에, 실리콘 산화막(101)이 열산화법으로 형성되고, 그 위에, LPCVD법 등에 의해 폴리실리콘 막(102)이 적층된다.
다음에, 도 1b에 나타내는 바와 같이, 일반적으로 알려진 이방성 에칭 기술이 연속적으로 실행되어, 폴리실리콘막(102), 실리콘 산화막(101), 단결정 실리콘 기판(100)의 일부가 에칭되는 것에 의해, 홈(105)이 형성되어 개개의 소자로 분리된다. 이와 같이 이방성 에칭에 의해 개개의 소자로 분리되는 것에 의해, 상기 실리콘 산화막(101)은 각 소자의 게이트 절연막(103)으로 되고, 폴리실리콘막(102)은 각 소자의 플로팅 게이트(104)로 된다. 또, 단결정 실리콘 기판(100)에 형성된 홈(105)은 소자 분리 영역(STI)으로 된다.
그리고, 도 1c에 나타내는 바와 같이, 상기 홈(105)과 각 소자의 사이를 메우도록, LPCVD법 등의 방법으로 새로운 실리콘 산화막(106)이 퇴적된다. 다음에, 상기 퇴적된 실리콘 산화막(106)이 에칭되어, 도 2a에 나타내는 바와 같은 플로팅 게이트(104)의 측벽의 일부(107)가 노출되는 구조가 형성된다. 그 후, 도 2b에 나타내는 바와 같이, ONO 절연막(108)과 폴리실리콘막(컨트롤 게이트)(109)이 적층된다. 플로팅 게이트(104)의 측벽의 일부(107)가 노출되어 있는 상태에서 ONO 절연막(108)이 적층된 것에 의해, 플로팅 게이트(104)와 ONO 절연막(108)의 접촉 면적이 커져, 메모리 셀의 기입시에 컨트롤 게이트(폴리실리콘막(109))에 인가되는 기입 전압을 낮게 설정할 수 있다. 여기서, 실리콘 산화막(106)을 에칭하는 방법으로서는 약액을 이용하는 습식 에칭이나, 반응성 가스 플라즈마를 이용한 플라즈마 에칭 등이 일반적으로 알려져 있다.
도 2a에 나타내는 바와 같은 플로팅 게이트(104)의 측벽의 일부(107)를 노출시키는 공정에서는 새로이 퇴적된 실리콘 산화막(106)의 에칭량이 플로팅 게이트(104)의 노출된 측벽의 일부(107)의 높이를 결정하기 때문에, 에칭량에 대해 매우 정밀도 높은 제어가 요구된다. 각 소자에서 실리콘 산화막(106)의 에칭량이 설계한 값과 다르면, 플로팅 게이트(104)와 ONO 절연막(108)의 접촉 면적이 다르게 되어 버리고, 그 결과, 각 소자의 신뢰성이 저하하게 되어 버린다.
그러나, 습식 에칭은 에칭 레이트가 높아 제어가 어렵다. 또한, 플라즈마 에칭은 Si계 막 이외의 막에 대한 영향이 크다고 하는 문제가 있다. 이 때문에, 웨이퍼 표면의 Si계 막을 정밀도 높게 선택적으로 제거하는 방법으로서, Si계 막을 화학적으로 제거하는 화학적 제거 처리가 알려져 있다(특허문헌 1, 2 참조). 이 화학적 제거 처리는 처리실내에 할로겐 원소를 포함하는 가스와 염기성 가스의 혼합 가스를 공급하여, Si계 막을 플루오로규산암모늄을 주로 하는 반응 생성물로 변질시키고, 그 반응 생성물을 기화(승화)시키는 것에 의해, 웨이퍼로부터 제거하는 것이다. 이 경우, 할로겐 원소를 포함하는 가스로서, 예를 들면, 불화 수소 가스(HF)가 이용되고, 염기성 가스로서, 예를 들면, 암모니아 가스(NH3)가 이용된다.
일본 특허 공개 공보 제 2008-160000 호 일본 특허 공개 공보 제 2008-235311 호
이러한 화학적 제거 처리는 습식 에칭 처리에 비해, 제거 레이트가 낮으므로 제어가 용이하다는 이점이 있다. 또한, 플라즈마 에칭 처리에 비해, Si계 막 이외의 막에 대한 영향이 적다는 이점이 있다. 그 반면, 화학적 제거 처리는 Si계 막의 제거 레이트가 낮아서, 생산성을 올리는 것이 어렵다.
그런데, 산화물을 화학적으로 제거하는 COR(Chemical Oxide Removal) 처리를 실행하는 장치로서는, 특허문헌 1에 나타나는 바와 같이, 웨이퍼 표면의 산화막을 반응 생성물로 변질시키는 공정을 비교적 저온에서 실행하는 화학적 처리실과, 반응 생성물을 가열, 승화시켜 웨이퍼로부터 제거하는 공정을 비교적 고온에서 실행하는 열 처리실을 구비한 것이 일반적으로 알려져 있다. 그러나, 이러한 화학적 처리실과 열 처리실을 별도로 구비한 처리 장치는 처리실의 수가 증가하므로, 장치가 대형화되기 쉽다. 또한, 화학적 처리실과 열 처리실이 별도이면, 양자 사이에 기판을 반송하는 반송 기구가 필요하게 되며, 반송 시간도 필요로 한다.
한편, 특허문헌 2에 나타나는 바와 같이, 동일한 처리실내에서, 우선, 저온에서 웨이퍼 표면의 산화막을 반응 생성물로 변질시키는 공정을 실행한 후, 고온에서 반응 생성물을 가열 및 승화시켜 웨이퍼로부터 제거하는 공정을 실행하는 기판 처리 장치도 제안되어 있다. 그러나, 동일한 처리실내라도, 웨이퍼의 온도를 변화시키는데 시간이 걸리며, 생산성을 올리기 어렵다고 하는 난점이 있다.
본 발명은 상기의 점을 감안해서 이루어진 것으로서, 화학적 제거 처리에 의해서 Si계 막을 효과적으로 제거하는 것을 목적으로 한다.
상기 과제를 해결하기 위해, 본 발명에 의하면, 처리실내에 수납한 기판 표면의 Si계 막을 제거하는 기판 처리 방법으로서, 상기 처리실내에서, 할로겐 원소를 포함하는 가스와 염기성 가스에 의해, 기판 표면의 Si계 막을 반응 생성물로 변질시키는 제 1 공정과, 상기 처리실내를 상기 제 1 공정보다 감압하여, 상기 반응 생성물을 기화시키는 제 2 공정이 실행되고, 상기 제 1 공정과 상기 제 2 공정이 2회 이상 반복되는 것을 특징으로 하는 기판 처리 방법이 제공된다.
또한, 본 발명에 의하면, 처리실내에 수납한 기판 표면의 Si계 막을 제거하는 기판 처리 장치로서, 상기 처리실내에 할로겐 원소를 포함하는 가스와 염기성 가스를 공급하는 가스 공급 기구와, 상기 처리실내에 수납한 기판을 온도 조절하는 온도 조절 부재와, 상기 처리실내를 배기하는 배기 기구와, 이들 가스 공급 기구, 온도 조절 부재 및 배기 기구를 제어하는 제어부를 갖고, 상기 제어부의 제어에 의해, 상기 처리실내에서, 할로겐 원소를 포함하는 가스와 염기성 가스에 의해, 기판 표면의 Si계 막을 반응 생성물로 변질시키는 제 1 공정과, 상기 처리실내를 상기 제 1 공정보다 감압하여, 상기 반응 생성물을 기화시키는 제 2 공정이 실행되고, 상기 제 1 공정과 상기 제 2 공정이 2회 이상 반복되는 것을 특징으로 하는 기판 처리 장치가 제공된다.
본 발명에 따르면, 처리실내에서, 할로겐 원소를 포함하는 가스와 염기성 가스에 의해, 기판 표면의 Si계 막을 반응 생성물로 변질시키는 제 1 공정과, 감압된 상기 처리실내에서, 상기 반응 생성물을 기화시키는 제 2 공정이 2회 이상 반복되는 것에 의해, Si계 막의 제거 레이트가 높아지고, 생산성이 향상한다. 동일한 처리실내에서 기판 표면의 Si계 막을 제거할 수 있으므로, 기판 처리 장치가 소형으로 되고, 처리 시간도 단축할 수 있으며, 스루풋을 향상시킬 수 있다. 또한, 화학적 제거 처리는 제어가 용이하고, Si계 막 이외의 막에 대한 영향이 적으므로, 기판 표면의 Si계 막을 선택적으로 정밀도 좋게 제거하는 것이 가능해진다.
도 1a 내지 1c는 NAND형 플래시 메모리의 제조 프로세스의 일부의 설명도로서, 1a는 단결정 실리콘 기판상에 실리콘 산화막과 폴리실리콘막이 적층된 상태를 나타내고, 1b는 개개의 소자로 분리된 상태를 나타내며, 1c는 실리콘 산화막이 퇴적된 상태를 나타내는 도면,
도 2a 및 2b는 플로팅 게이트의 측벽부를 노출시키는 공정의 설명도로서, 2a는 실리콘 산화막이 에칭되어 플로팅 게이트의 측벽의 일부가 노출된 상태를 나타내고, 2b는 ONO 절연막과 폴리실리콘 막(컨트롤 게이트)이 적층된 상태를 나타내는 도면,
도 3은 처리 시스템의 개략 구성을 나타내는 평면도,
도 4는 본 발명의 실시형태에 따른 COR 처리 장치의 설명도,
도 5는 웨이퍼의 처리 방법의 공정의 설명도,
도 6은 온도에 대한 플루오로규산암모늄의 중량 변화를 나타내는 그래프,
도 7은 제 1 공정과 제 2 공정을 3회 반복한 본발명예(실시예 1)와, 제 1 공정을 계속해서 실행한 후 제 2 공정을 실행한 비교예에 대해, 처리 시간에 대한 실리콘 산화막의 제거량의 변화를 나타내는 그래프,
도 8은 본발명예의 COR 처리의 순서를 나타내는 표 1,
도 9는 비교예의 COR 처리의 순서를 나타내는 표 2,
도 10은 표 1의 순서에 따라 본발명예의 COR 처리에 의해서 제거된 실리콘 산화막의 상태의 설명도,
도 11은 표 2의 순서에 따라 비교예의 COR 처리에 의해서 제거된 실리콘 산화막의 상태의 설명도,
도 12는 비교예 1∼5 및 실시예 2, 3에 의한 배기 처리 공정을 비교한 그래프이다.
이하, 본 발명의 실시형태를, 기판 표면의 Si계 막을 제거하는 방법 및 장치의 일예로서, 실리콘 웨이퍼(이하,「웨이퍼」) W의 표면에 형성된 산화막(이산화실리콘(SiO2))을 COR(Chemical Oxide Removal) 처리에 의해서 제거하는 경우에 대해 설명한다. 또, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 구성을 갖는 구성요소에 대해서는 동일한 부호를 붙이는 것에 의해 중복 설명을 생략한다.
도 3에 나타내는 바와 같이, 처리 시스템(1)은 웨이퍼 W를 처리 시스템(1)에 대해 반입 및 반출시키는 반입출부(2), 반입출부(2)에 인접하여 마련된 2개의 로드록실(3), 각 로드록실(3)에 각각 인접하여 마련되고, 웨이퍼 W의 표면에 형성된 산화막을 COR 처리에 의해서 제거하는 기판 처리 장치로서의 COR 처리 장치(4), 처리 시스템(1)의 각부에 제어 명령을 부여하는 제어부(5)를 갖고 있다.
반입출부(2)는, 예를 들면, 대략 원반형상을 이루는 웨이퍼 W를 반송하는 제 1 웨이퍼 반송 기구(10)가 내부에 마련된 반송실(12)을 갖고 있다. 웨이퍼 반송 기구(10)는 웨이퍼 W를 대략 수평으로 유지하는 2개의 반송 아암(11a, 11b)을 갖고 있다. 반송실(12)의 측면쪽에는 웨이퍼 W를 복수매 병렬해서 수용 가능한 캐리어(13a)를 탑재하는 탑재대(13)가, 예를 들면, 3개 구비되어 있다. 또한, 웨이퍼 W를 회전시켜 편심량을 광학적으로 구하고, 웨이퍼 W의 위치 맞춤을 실행하는 오리엔터(14)가 설치되어 있다.
이러한 반입출부(2)에 있어서, 웨이퍼 W는 반송 아암(11a, 11b)에 의해서 유지되고, 웨이퍼 반송 기구(10)의 구동에 의해 대략 수평면내에서 회전 및 직진 이동, 또한 승강되는 것에 의해, 원하는 위치로 반송된다. 그리고, 탑재대(13)상의 캐리어(13a), 오리엔터(14), 로드록실(3)에 대해 각각 반송 아암(11a, 11b)이 진퇴되는 것에 의해, 웨이퍼 W가 반입 반출되도록 되어 있다.
각 로드록실(3)은 반송실(12)과의 사이에 각각 게이트밸브(16)가 구비된 상태에서, 반송실(12)에 각각 연결되어 있다. 각 로드록실(3)내에는 웨이퍼 W를 반송하는 제 2 웨이퍼 반송 기구(17)가 마련되어 있다. 웨이퍼 반송 기구(17)는 웨이퍼 W를 대략 수평으로 유지하는 반송 아암(17a)을 갖고 있다. 또한, 로드록실(3)은 진공배기 가능하게 되어 있다.
이러한 로드록실(3)에 있어서, 웨이퍼 W는 반송 아암(17a)에 의해서 유지되고, 웨이퍼 반송 기구(17)의 구동에 의해 대략 수평면내에서 회전 및 직진 이동, 또한 승강되는 것에 의해 반송된다. 그리고, 각 로드록실(3)에 대해 종렬로 연결된 COR 처리 장치(4)에 대해 반송 아암(17a)이 진퇴되는 것에 의해, COR 처리 장치(4)에 대해 웨이퍼 W가 반입 반출된다.
COR 처리 장치(4)는 웨이퍼 W를 수납하는 밀폐 구조의 처리실(처리 공간)(21)을 구비하고 있다. 또한, 웨이퍼 W를 처리실(21)내에 반입 반출시키기 위한 반입출구(35)가 마련되어 있고, 이 반입출구(35)를 개폐하는 게이트 밸브(22)가, 로드록실(3)과 COR 처리 장치(4)의 사이에 마련되어 있다. 처리실(21)은 로드록실(3)과의 사이에 각각 게이트밸브(22)가 개재된 상태로 로드록실(3)에 연결되어 있다.
도 4에 나타내는 바와 같이, COR 처리 장치(4)는 밀폐 구조의 챔버(30)를 구비하고 있고, 챔버(30)의 내부가, 웨이퍼 W를 수납하는 처리실(처리 공간)(21)로 되어 있다. 처리실(21)내에는 웨이퍼 W를 대략 수평으로 한 상태에서 탑재시키는 탑재대(31)가 마련되어 있다. 또한, COR 처리 장치(4)는 처리실(21)내에 각종 가스를 공급하는 가스 공급 기구(32), 처리실(21)내를 배기해서 감압시키는 배기 기구(33)를 구비하고 있다.
챔버(30)의 측벽부에는 웨이퍼 W를 처리실(21)내에 반입 반출시키기 위한 반입출구(35)가 마련되어 있고, 이 반입출구(35)가 로드록실(3)과 COR 처리 장치(4)의 사이에 마련된 게이트밸브(22)에 의해서 개폐된다.
처리실(21)내에 배치된 탑재대(31)는 대략 원기둥 형상이며, 챔버(30)의 바닥부에 고정되어 있다. 탑재대(31)의 내부에는 탑재대(31)의 온도를 조절하는 온도 조절 부재(40)가 마련되어 있다. 온도 조절 부재(40)는, 예를 들면, 온도 조절용의 액체(예를 들면, 물 등)가 순환되는 관로를 구비하고 있고, 이러한 관로내를 흐르는 액체와 열 교환이 실행되는 것에 의해, 탑재대(31)의 상면의 온도가 조절되고, 또한 탑재대(31)와 탑재대(31)상의 웨이퍼 W의 사이에서 열 교환이 실행되는 것에 의해, 웨이퍼 W의 온도가 조절된다. 또, 온도 조절 부재(40)는 이러한 것에 한정되지 않고, 예를 들면 저항 열을 이용해서 탑재대(31) 및 웨이퍼 W를 가열하는 전기 히터 등이어도 좋다.
챔버(30)의 천장부에는 처리실(21)내에 각종 가스를 토출시키는 복수의 토출구를 갖는 샤워헤드(45)가 구비되어 있다. 가스 공급 기구(32)는 불화 수소 가스의 공급원(46), 암모니아 가스의 공급원(47), 아르곤 가스의 공급원(48), 질소 가스의 공급원(49)을 구비하고 있다. 불화 수소 가스의 공급원(46)과 샤워헤드(45)의 사이는 불화 수소 가스 공급로(50)로 접속되어 있다. 또한, 불화 수소 가스 공급로(50)에는 불화 수소 가스 공급로(50)의 개폐 동작 및 불화 수소 가스의 공급 유량의 조절이 가능한 유량 조정 밸브(51)가 부착되어 있다. 암모니아 가스의 공급원(47)과 샤워헤드(45)의 사이는 암모니아 가스 공급로(52)로 접속되어 있다.
또한, 암모니아 가스 공급로(52)에는 암모니아 가스 공급로(52)의 개폐 동작 및 암모니아 가스의 공급 유량의 조절이 가능한 유량 조정 밸브(53)가 부착되어 있다. 아르곤 가스의 공급원(48)과 샤워헤드(45)의 사이는 아르곤 가스 공급로(54)로 접속되어 있다. 또한, 아르곤 가스 공급로(54)에는 아르곤 가스 공급로(54)의 개폐 동작 및 아르곤 가스의 공급 유량의 조절이 가능한 유량 조정 밸브(55)가 부착되어 있다. 질소 가스의 공급원(49)과 샤워헤드(45)의 사이는 질소 가스 공급로(56)로 접속되어 있다. 또한, 질소 가스 공급로(56)에는 질소 가스 공급로(56)의 개폐 동작 및 질소 가스의 공급 유량의 조절이 가능한 유량 조정 밸브(57)가 부착되어 있다.
배기 기구(33)는 챔버(30)의 바닥부에 접속된 배기로(60)를 구비하고 있다. 배기로(60)에는 개폐 밸브(61)와 처리실(21)내를 강제 배기하기 위한 배기 펌프(62)가 부착되어 있다.
처리 시스템(1)을 구성하는 로드록실(3), 웨이퍼 반송 기구(10), 오리엔터(14), 게이트밸브(16, 22), 웨이퍼 반송 기구(17), 탑재대(31)의 온도 조절 부재(40), 가스 공급 기구(32)의 각 유량 조정 밸브(51, 53, 55, 57), 배기 기구(33)의 개폐 밸브(61)와 배기 펌프(62)는 모두 제어부(5)에 의해 제어된다. 제어부(5)는 전형적으로는 소프트웨어에 의해서 임의의 기능을 실행할 수 있는 범용 컴퓨터이다.
도 3에 나타내는 바와 같이, 제어부(5)는 CPU(중앙 연산 장치)를 구비한 연산부(5a)와, 연산부(5a)에 접속된 입출력부(5b)와, 입출력부(5b)에 삽입되고 제어 소프트웨어를 저장한 기록 매체(5c)를 갖는다. 기록 매체(5c)에는 제어부(5)에 의해서 실행되는 것에 의해 처리 시스템(1)에 후술하는 소정의 기판 처리 방법을 실행시키는 제어 소프트웨어(프로그램)가 기록되어 있다. 제어부(5)는 해당 제어 소프트웨어를 실행하는 것에 의해, 처리 시스템(1)의 각 기능 요소를, 소정의 프로세스 레시피에 의해 정의된 각종 프로세스 조건(예를 들면, 처리실(21)의 압력 등)이 실현되도록 제어한다. 즉, 후에 상세하게 설명하는 바와 같이, 제어부(5)는 COR 처리 장치(4)에 있어서의 각 처리 공정을 실현시키는 제어 명령을 부여한다.
기록 매체(5c)는 제어부(5)에 고정적으로 마련되는 것이어도 좋고, 또는 제어부(5)에 마련된 도시하지 않는 판독 장치에 착탈 자유롭게 장착되어 해당 판독 장치에 의해 판독 가능한 것이어도 좋다. 가장 전형적인 실시형태에 있어서는 기록 매체(5c)는 처리 시스템(1)의 제조사의 서비스맨에 의해서 제어 소프트웨어가 설치된 하드 디스크 드라이브이다. 다른 실시형태에 있어서는, 기록 매체(5c)는 제어 소프트웨어가 기입된 CD-ROM 또는 DVDROM과 같은 착탈가능한 디스크이다.
다음에, 이상과 같이 구성된 처리 시스템(1)에 있어서의 웨이퍼 W의 처리에 대해 설명한다. 또, 처리의 일예로서, 우선, 도 1c에서 설명한 바와 같이 웨이퍼 W의 표면에 형성된 홈(105)의 사이를 메우도록 퇴적된 새로운 실리콘 산화막(106)을, 불화 수소 가스(HF)와 암모니아 가스(NH3)를 포함하는 혼합 가스를 이용하여 제거하는 COR 처리에 의거하여 설명한다. 실리콘 산화막(106)은 소자 분리 영역(STI)으로 되는 홈(105)에 매립되어 있고, 이하에 설명하는 COR 처리에 의해 새로운 실리콘 산화막(106)이 원하는 높이까지 에칭되어, 플로팅 게이트(104)의 측벽의 일부(107)가 노출된다.
처리 시스템(1)에 의해 처리되기 전에는 도 1c에 나타내는 바와 같이, 웨이퍼 W 표면에 형성된 홈(105)에 매립된 새로운 실리콘 산화막(106)의 상면은 플로팅 게이트(104)의 표면과 동일한 높이이다. 예를 들면, 실리콘 산화막(106)이 퇴적된 후에, CMP(Chemical Mechanical Polishing) 처리가 실행되어, 실리콘 산화막(106)의 상면은 플로팅 게이트(104)의 표면과 동일한 높이로 되어 있다. 그리고, 이와 같이 실리콘 산화막(106)의 상면이 플로팅 게이트(104)와 동일한 높이로 되어 있는 웨이퍼 W가 캐리어(13a)내에 수납되어, 처리 시스템(1)에 반송된다. 물론, 각 홈(105)에 매립된 각 실리콘 산화막(106)의 높이도 동일하다. 따라서, 후의 COR 처리가 정확하게 실행되면, COR 처리에 의해서 노출되는 플로팅 게이트(104)의 측벽의 일부(107)의 높이는 웨이퍼 W내에 있어서 모두 균일한 높이로 된다.
처리 시스템(1)에 있어서는 도 3에 나타내는 바와 같이, 복수개의 웨이퍼 W가 수납된 캐리어(13a)가 탑재대(13)상에 탑재된다. 그리고, 웨이퍼 반송 기구(10)에 의해서 캐리어(13a)로부터 제 1 매의 웨이퍼 W가 꺼내져, 로드록실(3)에 반입된다. 로드록실(3)에 제 1 매의 웨이퍼 W가 반입되면, 로드록실(3)이 밀폐되고, 감압된다. 그 후, 게이트밸브(22)가 열리고, 로드록실(3)과, 대기압보다 감압된 COR 처리 장치(4)의 처리실(21)이 서로 연통된다. 제 1 매의 웨이퍼 W는 웨이퍼 반송 기구(17)에 의해서 로드록실(3)로부터 반출되고, 반입출구(35)를 통과하여, COR 처리 장치(4)의 처리실(21)내로 반입된다.
COR 처리 장치(4)의 처리실(21)내에 있어서, 웨이퍼 W는 디바이스 형성면을 상면으로 한 상태에서(홈(105)에 매립된 실리콘 산화막(106)을 위를 향하게 한 상태에서), 웨이퍼 반송 기구(17)의 반송 아암(17a)으로부터 탑재대(31)로 이동된다. 웨이퍼 W가 반입되면 반송 아암(17a)이 처리실(21)로부터 퇴출되고, 반입출구(35)에 부착된 게이트밸브(22)가 닫히며, 처리실(21)이 밀폐된다.
우선, 처리실(21)이 밀폐된 후, 유량 조정 밸브(55, 57)가 열려, 처리실(21)내에는 아르곤 가스의 공급원(48)과 질소 가스의 공급원(49)으로부터, 예를 들면, 1분 30초간 아르곤 가스와 질소 가스가 공급된다. 또한, 배기 펌프(62)의 가동에 의해, 처리실(21)내의 압력은 대기압보다 저압 상태로 된다.
이 경우, 유량 조정 밸브(55, 57)의 제어에 의해, 처리실(21)내에는 아르곤 가스가, 예를 들면, 200sccm의 유량으로 공급되고, 질소 가스가, 예를 들면, 1000∼500sccm의 유량으로 공급된다. 또한, 처리실(21)내의 압력은, 예를 들면, 2000mTorr로 감압된다. 한편, 탑재대(31)상의 웨이퍼 W의 온도는 온도 조절 부재(40)에 의해서 소정의 목표값(90℃ 이상)으로 조절된다.
그리고, 탑재대(31)상의 웨이퍼 W의 온도가 소정의 목표값(90℃ 이상)으로 조절된 후, 제 1 매째의 웨이퍼 W 표면의 Si계 막을 제거하는 제거 공정 S가 개시된다. 이 제거 공정 S에서는 처리실(21)내에 암모니아 가스와 불화 수소 가스를 공급하여, 실리콘 산화막(106)을 반응 생성물로 변질시키는 제 1 공정 S1과, 처리실(21)내를 제 1 공정 S1보다도 감압하여, 반응 생성물을 기화시키는 제 2 공정 S2가 실행된다. 즉, 우선, 암모니아 가스의 공급원(47)으로부터 처리실(21)내로, 예를 들면, 10초간 암모니아 가스가 공급된다. 이 경우, 유량 조정 밸브(53)의 제어에 의해, 처리실(21)내에는 암모니아 가스가, 예를 들면, 80sccm의 유량으로 공급된다. 또한, 유량 조정 밸브(55, 57)의 제어에 의해, 처리실(21)내에는 아르곤 가스가, 예를 들면, 140sccm의 유량으로 공급되고, 질소 가스의 공급은 정지된다. 또한, 배기 펌프(62)의 가동에 의해, 처리실(21)내의 압력은, 예를 들면, 900mTorr로 감압된다.
그 후, 암모니아 가스의 공급원(47)으로부터 처리실(21)내에 암모니아 가스가 계속해서 공급되면서, 불화 수소 가스의 공급원(46)으로부터 처리실(21)에 불화 수소 가스가 공급된다. 처리실(21)에는 미리 암모니아 가스가 공급되어 있으므로, 불화 수소 가스를 공급하는 것에 의해, 처리실(21)의 분위기는 불화 수소 가스와 암모니아 가스를 포함하는 혼합 가스로 이루어지는 처리 분위기로 된다. 이와 같이 처리실(21)내의 웨이퍼 W의 표면에 혼합 가스가 공급됨으로써, 웨이퍼 W 표면의 홈(105)에 매립된 실리콘 산화막(106)이 반응 생성물로 변질되는 제 1 공정 S1이 실행된다. 반응 생성물로서, 플루오로규산암모늄이나 수분 등이 생성된다.
또, 이 제 1 공정 S1에서는 유량 조정 밸브(51, 53)의 제어에 의해, 처리실(21)내에는 불화 수소 가스가, 예를 들면, 80sccm의 유량으로 공급되고, 암모니아 가스가, 예를 들면, 80sccm의 유량으로 공급된다. 또한, 유량 조정 밸브(55, 57)의 제어에 의해, 처리실(21)내에는 아르곤 가스가, 예를 들면, 60sccm의 유량으로 공급되고, 질소 가스의 공급은 정지된다. 또한, 배기 펌프(62)의 가동에 의해, 처리실(21)내의 압력은, 예를 들면, 900mTorr로 감압된다. 또한, 탑재대(31)상의 웨이퍼 W의 온도는 온도 조절 부재(40)에 의해서 소정의 목표값(90℃ 이상)으로 유지된다. 또, 제 1 공정 S1은, 예를 들면, 1분간 실행된다.
다음에, 상기 제 1 공정 S1에서 반응 생성물로 변질된 실리콘 산화막(106)을 기화시키는 제 2 공정 S2가 실행된다. 이 제 2 공정 S2에서는 상기 제 1 공정 S1보다 처리실(21)내를 감압하는 것에 의해, 반응 생성물로 변질된 실리콘 산화막(106)이 기화된다. 이 경우, 유량 조정 밸브(51, 53, 55, 57)의 제어에 의해, 처리실(21)내에는 불화 수소 가스, 암모니아 가스, 아르곤 가스, 질소 가스의 공급이 모두 정지된다. 또한, 배기 펌프(62)의 가동에 의해, 처리실(21)내의 압력은, 예를 들면, 0mTorr로 감압된다. 또한, 탑재대(31)상의 웨이퍼 W의 온도는 온도 조절 부재(40)에 의해서 소정의 목표값(90℃ 이상)으로 유지된다. 또, 제 2 공정 S2는, 예를 들면, 10초간 실행된다.
그러나, 본 발명자들의 실험에 의하면, 실리콘 산화막(106)이 반응 생성물로 변질되는 제 1 공정 S1에서는 시간의 경과와 함께 반응 생성물로의 변질 속도가 감소해 가는 것이 판명되었다. 더 나아가서는 반응 생성물의 변질량은 시간이 길어지면 웨이퍼 W면내에 있어서 불균일하게 되는 것도 판명되었다. 실리콘 산화막(106)과 반응 가스(불화 수소 가스와 암모니아 가스를 포함하는 혼합 가스)의 반응이, 반응 생성물의 성장에 따라 저해되어 있기 때문으로 추측된다. 반응 생성물이 실리콘 산화막(106)상에 있으면, 실리콘 산화막(106)과 반응 가스의 접촉 확률이 웨이퍼 W면내에 있어서 변화되어 버린다. 전술한 바와 같이, 실리콘 산화막(106)의 제거량이, 플로팅 게이트와 ONO 절연막의 접촉 면적을 결정하므로, 실리콘 산화막(106)의 제거량은 웨이퍼 W면내에 있어서, 매우 높은 균일성이 요구된다.
이에, 본 발명자들은 제 1 공정 S1을 복수로 나누는 동시에, 2회째 이후의 제 1 공정 S1은 제 2 공정 S2에 의해서 미리 반응 생성물을 기화시킨 후에 실행하는 것에 생각이 이르렀다. 이와 같이 하면, 성장한 반응 생성물이 실리콘 산화막(106)과 반응 가스의 반응에 큰 영향을 미치기 전에 기화되므로, 2회째 이후의 제 1 공정 S1도 실리콘 산화막(106)상에 반응 생성물이 없는 상태에서 시작할 수 있다. 실리콘 산화막(106)상에는 차단하는 것이 없으므로, 웨이퍼 W의 면내에 있어서 균일하게 반응이 진행하게 된다.
그러나, 종래 기술과 같이, 기판(웨이퍼 W)의 온도를 승강시키는 방법에 의하면, 2회 이후의 제 1 공정 S1을 실행할 때에는 기판을 소정 온도까지 냉각하지 않으면 안 되게 된다. 한번 온도가 상승한 기판을, 처리실내에서 냉각하는 것은 처리 전체의 속도를 현저하게 저하시켜 버린다. 본 발명의 또 다른 특징은 제 1 공정 S1과 제 2 공정 S2를, 웨이퍼 W의 온도 변화에 의하지 않고서, 처리실(21)내의 압력을 변화시킴으로써 실행하는 점에 있다. 즉, 웨이퍼 W의 온도를 소정의 목표값(90℃ 이상)으로 유지하고 제 1 공정 S1과 제 2 공정 S2이 실행되므로, 이것이 몇 회 반복되어도, 웨이퍼 W를 냉각할 필요가 없다. 웨이퍼 W가 유지되는 온도는 제 2 공정 S2에 있어서의 압력하에서는 웨이퍼 W상의 반응 생성물이 기화하는 온도이다. 한편, 제 1 공정 S1에 있어서의 처리실(21)내는 실리콘 산화막(106)이 변질되고, 반응 생성물이 기화하지 않고 웨이퍼 W상에 퇴적하는 압력으로 유지된다. 웨이퍼 W의 처리실(21)내에는 웨이퍼 W의 열을 수취하기 위한 냉각 부재를 배치할 필요가 없으므로, 처리실(21)의 내벽 모두를 소정의 온도 이상으로 되도록 설계하면, 처리실(21)의 내벽에 데포가 부착되는 것을 방지할 수도 있다.
후술하는 실시예에서 설명하는 바와 같이, 제 1 공정 S1을 계속해서 3분간 실행한 후, 제 2 공정 S2를 실행한 경우와, 제 1 공정 S1을 1분간 실행할 때마다 제 2 공정 S2를 실행하는 조작을 3회 반복한 경우를 비교한 결과, 다음의 결과를 얻었다. 즉, 제 1 공정 S1을 계속해서 3분간 실행한 후, 제 2 공정 S2를 실행한 경우에는 실리콘 산화막(106)의 제거량이 17㎚ 정도이었다. 이에 반해, 제 1 공정 S1을 1분간 실행할 때마다 제 2 공정 S2를 실행하는 조작을 3회 반복한 경우에는 실리콘 산화막(106)의 제거량이 30㎚ 정도이었다.
그래서, 본 발명의 실시형태에서는 웨이퍼 W 표면의 홈(105)에 매립된 실리콘 산화막(106)을 제거할 때에, 도 5에 나타내는 바와 같이, 실리콘 산화막(106)을 반응 생성물로 변질시키는 제 1 공정 S1과, 제 1 공정 S1에 의해 반응 생성물로 변질된 실리콘 산화막(106)을 기화시키는 제 2 공정 S2를 2회 이상 반복해서 실행한다. 이 경우, 예를 들면, 제 1 공정 S1을 1분간 실행한 후, 제 2 공정 S2를 10초간 실행하고, 또한 제 1 공정 S1을 1분간 실행한 후, 제 2 공정 S2를 10초간 실행한다. 또, 이와 같이, 제 1 공정 S1과 제 2 공정 S2를 반복해서 실행하는 동안, 탑재대(31)상의 웨이퍼 W의 온도는 온도 조절 부재(40)에 의해서 소정의 목표값(90℃ 이상)으로 유지된다.
제거 공정 S에서는 이와 같이 해서 제 1 공정 S1과 제 2 공정 S2를 교대로 2회 이상 반복해서 실행하는 것에 의해, 웨이퍼 W 표면의 홈(105)에 매립된 실리콘 산화막(106)이 원하는 깊이까지 제거된다. 그리고, 제거 공정 S가 종료하면, 그 후, 처리실(21)내의 가스를 강제적으로 배기하는 배기 처리 공정 T가 실행된다. 이 배기 처리 공정 T에서는 처리실(21)내에 불활성 가스로서의 불화 수소 가스, 암모니아 가스를 공급하는 제 3 공정 T1과, 처리실(21)내를 배기하는 제 4 공정 T2가, 교대로 2회 이상 실행된다.
우선, 제 3 공정 T1에서는 유량 조정 밸브(51, 53)의 제어에 의해, 처리실(21)내에 대한 불화 수소 가스, 암모니아 가스의 공급이 정지된다. 그리고, 배기 펌프(62)의 가동에 의해 처리실(21)내를 감압하면서, 유량 조정 밸브(55, 57)의 제어에 의해, 처리실(21)내에 아르곤 가스, 질소 가스가 공급된다.
이 제 3 공정 T1에서는 배기 펌프(62)로 처리실(21)내를 감압시키면서, 유량 조정 밸브(55, 57)의 제어에 의해, 처리실(21)내에, 예를 들면, 1000sccm의 유량으로 아르곤 가스가 공급되고, 예를 들면, 1000sccm의 유량으로 질소 가스가 공급된다. 이에 따라, 처리실(21)내의 압력은, 예를 들면, 그 유량시의 기준 압력(base pressure)으로 승압된다. 그리고, 이 제 3 공정은, 예를 들면, 3초간 실행된다.
그리고, 제 3 공정 T1이 종료하면, 처리실(21)내를 배기하는 제 4 공정 T2가 실행된다. 이 제 4 공정 T2에서는 유량 조정 밸브(51, 53, 55, 57)의 제어에 의해, 처리실(21)내에는 불화 수소 가스, 암모니아 가스, 아르곤 가스, 질소 가스의 공급이 모두 정지된다. 또한, 배기 펌프(62)의 가동에 의해, 처리실(21)내의 압력은, 예를 들면, 0mTorr로 감압된다. 또, 이와 같이 처리실(21)내의 가스를 배기하는 공정은, 예를 들면, 5초간 실행된다.
그리고, 이들 제 3 공정 T1과 제 4 공정 T2가 교대로 2회 이상 실행된다. 이와 같이 제 3 공정 T1과 제 4 공정 T2가 교대로 2회 이상 실행되는 것에 의해, 처리실(21)내를 강제 배기하는 배기 처리 공정 T가 종료한다.
그리고, 배기 처리 공정 T가 종료하면, 게이트밸브(22)가 열려 반입출구(35)가 개구되고, 제 1 매째의 웨이퍼 W는 웨이퍼 반송 기구(17)에 의해서 처리실(21)로부터 반출되고, 로드록실(3)로 되돌려진다. 이상과 같이 해서, 제 1 매째의 웨이퍼 W에 대한 일련의 COR 처리가 종료하고, 홈(105)에 매립된 실리콘 산화막(106)이 원하는 깊이까지 제거된 제 1 매째의 웨이퍼 W가, 웨이퍼 반송 기구(10)에 의해서 로드록실(3)로부터 반출되어 캐리어(13a)로 되돌려진다.
다음에, 웨이퍼 반송 기구(10)에 의해서 캐리어(13a)로부터 제 2 매째의 웨이퍼 W가 꺼내지고, 로드록실(3)에 반입된다. 로드록실(3)에 제 2 매째의 웨이퍼 W가 반입되면, 로드록실(3)이 밀폐되고, 감압된다. 그 후, 게이트밸브(22)가 열리고, 로드록실(3)과, 대기압보다 감압된 COR 처리 장치(4)의 처리실(21)이 서로 연통된다. 제 2 매째의 웨이퍼 W는 웨이퍼 반송 기구(17)에 의해서 로드록실(3)로부터 반출되고, 반입출구(35)를 통과하여, COR 처리 장치(4)의 처리실(21)내에 반입된다.
그 후, 마찬가지로 도 5에서 설명한 공정이 실행되는 것에 의해, 제 2 매째의 웨이퍼 W에 대한 일련의 COR 처리가 종료하고, 홈(105)에 매립된 실리콘 산화막(106)이 원하는 깊이까지 제거된 제 2 매째의 웨이퍼 W가, 웨이퍼 반송 기구(10)에 의해서 로드록실(3)로부터 반출되어 캐리어(13a)로 되돌려진다. 이하, 마찬가지로 해서, 동일한 처리실(21)내에서, 복수매의 웨이퍼 W에 대한 일련의 COR 처리가 반복 실행된다.
이러한 처리 시스템(1)에 의하면, 웨이퍼 W 표면의 홈(105)에 매립된 실리콘 산화막(106)을, 반응 생성물로 변질시키는 제 1 공정 S1과, 반응 생성물을 기화시키는 제 2 공정 S2를 2회 이상 반복해서 제거하는 것에 의해, 높은 제거 레이트로 원하는 깊이까지 제거할 수 있으며, 생산성이 향상한다. 또한, 각 홈(105)에 매립된 실리콘 산화막(106)을 균일한 깊이까지 제거할 수 있다. 실리콘 산화막(106)이 균일한 깊이까지 제거된 결과, 홈(105)에 의해 분리된 각 소자(NAND형 플래시 메모리)에 있어서의 기입 전압이 균일하게 되고, 신뢰성이 향상한다. 또한, 동일한 처리실(21)내에 있어서 제 1 공정 S1과 제 2 공정 S2가 실행되므로, COR 처리 장치(4) 및 처리 시스템(1)이 소형으로 되고, 풋 프린트도 작아지며, 처리 시간이 단축되고, 스루풋이 향상한다. 또한, COR 처리는 제어가 용이하며, 산화막 이외의 막에 대한 영향이 적으므로, 각 홈(105)에 매립된 실리콘 산화막(106)을 선택적으로 정밀도 높게 제거하는 것이 가능해진다. 또한, 제 1 공정 S1과 제 2 공정 S2를 동일한 온도에서 실행함으로써, 웨이퍼 W의 온도를 변화시키는 시간을 생략할 수 있고, 생산성이 향상한다.
또한, 도 5에서 설명한 처리 방법과 같이, 배기 처리 공정 T에 있어서 처리실(21)내에 불활성 가스를 공급하는 제 3 공정 T1과, 처리실(21)내를 배기하는 제 4 공정 T2를 교대로 2회 이상 실행하는 것에 의해, COR 처리에서 발생하는 반응 생성물(플루오로규산암모늄이나 수분 등)을 처리실(21)내로부터 단시간에 효율적으로 제거할 수 있다. 배기 처리 공정 T에 있어서, 제 3 공정 T1과 제 4 공정 T2는 반응 생성물이 기화 가능한 압력 범위에서 압력 변동이 실행되므로, 웨이퍼 W상의 반응 생성물도 기화되고, 처리실(21)내의 배기도 효율적으로 실행할 수 있으며, 양쪽의 목적을 동시에 달성할 수 있는 것이다. 배기 처리 공정 T가, 제 2 공정 S2와 동등한 작용을 갖고 있으므로, 도 5에 있는 바와 같이, 제거 공정 S를 제 1 공정 S1에서 종료하고, 계속해서 배기 처리 공정 T를 실행할 수 있는 것이다. 또한, 처리 종료 후의 웨이퍼 W를 배출한 후, 별도의 챔버내의 배기 처리를 실행하지 않아도 좋으므로, 다음의 웨이퍼 W에 대한 처리를 신속하게 실행할 수 있다. 동일한 처리실(21)내에서 웨이퍼 W를 몇 매라도 처리해 가면, 처리실(21)내에 파티클이 체류해 갈 우려가 있지만, 본발명에서는 1매의 웨이퍼 W의 처리마다 배기 처리 공정 T가 실행되고 있으므로, 몇 매 처리해도 문제가 발생하지 않는다. 처리실(21)내로부터 이들 반응 생성물을 효과적으로 제거하는 것에 의해, 파티클 발생을 회피할 수 있게 된다. 또한, 배기 처리 공정 T가 단시간에 끝나므로, 처리 시간이 짧아지고, 생산성(스루풋)이 향상한다.
또, 제 1 공정 S1과 제 2 공정 S2를 2회 이상 반복해서 실행하는 경우, 제 1 공정 S1끼리의 사이에 실행되는 제 2 공정 S2에서는 처리실(21)내가 감압되는 것에 의해, 기화된 반응 생성물이 처리실(21)내로부터 제거된다. 이 제 2 공정 S2의 시간이 너무 짧으면 실리콘 산화막(106)의 표면에 반응 생성물이 다 승화하지 않고 남는 것에 의해서, 다음에 실행되는 제 1 공정 S1에서 반응 생성물로 변질되는 실리콘 산화막(106)의 변질량이 적어지고, 결과적으로 에칭량의 저하를 일으킨다.
한편, 제 1 공정 S1끼리의 사이에 실행되는 제 2 공정 S2가 너무 길면, 다음에 실행되는 제 1 공정 S1에 있어서, 실리콘 산화막(106)에 대한 불화 수소 가스와 암모니아 가스를 포함하는 혼합 가스의 흡착에 시간이 걸리고, 반응이 시작되기까지의 시간이 길어져 버린다. 그 결과, 에칭 형상에 편차가 생겨 버린다. 실리콘 산화막(106)을 효과적으로 균일하게 반응 생성물로 변질시키기 위해서는 제 1 공정 S1끼리의 사이에 실행되는 제 2 공정 S2에서는 불화 수소 가스와 암모니아 가스를 포함하는 혼합 가스가 완전히 처리실(21)내로부터 제거되지 않아, 처리실(21)내에 불화 수소 가스와 암모니아 가스를 포함하는 혼합 가스가 약간 남아 있는 것이 바람직하다.
그런데, 이와 같이 제 1 공정 S1끼리의 사이에 실행되는 제 2 공정 S2에서 처리실(21)내에 불화 수소 가스와 암모니아 가스를 포함하는 혼합 가스가 남도록 하면, 처리실(21)내나 배기로(60) 등에 반응 생성물이 퇴적하기 쉽고, 파티클원으로 될 우려가 있다. 이러한 문제는 웨이퍼 W의 처리 매수가 늘어나면 현저하게 나타난다.
그러나, 본 발명에 의하면, 제 1 공정 S1과 제 2 공정 S2를 반복해서 실행하고 있는 동안에 처리실(21)내나 배기로(60) 등에 남은 혼합 가스나 반응 생성물을, 그 후에 실행되는 배기 처리 공정 T에 있어서 단시간에 효과적으로 제거할 수 있다. 그 결과, 생산성(스루풋)을 저하시키지 않고, 편차가 없는 에칭을 실시하는 것이 가능해진다. 이와 같이, 본 발명에 있어서의 배기 처리 공정 T는 제 1 공정 S1과 제 2 공정 S2를 2회 이상 반복해서 실행하는 경우에, 특히 유용한 기술이다.
또, 제 1 공정 S1과 제 2 공정 S2를 2회 이상 반복해서 실행하는 경우, 제 1 공정 S1끼리의 사이에 실행되는 제 2 공정 S2에서는 배기 처리 공정 T에서 실행되는 것과 같은 처리실(21)내에 불활성 가스를 공급하는 제 3 공정 T1은 실행하지 않는 쪽이 좋다. 즉, 상술한 바와 같이, 실리콘 산화막(106)을 효과적으로 균일하게 반응 생성물로 변질시키기 위해서는 제 1 공정 S1끼리의 사이에 실행되는 제 2 공정 S2는 처리실(21)내에 불화 수소 가스와 암모니아 가스를 포함하는 혼합 가스가 약간 남아 있는 상태에서 종료시키는 것이 바람직하다. 제 1 공정 S1끼리의 사이에 실행되는 제 2 공정 S2에서 처리실(21)내에 불활성 가스를 공급하면, 처리실(21)내로부터 불화 수소 가스와 암모니아 가스를 포함하는 혼합 가스가 완전히 제거되어 버리고, 에칭 형상에 편차가 생길 우려가 있다. 그 때문에, 제 1 공정 S1끼리의 사이에 실행되는 제 2 공정 S2에서는 처리실(21)내에 불활성 가스를 공급하는 제 3 공정 T1은 실행하지 않고, 마지막의 제 2 공정 S2의 종료 후에 실행되는 배기 처리 공정 T에 있어서만, 제 3 공정 T1과 제 4 공정 T2를 교대로 실행하는 것이 바람직하다.
본 발명은 플래시 메모리의 플로팅 게이트의 측벽 노출 프로세스를 실시예로서 설명했지만, 예를 들면, 차세대 디바이스에 채용될 FIN형 FET의 제조 프로세스에 있어서도 실시하는 것이 가능하다. 실리콘 산화막의 층으로부터, 균일한 높이를 갖는 소자막을 정확하게 돌출시키는 것이다. 반도체의 미세화의 진전에 수반하여, 금후 점점 트랜지스터 등의 소자 주변에서는 매우 정확한 처리가 요구되게 된다. 본 발명은 폴리실리콘 등의 소자막에 데미지를 주지 않고, 실리콘 산화막의 정확한 에치백을 단시간에 실행하는 것을 가능하게 하므로, 그 기술적 의의는 매우 크다.
이상, 본 발명의 바람직한 실시형태에 대해 설명했지만, 본 발명은 이러한 예에 한정되지 않는다. 당업자라면, 특허청구의 범위에 기재된 기술적 사상의 범주내에 있어서, 각종 변경예 또는 수정예를 생각해낼 수 있을 것임은 명백하며, 그들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다. 예를 들면, 제 1 공정 S1과 제 2 공정 S2는 2회 이상 반복해서 실행하면 좋고, 반복하는 회수는 임의의 복수 회로 좋다. 또한, 제 3 공정 T1과 제 4 공정 T2는 2회 이상 반복해서 실행하면 좋고, 반복하는 회수는 임의의 복수 회로 좋으며, 이들 사이에, 어떠한 가스를 공급하도록 실행해도 좋다.
또, 처리실(21)에 공급되는 가스의 종류는 이상의 실시형태에 나타낸 조합에 한정되지 않는다. 예를 들면, 처리실(21)에 공급되는 불활성 가스는 아르곤 가스만이어도 좋다. 또한, 이러한 불활성 가스는 그 밖의 불활성 가스, 예를 들면, 헬륨 가스(He), 크세논 가스(Xe) 중 어느 하나라도 좋으며, 또는 아르곤 가스, 질소 가스, 헬륨 가스, 크세논 가스 중 2종류 이상의 가스를 혼합한 것이어도 좋다.
처리 시스템(1)의 구조는 이상의 실시형태에 나타낸 것에 한정되지 않는다. 예를 들면, COR 처리 장치(4) 이외에, 성막 장치를 구비한 처리 시스템이어도 좋다. 또한, 처리 시스템(1)에서 처리되는 기판의 구조는 이상의 실시형태에 있어서 설명한 것에 한정되지 않는다. 또한, 처리 시스템(1)에서 실시되는 산화막의 제거는 실시형태에 나타낸 바와 같은 홈(100)에 매립된 실리콘 산화막(106)의 제거에 한정되지 않으며, 본 발명은 각종 Si계 막의 제거 방법에 적용할 수 있다.
처리 시스템(1)에 있어서 제거의 대상물로 되는 실리콘 산화막은, 예를 들면, 자연 산화막, BPSG막, HDP-SiO2막 등, 각종 실리콘 산화막이어도 좋다. 이 경우, 실리콘 산화막의 종류에 따라, COR 처리에 있어서의 웨이퍼 W의 온도, 혼합 가스 중의 불화 수소 가스의 분압 등을 조절함으로써, 반응 생성물이 포화 상태가 되는 깊이, 에칭량 등을 제어할 수 있다. 또한, 본 발명은 COR 처리에 한정되지 않고, 처리실내에 할로겐 원소를 포함하는 가스와 염기성 가스의 혼합 가스를 공급해서 기판 표면의 SiN막을 제거하는 화학적 제거 처리에도 적용할 수 있다.
실시예
(실시예 1)
우선, COR 처리에 의해서 실리콘 산화막으로부터 생성되는 반응 생성물인 플루오로규산암모늄((NH4)2SiF6)의 승화 온도를 조사하였다. N2 분위기의 대기압하에서 플루오로규산암모늄을 가열하고, 중량 변화를 조사한 결과, 도 6의 결과를 얻었다. 약 90℃ 이상이면, 플루오로규산암모늄이 승화되는 것을 알 수 있었다.
다음에, 실리콘 산화막을 반응 생성물로 변질시키는 제 1 공정과, 제 1 공정에서 반응 생성물로 변질된 실리콘 산화막을 기화시키는 제 2 공정을 반복한 경우에 의한 효과를 조사하였다. 그 결과를 도 7에 나타낸다. 본 발명예에서는 실리콘 산화막을 반응 생성물로 변질시키는 제 1 공정을 1분간 실행한 후, 제 1 공정에서 반응 생성물로 변질된 실리콘 산화막을 기화시키는 제 2 공정을 10초간 실행하고, 이들 제 1 공정(1분간)과 제 2 공정(10초간)을 3회 반복하였다. 한편, 비교예에서는 실리콘 산화막을 반응 생성물로 변질시키는 제 1 공정을 계속해서 3분간 실행한 후, 반응 생성물로 변질된 실리콘 산화막을 기화시키는 제 2 공정을 실행하였다. 또, 본 발명예와 비교예의 어느 경우에도, 기판의 온도는 120℃로 하였다. 제 1 공정을 계속해서 3분간 실행한 비교예에서는 반응 생성물에의 변질이 시간의 경과와 함께 포화하고, 변질 속도가 시간의 경과와 함께 감소하는 현상을 보였다. 이에 대해, 본 발명예에서는 반응 생성물에의 변질 속도가 시간의 경과와 함께 감소하는 일은 없었다.
다음에, 우선, 도 1c에서 설명한 바와 같이, 홈(105)에 실리콘 산화막(106)이 매립된 웨이퍼 W를 이용해서, 본 발명예와 비교예에 의해 COR 처리를 실행하였다. 본 발명예에서는 도 8(표 1)에 나타내는 순서 1∼10에 따라 COR 처리를 실행하고, 비교예에서는 도 9(표 2)에 나타내는 순서 1∼7에 따라 COR 처리를 실행하였다. 또, 본 발명예와 비교예의 어느 경우에도, 웨이퍼 W의 온도는 120℃로 하였다.
그 결과, 제 1 공정과 제 2 공정을 2회 반복한 본 발명예에서는 도 10에 나타내는 바와 같이, 각 홈(105)내의 실리콘 산화막(106)이 모두 약 80㎚ 정도의 깊이 h로 균일하게 제거되었다. 이에 대해, 제 1 공정을 2분간 계속해서 실행한 후 제 2 공정을 실행한 비교예에서는 도 11에 나타내는 바와 같이, 실리콘 산화막(106)의 제거 깊이 h가 각 홈(106)에 따라 불균일하게 되고, 제거 후의 실리콘 산화막(106)의 표면 형상도 흐트러졌다.
(실시예 2, 3)
다음에, 제 1 공정과 제 2 공정을 교대로 7회 반복해서 실행하고, 그 후, 각종 방법으로 배기 처리 공정을 실행하여 처리실내의 가스를 강제적으로 배기하였다. 그 결과를 도 12에 나타낸다.
도 12에 있어서, 횡축은 웨이퍼의 처리 매수(매)를 나타내고, 종축은 직경이 0.06㎛ 이상의 파티클 수(개)를 나타낸다. 또한, 도 12 중의 각 선 A1∼A5(비교예 1∼5) 및 각 선 B1, B2(실시예 2, 3)의 조건은 다음과 같다.
(비교예 1(A1))
웨이퍼를 1매 처리할 때마다, 처리실내를 30초 배기해서 0mTorr로 감압한다.
(비교예 2(A2))
웨이퍼를 5매 처리할 때마다, 처리실내에 아르곤 가스를 1000sccm 공급하고, 질소 가스를 1000sccm 공급하여 30초간 퍼지한다.
(비교예 3(A3))
웨이퍼를 1매 처리할 때마다, 처리실내에 아르곤 가스를 1000sccm 공급하고, 질소 가스를 1000sccm 공급하여 5분간 퍼지한다.
(비교예 4(A4))
웨이퍼를 1매 처리할 때마다, 처리실내에 아르곤 가스와 질소 가스를 모두 1000sccm 공급하면서, 처리실내를 5초 배기해서 0mTorr로 감압한다.
(비교예 5(A5))
웨이퍼를 1매 처리할 때마다, 처리실내에 아르곤 가스와 질소 가스를 모두 100sccm 공급하면서, 처리실내를 5초 배기해서 0mTorr로 감압한다.
(실시예 2(B1))
웨이퍼를 1매 처리할 때마다, 처리실내에 아르곤 가스를 1000sccm, 질소 가스를 1000sccm 공급하고, 처리실내를 0mTorr로 유지하는 15초간의 제 3 공정과, 처리실(21)내의 압력을 0mTorr로 감압하는 10초간의 제 4 공정을, 교대로 10회 반복하였다.
(실시예 3(B2))
웨이퍼를 1매 처리할 때마다, 처리실내에 아르곤 가스를 1000sccm, 질소 가스를 1000sccm 공급하고, 처리실내를 0mTorr로 유지하는 3초간의 제 3 공정과, 처리실(21)내의 압력을 0mTorr로 감압하는 5초간의 제 4 공정을, 교대로 10회 반복하였다.
비교예 1, 2, 4, 5(A1, A2, A4, A5)는 처리 개수가 증가하면 모두 파티클이 발생하였다. 또한, 비교예 3(A3)은 파티클은 저감할 수 있었지만, 배기 처리 공정에 5분 필요로 하며, 생산성(스루풋)이 저하하였다.
한편, 제 3 공정과 제 4 공정을 반복 실행한 본 발명의 실시예 2 및 3에서는 어느 경우도 처리 매수가 증가해도 파티클의 발생은 보이지 않았다. 또한, 실시예 3과 같이 3초간의 제 3 공정과 5초간의 제 4 공정을 10회 반복해서 배기 처리 공정의 처리 시간을 80초까지 단축할 수 있었다. 또, 별도의 실험에서는 2초간의 제 3 공정과 3초간의 제 4 공정을 6회 반복해서 배기 처리 공정의 처리 시간을 30초까지 단축해도, 파티클의 발생을 회피할 수 있는 것을 알 수 있었다. 이들 결과로부터, 본 발명에 의하면, 배기 처리 공정에 있어서 처리실내에 불활성 가스를 공급하는 제 3 공정과, 처리실내를 배기하는 제 4 공정을 교대로 반복하는 것에 의해, 파티클의 회피와 처리 시간 단축의 양쪽을 만족시킬 수 있음을 알 수 있었다.
본 발명은 기판 표면의 Si계 막을 화학적 제거 처리에 의해서 제거하는 기술에 적용할 수 있다.
W 웨이퍼 1 처리 시스템
2 반입출부 3 로드록실
4 COR 처리 장치 5 제어부
5a 연산부 5b 입출력부
5c 기록 매체 10 제 1 웨이퍼 반송 기구
12 반송실 11a, 11b 반송 아암
13 탑재대 13a 캐리어
14 오리엔터 16 게이트밸브
17 제 2 웨이퍼 반송 기구 17a 반송 아암
21 처리실 30 챔버
31 탑재대 32 가스 공급 기구
33 배기 기구 35 반입출구
40 온도 조절 부재 45 샤워헤드
46 불화 수소 가스의 공급원 47 암모니아 가스의 공급원
48 아르곤 가스의 공급원 49 질소 가스의 공급원
51, 53, 55, 57 유량 조정 밸브 60 배기로
61 개폐 밸브 62 배기 펌프
100 단결정 실리콘 기판 101 실리콘 산화막
102 폴리실리콘막 103 게이트 절연막
104 플로팅 게이트 105 홈
106 실리콘 산화막 107 측벽의 일부
108 ONO 절연막
109 폴리실리콘막(컨트롤 게이트)

Claims (16)

  1. 처리실 내에 수납한 기판의 표면의 Si계 막을 제거하는 기판 처리 방법으로서,
    상기 처리실 내에서, 할로겐 원소를 포함하는 가스와 염기성 가스에 의해, 기판 표면의 Si계 막을 반응 생성물로 변질시키는 제 1 공정과,
    상기 제 1 공정에 후속하여, 상기 처리실 내의 압력을 상기 제 1 공정때보다 감압시켜, 상기 반응 생성물을 기화시키는 제 2 공정
    을 포함하되,
    상기 제 1 공정과 상기 제 2 공정이 연속하여 2회 이상 반복되고,
    상기 제 1 공정과 상기 제 2 공정에 있어서, 상기 기판의 온도가 상기 반응 생성물이 승화하기 시작하는 온도 이상으로 되고,
    상기 제 1 공정과 상기 제 2 공정에 있어서, 상기 기판의 온도가 변화되지 않는 것을 특징으로 하는 기판 처리 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 제 1 공정과 상기 제 2 공정에 있어서, 상기 기판의 온도가 90℃ 이상으로 되는 것을 특징으로 하는 기판 처리 방법.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 기판의 표면의 Si계 막이 제거되는 것에 의해, 상기 기판의 표면에서 반응 생성물로 변질되지 않은 막이 돌출되는 것을 특징으로 하는 기판 처리 방법.
  6. 제 5 항에 있어서,
    상기 Si계 막이 실리콘 산화막이고, 상기 반응 생성물로 변질되지 않는 막이 실리콘막인 것을 특징으로 하는 기판 처리 방법.
  7. 제 1 항에 있어서,
    상기 제 1 공정과 상기 제 2 공정을 교대로 2회 이상 실행하는 제거 공정을 갖고,
    상기 제거 공정이 상기 처리실 내에서 복수회 반복 실행되고, 또한 상기 제거 공정과 상기 제거 공정의 사이에, 상기 처리실 내로부터 상기 반응 생성물을 배출시키는 배기 처리 공정이 실행되고,
    상기 배기 처리 공정에서는 상기 처리실 내에 불활성 가스를 공급하는 제 3 공정과, 상기 처리실 내를 배기하는 제 4 공정이, 교대로 2회 이상 실행되는 것을 특징으로 하는 기판 처리 방법.
  8. 제 7 항에 있어서,
    상기 제 3 공정과 상기 제 4 공정에서 상기 처리실 내는 상기 반응 생성물을 기화시킬 수 있는 압력으로 되는 것을 특징으로 하는 기판 처리 방법.
  9. 제 7 항에 있어서,
    상기 배기 처리 공정은 상기 기판의 표면의 Si계 막을 제거하는 기판 처리의 마지막에 실행되는 것을 특징으로 하는 기판 처리 방법.

  10. 처리실 내에 수납한 기판의 표면의 Si계 막을 제거하는 기판 처리 장치로서,
    상기 처리실 내에 할로겐 원소를 포함하는 가스와 염기성 가스를 공급하는 가스 공급 기구와,
    상기 기판의 온도를 조절하는 온도 조절 부재와,
    상기 처리실 내를 배기하는 배기 기구와,
    상기 가스 공급 기구, 상기 온도 조절 부재 및 상기 배기 기구를 제어하는 제어부
    를 포함하고,
    상기 제어부의 제어에 의해, 상기 처리실 내에서, 할로겐 원소를 포함하는 가스와 염기성 가스에 의해, 상기 기판의 표면의 Si계 막을 반응 생성물로 변질시키는 제 1 공정과, 상기 제 1 공정에 후속하여, 상기 처리실 내의 압력을 상기 제 1 공정때보다 감압하여 상기 반응 생성물을 기화시키는 제 2 공정을 실행하고,
    상기 제 1 공정과 상기 제 2 공정을 연속하여 2회 이상 반복하고,
    상기 제 1 공정과 상기 제 2 공정에 있어서, 상기 기판의 온도가 상기 반응 생성물이 승화하기 시작하는 온도 이상으로 되고,
    상기 제 1 공정과 상기 제 2 공정에서, 상기 기판의 온도가 변화되지 않는 것을 특징으로 하는 기판 처리 장치.
  11. 삭제
  12. 제 10 항에 있어서,
    상기 제 1 공정과 상기 제 2 공정에 있어서, 상기 기판의 온도가 90℃ 이상으로 되는 것을 특징으로 하는 기판 처리 장치.
  13. 삭제
  14. 제 10 항에 있어서,
    상기 제어부의 제어에 의해, 상기 제 1 공정과 상기 제 2 공정을, 교대로 2회 이상 실행하는 제거 공정이 실행되고,
    상기 제거 공정이 상기 처리실 내에서 복수회 반복 실행되고, 또한 상기 제거 공정과 상기 제거 공정의 사이에, 상기 처리실 내로부터 상기 반응 생성물을 배출시키는 배기 처리 공정이 실행되고,
    상기 배기 처리 공정에서는 상기 처리실 내에 불활성 가스를 공급하는 제 3 공정과, 상기 처리실 내를 배기하는 제 4 공정이, 교대로 2회 이상 실행되는 것
    을 특징으로 하는 기판 처리 장치.
  15. 제 14 항에 있어서,
    상기 제어부의 제어에 의해, 상기 제 3 공정과 상기 제 4 공정에서 상기 처리실 내는 상기 반응 생성물을 기화시킬 수 있는 압력으로 되는 것을 특징으로 하는 기판 처리 장치.
  16. 제 14 항에 있어서,
    상기 제어부의 제어에 의해, 상기 배기 처리 공정은 상기 기판의 표면의 Si계 막을 제거하는 기판 처리의 마지막에 실행되는 것을 특징으로 하는 기판 처리 장치.
KR1020137004141A 2010-08-03 2011-08-02 기판 처리 방법 및 기판 처리 장치 KR101882531B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2010-174514 2010-08-03
JP2010174514 2010-08-03
JPJP-P-2010-284461 2010-12-21
JP2010284461 2010-12-21
PCT/JP2011/067670 WO2012018010A1 (ja) 2010-08-03 2011-08-02 基板処理方法および基板処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167027189A Division KR20160118387A (ko) 2010-08-03 2011-08-02 기판 처리 방법 및 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20130135233A KR20130135233A (ko) 2013-12-10
KR101882531B1 true KR101882531B1 (ko) 2018-07-26

Family

ID=45559507

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137004141A KR101882531B1 (ko) 2010-08-03 2011-08-02 기판 처리 방법 및 기판 처리 장치
KR1020167027189A KR20160118387A (ko) 2010-08-03 2011-08-02 기판 처리 방법 및 기판 처리 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020167027189A KR20160118387A (ko) 2010-08-03 2011-08-02 기판 처리 방법 및 기판 처리 장치

Country Status (6)

Country Link
US (1) US8956546B2 (ko)
JP (1) JP5809144B2 (ko)
KR (2) KR101882531B1 (ko)
CN (1) CN103081071B (ko)
TW (1) TWI436421B (ko)
WO (1) WO2012018010A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6405958B2 (ja) * 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
JP6435667B2 (ja) * 2014-07-01 2018-12-12 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US10622205B2 (en) * 2015-02-16 2020-04-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
JP6568769B2 (ja) * 2015-02-16 2019-08-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6523119B2 (ja) * 2015-09-28 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6643045B2 (ja) * 2015-11-05 2020-02-12 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6692202B2 (ja) * 2016-04-08 2020-05-13 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7415594B2 (ja) 2020-01-24 2024-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
TW202310038A (zh) * 2021-05-31 2023-03-01 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040184792A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for thermally treating a substrate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
KR101100466B1 (ko) * 2005-12-22 2011-12-29 도쿄엘렉트론가부시키가이샤 기판 처리 장치
JP4913485B2 (ja) * 2006-06-29 2012-04-11 東京エレクトロン株式会社 エッチング方法及び記録媒体
US20080045030A1 (en) * 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
JP5084250B2 (ja) 2006-12-26 2012-11-28 東京エレクトロン株式会社 ガス処理装置およびガス処理方法ならびに記憶媒体
JP4949091B2 (ja) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
KR101002493B1 (ko) * 2007-12-28 2010-12-17 주식회사 하이닉스반도체 반도체 메모리 소자의 소자 분리막 형성 방법
JP4553049B2 (ja) * 2008-02-29 2010-09-29 エルピーダメモリ株式会社 半導体装置の製造方法
JP5352103B2 (ja) * 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
JP2010109335A (ja) * 2008-09-30 2010-05-13 Tokyo Electron Ltd シリコン酸化膜の除去方法及び処理装置
JP4968861B2 (ja) * 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040184792A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for thermally treating a substrate

Also Published As

Publication number Publication date
TW201225177A (en) 2012-06-16
TWI436421B (zh) 2014-05-01
JP5809144B2 (ja) 2015-11-10
WO2012018010A1 (ja) 2012-02-09
KR20160118387A (ko) 2016-10-11
US8956546B2 (en) 2015-02-17
JPWO2012018010A1 (ja) 2013-10-03
KR20130135233A (ko) 2013-12-10
CN103081071A (zh) 2013-05-01
US20130130499A1 (en) 2013-05-23
CN103081071B (zh) 2015-09-30

Similar Documents

Publication Publication Date Title
KR101882531B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP6568769B2 (ja) 基板処理方法及び基板処理装置
JP4890025B2 (ja) エッチング方法及び記録媒体
US10153172B2 (en) Etching method and recording medium
TW201003831A (en) Heat treatment apparatus, and treatment system
JP5881612B2 (ja) 半導体装置の製造方法および製造装置
JP6692202B2 (ja) 基板処理方法及び基板処理装置
JP2009094307A (ja) エッチング方法及び記録媒体
US11024514B2 (en) Etching method and etching apparatus
CN108352309B (zh) 基板处理方法和基板处理装置
KR101725711B1 (ko) 에칭 방법 및 기록 매체
US10622205B2 (en) Substrate processing method and substrate processing apparatus
JP7209567B2 (ja) エッチング方法およびエッチング装置
US20230131213A1 (en) Film forming method and film forming system
KR101150268B1 (ko) 열처리 장치 및 처리 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J301 Trial decision

Free format text: TRIAL NUMBER: 2016101005709; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20160930

Effective date: 20180521

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)