JP4723513B2 - 化学的酸化物除去(ChemicalOxideRemoval)システムの操作方法 - Google Patents

化学的酸化物除去(ChemicalOxideRemoval)システムの操作方法 Download PDF

Info

Publication number
JP4723513B2
JP4723513B2 JP2006545631A JP2006545631A JP4723513B2 JP 4723513 B2 JP4723513 B2 JP 4723513B2 JP 2006545631 A JP2006545631 A JP 2006545631A JP 2006545631 A JP2006545631 A JP 2006545631A JP 4723513 B2 JP4723513 B2 JP 4723513B2
Authority
JP
Japan
Prior art keywords
substrate
processing method
data
recipe
control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006545631A
Other languages
English (en)
Other versions
JP2007515074A (ja
JP2007515074A5 (ja
Inventor
昌幸 友安
メリット・レーン・ファンク
ケヴィン・オーガスティン・ピント
正弥 小田桐
レミュエル・チェン
朝夫 山下
顕 岩見
宏幸 高橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007515074A publication Critical patent/JP2007515074A/ja
Publication of JP2007515074A5 publication Critical patent/JP2007515074A5/ja
Application granted granted Critical
Publication of JP4723513B2 publication Critical patent/JP4723513B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

本出願は、2003年12月7日に出願された仮出願ではない米国特許出願番号10/736,983に基づく。この米国特許出願の内容は、本明細書中にそのまま組み込まれる。
[関連出願の相互参照]
本出願は、2003年3月17に出願され発明の名称が「基材処理のための処理システム及び方法」とされた同時に継続する米国仮出願番号60/454,597;2003年3月17日に出願され発明の名称が「化学的な基材処理のための処理システム及び方法」とされた同時に継続する米国仮出願番号60/454,642;2003年3月17に出願され発明の名称が「基材を熱処理する処理システム及び方法」とされた同時に継続する米国仮出願番号60/454,641;及び、2003年3月17に出願され発明の名称が「近傍温度に制御された各チャンバを断熱するための方法及び装置」とされた同時に継続する米国仮出願番号60/454,644に関連する。これら全ての仮出願の全体の内容は、本明細書中にそのまま組み込まれる。
本発明は、基材を処理するシステムおよび方法に関し、より詳細には、基材を化学的かつ熱的に処理するシステムおよび方法に関する。
半導体プロセスにおいて、(ドライ)プラズマエッチングプロセスは、シリコン基材上にパターン形成された細いラインに沿ってあるいはビアホール(vias)内又は接点で材料をエッチング又は除去するために用いられる。プラズマエッチングプロセスは、一般的に、処理チャンバ内で、例えばフォトレジスト層等の被覆するようにパターン形成された保護層を備えた半導体基材を位置決めすることを含む。いったん、基材がチャンバ内に位置決めされると、雰囲気プロセス圧力を得るために真空ポンプを調整しつつ、イオン化性や解離性を有する混合ガスが、予め決められた所定の流量でチャンバ内に導入される。その後、誘導的または容量的に伝送されるラジオ周波数(RF)電力、又は、例えば電子サイクロトロン共鳴(ECR)等を用いたマイクロ波電力によって加熱された複数の電極によって、存在する複数のガス種の一部分がイオン化されたときに、プラズマが形成される。さらに、加熱された各電極により、周囲のガス種のうちのいくつかのガス種を解離し、露出表面の化学エッチングに適した一又は複数の反応性ガス種を生成する。いったんプラズマが形成されると、選択された複数の基材表面がプラズマによってエッチングされる。以上のプロセスは、基材の選択された各領域において、種々の形態(例えばトレンチ、ビアホール、接点、ゲート等)をエッチングするための所望の反応物の濃度およびイオン密度(ion populations)といった好適条件を得るために、調整される。エッチングが必要とされる部分のこのような基材の材料は、二酸化珪素(SiO)、低比誘電率材料、ポリシリコンおよび窒化珪素を含む。
材料のプロセス中には、上記の各形態にエッチングする際に、概して、対応する各形態が形成される下方のフィルムに対して、マスク層内に形成されたパターンの転写が行われる。このマスクは、(ネガ又はポジとされた)フォトレジスト等の感光性材料、フォトレジスト及び反射防止コーティング(ARC)等の層を含む多層体、又は、下方のハードマスク層に対して第1層内のパターンの転写により形成されたフォトレジスト等のハードマスクによって構成することができる。
本発明は、基材を処理するシステムおよび方法に関し、さらに、基材を化学的かつ熱的に処理するシステムおよび方法に関する。
本発明の一態様において、処理システムは、第1処理システム及び第2処理システムを備えた基材上の物質を除去するためのものである。第1処理システムと第2処理システムとは、互いに接続されている。第1処理システムは、化学処理システムを備えている。化学処理システムは、化学処理チャンバ、基材ホルダ、化学処理チャンバに接続された真空ポンプシステム、及び、化学処理チャンバ内にプロセスガスを導入するためのガス分配システムを備えている。第2処理システムは、熱処理システムを備えている。この熱処理システムは、温度制御される熱処理チャンバと、この熱処理チャンバ内に取り付けられた基材ホルダと、熱処理チャンバに接続された真空ポンプシステムとを備えている。上記のいずれかのチャンバの選択された要素は、温度制御され得るようにしても良い。
さらに、基材を処理する上記処理システムを操作する方法が開示される。この方法は、以下の工程を含む;化学処理内へと基材を輸送し;化学処理システム用の1又は2以上の化学処理パラメータ(ここで、1又は2以上の化学処理パラメータは、化学処理プロセス圧力、化学処理チャンバ温度、化学処理ガス分配システム温度、化学処理基材温度、化学処理基材ホルダ温度および化学処理ガス流量のうちの少なくとも一つを含む。)の設定、モニタリング及び調整の少なくとも一つを実行し;上記1又は2以上の化学処理パラメータを用いて化学処理システム内で基材を処理し;熱処理システム内へと基材を輸送し;熱処理システム用の1又は2以上の熱処理パラメータ(ここで、1又は2以上の熱処理パラメータは、熱処理プロセス圧力、熱処理チャンバ温度、熱処理基材温度、熱処理基材ホルダ温度および熱処理ガス流量のうちの少なくとも一つを含む。)の設定、モニタリング及び調整の少なくとも一つを実行し;上記1又は2以上の熱処理パラメータを用いて熱処理システム内で基材を処理する。
材料プロセスの手順において、パターンエッチングは、エッチング時に下方に位置する材料に対してパターンを転写するマスクを用意するために、続けてパターン形成される基材の上面に対してフォトレジスト等の感光性材料の薄膜層を適用することを含む。この感光性材料のパターン形成は、一般に、(ポジティブのフォトレジストの場合には)感光性材料の照射領域の除去によって得られ、又は、(ネガティブのフォトレジストの場合には)現像液を用いる非照射領域の除去によって得られる、例えばマイクロリソグラフィーシステムを用いるレチクル(及び関連する光学系)を介した放射源による感光性材料の露光を含む。
さらに、多層とされた複数のハードマスクを、材料のエッチング技術の中に組み込むことができる。例えば、ハードマスクを用いた材料のエッチング技術の際には、感光性材料層のマスクパターンは、材料に対する主たるエッチング工程に先立ち、別のエッチング工程を用いてハードマスク層に対して転写される。ハードマスクは、例えば、二酸化ケイ素(SiO2)、窒化ケイ素(Si3N4)、カーボン等のシリコンプロセス用の複数の材料から選択することができる。
図1は、本発明の一実施形態にかかる処理システムを例示的に示したブロック図である。本実施形態では、処理システム100は、製造装置システム(manufacturing equipment System: MES)110と、MES110及び処理ツール130に接続されたツールレベル(tool level: TL)コントローラ120と、MES110、TLコントローラ120及び処理ツール130に接続されたラン−トゥ−ラン(Run-To-Run: R2R)コントローラ190とを備えている。さらに、MES110、TLコントローラ120、処理ツール130及びR2Rコントローラ190の少なくとも一つは、GUI要素および/またはデータベース要素(図示せず)を構成することができるようになっている。他の実施形態では、GUI要素および/またはデータベース要素は必要とされない。
いくつかのセットアップ情報および/または設定情報は、ファクトリシステム110から、TLコントローラ120および/またはR2Rコントローラ190によって得ることができる。ファクトリレベルにおける各ビジネスルール(factory level business rules)を、制御の序列を形成する際に用いることができる。例えば、TLコントローラ120および/またはR2Rコントローラ190は、独立させて動作させることができ、又は、ある程度まではファクトリシステム110によって制御することができる。また、ファクトリレベルにおける各ビジネスルールは、いつプロセスを一時停止および/または停止させるか、及び、プロセスが一時停止および/または停止したときに何をするかを決定する際に用いることができる。さらに、ファクトリレベルにおける各ビジネスルールは、いつプロセスを変更するか、又は、どのようにプロセスを変更させるかを決定する際に用いることができる。
各ビジネスルールは、通常のプロセスに対して選択される動作、及び、例外的な各条件について選択される各動作を特定する際に用いることができる。これらの動作には、初期モデルの読み込み(loading)、事前エッチング(pre-etch)のメトロロジー(metrology)データのフィルタリング、コントローラのレシピの選定、事後エッチング(post-etch)のメトロロジーデータのフィルタリング、及びR2Rモデルのアップデートが含まれる。
各ビジネスルールは、コントロールストラテジー(control
strategy)レベル、コントロールプラン(control
plan)レベルまたはコントロールモデルレベルにおいて定義することができる。各ビジネスルールを、特定のコンテキストが発生した場合はいつでも実行されるように割り当てることができる。下位レベルだけでなく上位レベルに対してコンテキストが一致した際には、上位レベルに関連付けされた各ビジネスルールが実行され得るようになっている。各グラフィカル・ユーザ・インターフェース(GUI)画面は、各ビジネスルールを定義しかつ保持するために用いることができる。ビジネスルールの定義および割り当ては、通常のセキュリティレベルよりも重要なものとしてユーザに対して許容される。各ビジネスルールは、データベース内で保持することができる。文書および各ヘルプ画面によって、各ビジネスルールの定義や割り当て及び保持の仕方について与えることができるようになっている。
MES100は、TLコントローラ120および/またはR2Rコントローラ190に関連付けられた各データベースから報告されるデータを用いたいくつかのシステムプロセスをモニタすることができる。ファクトリレベルにおける各ビジネスルールは、どのプロセスがモニタされ、かつ、どのデータが用いられるかを決定するために使用することができる。例えば、TLコントローラ120および/またはR2Rコントローラ190は独自にデータを収集することができ、又は、データ収集プロセスをファクトリシステム110によってある程度まで制御することができる。また、ファクトリレベルにおける各ビジネスルールは、プロセスが変更され、一時停止し、及び/又は停止した際に、データをどのように管理するかを決定するために使用することができる。
また、MES110は、ランタイム設定情報を、TLコントローラ120および/またはR2Rコントローラ190に対して提供することができる。例えば、各設定、各ターゲット、各リミット値、各ルール、及び各アルゴリズムが、ファクトリシステムからTLコントローラ120および/またはR2Rコントローラ190へと、ランタイムにおける“高度(advanced)プロセスコントロール(ACP)レシピ”、“APCシステムルール”及び“各APCレシピパラメータ”としてダウンロードされ得るようになっている。
いくつかのセットアップ情報及び/又は設定情報は、TLコントローラ120および/またはR2Rコントローラ190がシステムによって初期設定されている場合には、これらTLコントローラ120および/またはR2Rコントローラ190によって決定され得るようになっている。システムレベルにおける各ビジネスルール(各システムルール)は、制御の序列を確立するために使用することができる。例えば、TLコントローラ120および/またはR2Rコントローラ190は独自に動作することができ、又は、TLコントローラ120は、R2Rコントローラ190によってある程度まで制御され得るようになっている。また、各システムルールは、いつプロセスを一時停止および/または停止させるか、及び、プロセスが一時停止および/または停止したときに何をするかを決定する際に用いることができる。さらに、各システムルールは、いつプロセスを変更するか、又は、どのようにプロセスを変更させるかを決定する際に用いることができる。さらに、TLコントローラ120は、いくつかのツールレベルの操作を制御するために、各ツールレベルルールを用いることができる。
一般に、各ルールは、システムの動的状態に基づいて変化させるために、システム及び/又はツールの操作を許容する。
図1では、一つのR2Rコントローラ190、一つの処理ツール130及び一つのTLコントローラ120が示されているが、本発明はこれに限定されるものではない。半導体プロセスシステムは、独立した各処理ツールや各モジュールに加えて、関連付けられた多数のR2Rコントローラを有する多数の処理用サブシステムを備えることができる。
TLコントローラ120は、多数の独立した処理ツールやモジュールに加えて、関連付けられた多数の処理用サブシステムを有する多数の処理ツールを構成するために使用することができる。TLコントローラ120は、各処理ツール、各処理用サブシステム、各処理モジュールおよび各センサを含む各プロセスからのデータを収集し、提供し、加工し、保存し、そして表示することができる。
TLコントローラ120は、少なくとも一つのツールに関連するアプリケーション、少なくとも一つのモジュールに関連するアプリケーション、少なくとも一つのセンサに関するアプリケーション、少なくとも一つのインターフェースに関連するアプリケーション、少なくとも一つのデータベースに関連するアプリケーション、少なくとも一つのGUIに関連するアプリケーション、及び少なくとも一つの設定用アプリケーションを含む、多数のアプリケーションを備えている。
例えば、TLコントローラ120及びR2Rコントローラ190は、東京エレクトロン株式会社から提供されるAPCシステムをサポートできる。APCシステムは、ユニティ・ツール(Unity Tool)、テリウス・ツール(Telius
Tool)及び/又はトライアス・ツール(Trias Tool)と、これらを関連付けて処理する各サブシステムおよび各処理モジュールを含む。あるいは、TLコントローラ120は、他の各処理ツールおよび他の各処理モジュールをサポートできる。
GUI要素によって、以下の場面におけるユーザのインターフェースを容易にすることができる:ツールのステータス及び処理モジュールのステータスを見る;選択した各ウエハのパラメータ式の生(トレース)データ及び要約の各x−yチャートを作成し編集する;ツールのアラームの各記録(tool alarm logs)を見る;データベース又は出力ファイルに対してデータを書き込む各条件を特定する複数のデータ収集プランを設定する;統計的プロセスコントロール(statistical process control: SPC)チャート、モデリング及び表計算プログラムに対して各ファイルを入力する;特定の各ウエハに対するウエハプロセス情報を調べ、データベースに現在保存されているデータを再検討する;各プロセスパラメータの各SPCチャートを作成して編集し、電子メールによる警告(e-mail warnings)を生成する各SPCアラームを設定する;多変量の主要要素解析(Principal Component
Analysis: PCA)及び/又は部分最小二乗(Partial Least Squares: PLS)モデルを実行する;及び/又は、TLコントローラ120のトラブルシュートを行うと共に各問題を報告するために各診断画面を見る。
ツールからの生データ及びトレースデータは、データベース122内にファイルとして格納することができる。また、統合されたメトロロジー(Integrated Metrology: IM)データおよびホストメトロロジーデータは、データベース122内に格納することができる。データ量は、実行されたプロセスおよび運転された各処理ツールの頻度だけでなく、設定されたデータ収集プランに依存する。各処理ツール、各処理チャンバ、各センサおよびオペレーティングシステムから得られたデータは、データベース内に格納することができる。
図1に示した実施形態では、一つのクライアントワークステーション112が示されているが、本発明はこれに限定されるものではない。本システムは、複数のクライアントワークステーション112をサポートすることができる。一実施形態では、クライアントワークステーション112は、ユーザが以下の手続きの設定を行うことを許容する;ツール、R2Rコントローラ、プロセスおよびファクトリステータスを含むステータスを見ること;現在の及び過去のデータを見ること;モデリング機能およびチャート機能を実行すること;及び/又は、R2Rコントローラに対してデータを入力すること。例えば、ユーザに対して、R2Rコントローラによって実行される1又は2以上のプロセスをコントロールする管理上の権限を与えることができる。
R2Rコントローラ190は、本プロセス以前に行われたプロセスに関連付けされた少なくとも一つの他のR2Rコントローラに接続されたリンク192と、本プロセス以降に行われるプロセスに関連付けされた少なくとも一つの他のR2Rコントローラに接続されたリンク194とを備えている。リンク192及びリンク194は、情報のフィードフォワード及び/又はフィードバックに用いることができる。
R2Rコントローラ190は、MES110に接続されているとともに、電子診断システム(E-Diagnostic System)の一部を構成することができる。R2Rコントローラ190は、ファクトリシステムと情報を交換することができる。また、MES110は、R2Rコントローラ190に対してコマンドを送信し、及び/又は、情報を無効にすることができる。例えば、MES110は、R2Rコントローラに対して、多数の処理モジュール、ツールおよび測定装置に対するダウンロード可能とされたレシピを、各レシピの各可変パラメータとともに、フィードフォワードすることができる。各可変パラメータには、ロットごとに調整可能であることが必要とされたツールレベルシステムにおける、最終限界寸法(Critical Dimension: CD)、各ターゲット、各リミット値、各オフセット値、及び変数を含ませることができる。さらに、ファクトリー・リソグラフィCDメトロロジーデータ(factory
litho CD metrology data)は、R2Rコントローラ190に対して、フィードフォワードされ得るようになっている。
さらにまた、MES110は、R2Rコントローラに対して、CD走査型電子顕微鏡(SEM)情報のような測定データを提供するために使用することができる。或いは、CD SEM情報は、手動により提供することができる。各調整ファクタは、IMとCD SEM計測値との間のオフセットを調整するために用いられる。CD SEMデータの手動および自動による入力には、R2Rコントローラ内のフィードバック(FB)コントロールループの履歴内に適切に挿入するために、日付等のタイムスタンプが含まれる。
各設定アイテムは、ジェネリック・エクイップメント・モデル/SEMIエクイップメント・コミュニケーション・スタンダード(GEM SECS)コミュニケーションプロトコルを用いたファクトリシステムから送信される一組の可変パラメータ群として構成することができる。例えば、各可変パラメータは、“APCレシピ”の一部として通過され得るようになっている。APCレシピには、一以上のサブレシピを含ませてもよく、各サブレシピには、複数の可変パラメータを含ませることができる。
R2Rコントローラ190は、処理ツール130及びTLコントローラ120に接続されている。情報には、フィードバックデータとフィードフォワードデータを含ませることができる。例えば、内部リセットイベントが上記ツールから生成されたとき、R2Rコントローラ190は、アラーム等のメッセージをMES110に対して送ることができる。これにより、ファクトリシステムは、修繕または予防のためのメンテナンスを行っている際に発生するような主要な変化が発生した後に危険性のあるウエハ数を最小限に抑えるために、必要な変更を行うことができる。
本実施形態では、処理ツール130は、第1統合メトロロジーモジュール(integrated metrology module: IMM)132と、処理サブシステム150と、第2IMM134とを備えているが、本発明はこれに限定されるものではない。これに代えて、他の構成を使用することもできる。
図1には、一つのR2Rコントローラ190が示されているが、本発明はこれに限定されるものではない。これに代えて、複数のR2Rコントローラを追加することもできる。例えば、R2Rコントローラ190は、フィードフォワード(FF)コントローラ、処理モデルコントローラ、フィードバック(FB)コントローラ、及び処理コントローラ(これらは図1に示されていない。)から選ばれる少なくとも一つの構成を備えることができる。
本実施形態では、スタートイベント102が、第1IMM132に対して入力を提供する。例えば、スタートイベントは、データ及び/又は制御メッセージを含むホストからのメッセージとなり得る。第1IMM132は、R2Rコントローラ190及び処理サブシステム150に接続されている。処理サブシステム150は、R2Rコントローラ190及び第2IMM134に接続されている。第2IMM134はR2Rコントローラ190に接続されている。
処理サブシステム150は、第1バッファモジュール152と、化学的酸化物除去(Chemical Oxide Removal: COR)モジュール154と、事後熱処理(Post Heat Treatment: PHT)チャンバ156と、第2バッファモジュール158とを備えることができる。CORモジュールは、CORプロセスの第1ステップとなる。この第1ステップは、HFとアンモニアガスといった混合プロセスガスと二酸化ケイ素との間の反応を引き起こす可能性があり、ウエハ表面上に固体反応生成物を形成する。CORモジュールの次工程に位置するPHTモジュールは、CORプロセスの第2ステップとなる。このステップでは、ウエハを加熱することにより、固体反応生成物が蒸発させられる。
処理サブシステム150は、CORモジュール、PHTモジュール、及びバッファ(LL)モジュールを含むことができる処理シップ(process ship)を構成することができる。処理システムは、別の処理レシピ、CORモジュール、PHTモジュール、バッファ(LL)モジュールを用いて制御され得るようになっている。
例えば、バッファモジュールは、CORモジュール、PHTモジュール、バッファ(LL)モジュール、及び他の輸送システムモジュールのような他のモジュールの間を、ウエハを輸送するための輸送システムを備えることができる。これらの処理モジュールには、TLコントローラが制御する追加のモジュールタイプを含ませることができる。
処理サブシステムは、処理を開始するためのCORレシピを使用することができ、CORレシピは、基材がCORモジュールに搬送されたときに開始することができる。例えば、基材は、基材ホルダ内に収容された複数のリフトピンによって受け取ることができるようになっており、基材は、基材ホルダに対して位置を低くされ得るようになっている。その後、基材は、静電式クランプシステム等のクランプシステムを用いて、基材ホルダに対して固定され得るようになっている。そして、熱輸送ガスが、基材の裏面に対して供給され得るようになっている。
次に、CORレシピは、基材の化学的処理に対する1又は2以上の化学的処理パラメータを設定するために使用される。これらのパラメータは、化学的処理プロセス圧力、化学的処理壁面温度、化学的処理基材ホルダ温度、化学的処理基材温度、化学的処理ガス分配システム温度、及び、各プロセスガスおよびこれらの流量を含む化学処理プロセスの化学的性質(chemistry)のうち少なくとも一つを含むことができる。そして、基材は、第1期間の間、化学的に処理されることができるようになっている。この第1期間は、例えば30秒から360秒の範囲とすることができる。
次に、基材は、化学的処理チャンバからPHTモジュールへと搬送されることができるようになっている。この間に、基材のクランプが解除され、基材の裏面へと供給される熱輸送ガスの流れが停止され得るようになっている。基材は、基材ホルダ内に収容されたリフトピン組立体を用いて、基材ホルダから輸送面へと垂直方向に持ち上げられることができるようになっている。輸送システムは、各リフトピンから基材を受け取るとともに、PHTモジュール内で基材を位置決めすることができる。ここで、基材リフタ組立体(substrate lifter assembly)は、輸送システムから基材を受け取るとともに、基材ホルダに対して基材を下方へと位置させることができる。
そして、PHTレシピは、基材の熱処理に対する1又は2以上の熱処理パラメータを設定するために使用することができる。これらのパラメータはPHTモジュールによって設定することができる。基材は、第2期間の間、熱処理できるようになっている。例えば、1又は2以上の熱処理パラメータは、熱処理壁面温度、熱処理上部組立体温度、熱処理基材温度、熱処理基材処理ホルダ温度、熱処理基材温度、熱処理プロセス圧力、及び、各プロセスガスおよびこれらの流量を含む熱処理プロセスの化学的性質のうち少なくとも一つを含むことができる。この第2期間は、例えば30秒から360秒の範囲とすることができる。
プロセスの一例では、処理サブシステム150は、酸化物ハードマスク(oxide hard mask)をトリミングするための化学的酸化物除去(COR)システムとすることができる。別のプロセスの一例では、酸化されたチューナブル・エッチ・レジスタント(Tunable Etch Resistant)反射防止膜(ARC)(TERA)フィルムが、CORプロセスを用いてトリムされることができる。処理サブシステム150は、基材上の酸化物表面層等の露出表面層を化学処理するCORモジュール154を備えている。ここで、各露出表面上のプロセス中の化学反応物の吸着は、各表面層の化学的変質に影響を及ぼすものである。また、処理サブシステム150は、基材を熱処理するPHTモジュール156を備えている。ここで、基材温度は、基材上の化学的に変化した各露出表面層を脱離(又は蒸発)させるために、上昇させられる。
例えば、CORモジュールは、HF及びNH3を含むプロセスガスを使用することができる。プロセス圧力は、約1mTorrから約100mTorrの範囲とすることができ、例えば、約2mTorrから約25mTorrの範囲とすることができる。プロセスガスの流量は、各ガス種に対して約1sccmから約200sccmの範囲とすることができ、例えば、約10sccmから約100sccmの範囲とすることができる。また、(3次元的に)均一な圧力場を達成することができる。さらに、CORモジュールチャンバは、30℃から100℃まで加熱でき、例えば、約40℃の温度とすることができる。また、ガス分配システムは、約40℃から約100℃まで加熱でき、例えば、約50℃の温度とすることができる。基材は、約10℃から約50℃までの温度に維持することができ、例えば、基材温度は約20℃とすることができる。
また、PHTモジュールにおいて、熱処理チャンバは、約50℃から約100℃まで加熱することができ、例えば、約80℃の温度とされる。また、上部組立体は、約50℃から約100℃まで加熱することができ、例えば、約80℃の温度とされる。基材は、約100℃を超えた温度まで加熱できる。あるいは、基板は、約100℃から約200℃まで加熱でき、例えば、約135℃の温度とされる。
本実施形態におけるCORプロセス及びPHTプロセスによれば、熱酸化物に対する化学処理によって60秒あたり約10nm超の露出酸化物表面層のエッチング量を得ることができ、熱酸化物に対する化学処理によって180秒あたり約25nm超の露出酸化物表面層のエッチング量を得ることができ、TEOSに対する化学処理によって180秒あたり約10nm超の露出酸化物表面層のエッチング量を得ることができる。各処理によれば、基板全域に対して、約2.5%未満のエッチング量の変動に抑えることができる。
スタートイベント102は、イベント中のウエハとすることができ、スタートイベントは、搬入されるウエハに関連したデータを有することができる。このデータは、ロットデータ、バッチデータ、実行データ(run data)、成分データ(composition
data)、及びウエハの履歴データを含むことができる。あるいは、スタートイベントは、異なるプロセル関連イベントとすることもできる。
第1IMM132は、ウエハに対する入力状態を確立するために使用することができる事前プロセスメトロロジーデータを提供することができる。第1IMM132は、R2Rコントローラ190に対して、事前プロセスメトロロジーデータの第1部分を提供(フィードフォワード)することができるとともに、処理サブシステム150に対して、事前プロセスメトロロジーデータの第2部分を提供することができる。あるいは、これら2つの部分は、同一のデータを構成することができる。第1IMM132は、一つのIMM又は多数の計測装置を備えることができる。第1IMM132は、モジュール関連の(module-related)複数の計測装置、ツール関連の(tool-related)複数の計測装置、及び複数の外部計測装置を含むことができる。例えば、一又は複数の処理モジュールに接続された複数のセンサおよび一又は複数の処理ツールの接続された複数のセンサからデータを得ることができる。また、SEMツール及び光学デジタル断面形状測定(Optical Digital Profiling: ODP)ツール等の外部装置からデータを得ることができる。ODPツールは、半導体デバイスの断面形状を計測する特許技術を提供する(東京エレクトロンの子会社である)ティンバー・テクノロジー社(Timbre Technologies Inc.)により利用できる。
R2Rコントローラ190は、入力状態から所望状態までウエハの状態が変化する所望の結果を得るための一組のプロセスパラメータ群を予測し、選択し、かつ計算するために、搬入される材料の計測された臨界寸法(入力状態)と、目標臨界寸法(所望状態)との相違を用いることができる。例えば、ある予測された一組のプロセスパラメータ群は、入力状態および所望状態に基づいて用いるために、レシピの第1推定値(estimate)とすることができる。ある実施形態では、入力状態および/または所望状態等のデータは、ホストから得ることができる。
ある場合では、R2Rコントローラ190は、ウエハに対する入力状態および所望状態を知っており、かち、R2Rコントローラ190は、入力状態から所望状態へとウエハを変化させるために、ウエハ上に実行できる一組のレシピ群を決定する。例えば、一組のレシピ群は、一組の処理モジュール群を含む多ステップ処理を記述することができる。
R2Rコントローラ190は、表形式で表された各テクニックを用いることができ、かつ、いつテクニック間を切り替えるのかを決定するルールは、入力レンジ、出力レンジ、ウエハのタイプ、処理のタイプ、モジュールのタイプ、ツールのタイプ、ウエハの状態、及び処理の状態のうちの少なくとも一つに基づいて決定することができる。例えば、各レシピは、表形式で表すことができ、R2Rコントローラ190は、使用する一又は複数のレシピを決定するために表を参照する。
R2Rコントローラが表形式で表された各テクニックを用いるとき、各フィードフォワード制御変数を設定可能とすることができる。例えば、ある定数を、表中では定数または係数とすることができる。また、多数の表を用いることができ、いつ表と表とを切り替えるのかを決定するルールは、入力レンジ又は出力レンジに基づかせることができる。
R2Rコントローラに対する時定数は、各測定間の時間に基づく。あるロットが完了した後に測定データが利用可能になったとき、R2Rコントローラの時定数は、各ロット間の時間に基づいて決定することができる。あるウエハが完了した後に測定データが利用可能になったとき、R2Rコントローラの時定数は、各ウエハ間の時間に基づいて決定することができる。処理中にリアルタイムで測定データが提供されたときには、R2Rコントローラの時定数は、ウエハ内の各処理ステップに基づいて決定することができる。ウエハの処理中、あるウエハが完了した後、又は、あるロットが完了した後に、測定データが利用可能になったとき、R2Rコントローラは、各処理ステップ間、各ウエハ間、及び/又は各ロット間の時間に基づいて決定することができる多数の時定数を持つことができる。
1又は2以上のR2Rコントローラは、時間内のどの時刻においても動作することができる。例えば、あるR2Rコントローラは、第2のR2Rコントローラがモニタリングモードにあるときに、動作モードとすることができる。また、他のR2Rコントローラは、シミュレーションモードにおいて動作することができる。R2Rコントローラは、単一ループ又は多数ループを構成することができ、各ループは異なる時定数を持つことができる。例えば、各ループは、ウエハトリミング、ロットトリミング、バッチトリミング、チャンバトリミング、ツールトリミング、及び/又はファクトリトリミングに従属させることができる。
R2Rコントローラは、単一入力単一出力(single input single output:
SISO)装置として、単一入力多出力(single
input multiple output: SIMO)装置として、多入力単一出力(multiple
input single output: MISO)装置として、および多入力多出力(multiple input multiple output: MIMO)装置として動作することができる。また、入力および出力は、一のR2Rコントローラ内で、及び/又は、1又は2以上のR2Rコントローラ間で扱うことができる。例えば、CD及び側壁角度といった多入力が用いられているときには、各入力および各出力は、2つのモジュール間で(即ち、一つはCD制御に対して、一つは壁面角度制御に対して)、フィードフォワード及びフィードバックすることができる。また、マスク開放コントローラ(mask open controller)を用いることもできる。多モジュールを含む多プロセスの場合には、情報は、一のR2Rコントローラから他のR2Rコントローラへとフィードフォワードまたはフィードバックされる。
処理サブシステム150は、エッチングモジュール、蒸着モジュール、研磨モジュール、コーティングモジュール、現像モジュール、及び熱処理モジュールのうちの少なくとも一つを備えることができる。
処理ツール及び/又は処理モジュールがデータベースにデータを送信したとき、このデータは、R2Rコントローラによりアクセスすることができるようになっている。例えば、このデータは、ツール追跡データ(tool trace data)、メンテナンスデータ及びEPDデータを備えることができる。ツール追跡データは、プロセスに関する重要な情報を提供することができる。ツール追跡データは、プロセス中に、又は、ウエハのプロセスが完了した後に、更新されかつ保存されることができるようになっている。
R2Rコントローラ190は、入力状態、プロセスの各特性、及びプロセスモデルに基づいて、ウエハに対する予測状態を計算することができる。例えば、トリム速度モデルは、予測トリム量を計算するために、処理時間とともに使用することができる。あるいは、エッチング速度モデルは、エッチング深さを計算するために、処理時間とともに使用することができ、蒸着速度モデルは、蒸着厚さを計算するために、処理時間とともに使用することができる。また、各モデルは、複数のSPCチャート、複数のPLSモデル、複数のPCAモデル、複数の適正距離相関(Fitness Distance Correlation: FDC)モデル、及び複数の多変量解析(Multivariate Analysis: MVA)モデルを含むことができる。
R2Rコントローラは、処理モジュール内の各処理パラメータリミット値に対する外部から提供されたデータを受け取りかつ利用することができる。例えば、R2RコントローラGUI要素は、各処理パラメータリミット値の手入力手段を提供する。また、ファクトリレベルコントローラは、各処理モジュールに対する処理パラメータのリミット値を提供することができる。
R2Rコントローラは、商業的に利用可能とされたモデル化ソフトウェアによって形成された複数のモデルを収容するとともに実行することができる。例えば、R2Rコントローラは、複数の外部アプリケーション(external applications)によって形成されて当該コントローラへと送られる複数のモデル(PLS,PCA等)を収容するとともに実行することができ、
第2IMM134は、ウエハに対する出力状態を確立するために使用することができる事後プロセスメトロロジーデータを提供することができる。第2IMM134は、R2Rコントローラ190に対して事後プロセスメトロロジーデータの第1部分を提供(フィードバック)することができ、かつ、ウエハ出力イベント104と共にデータベースに対して事後プロセスメトロロジーデータの第2部分を提供することができる。あるいは、上記2つの部分は、同一のデータを構成することもできる。第2IMM134は、単一のIMMまたは多数の計測装置を備えることができる。第2IMM134は、複数のプロセスモジュール関連測定装置、複数のツール関連計測装置、及び複数の外部計測装置を含むことができる。例えば、データは、一の又は複数の処理モジュールに接続された複数のセンサ、及び、処理ツールに接続された複数のセンサから得ることができる。また、データは、SEMツール、光学発光分光(Optical
Emission Spectroscopy: OES)ツール、及びODPツール等の外部装置から得ることができる。
R2Rコントローラ190は、第1組のプロセス偏差群を計算するために、第2IMM134からの事後プロセスメトロロジーデータを使用することができる。このように計算された偏差群は、予測ウエハ状態、及び、事後プロセスメトロロジーデータから決定されるウエハ出力状態に基づいて決定することができる。ある場合では、R2Rコントローラ190は、ウエハの所望状態および出力状態を知っており、R2Rコントローラ190は、所望状態と出力状態の差を決定する。この方法では、測定された実際の各プロセス結果は、プロセスに対する補正を決定するために、各所望プロセス結果と比較される。他の場合では、R2Rコントローラ190は、ウエハの予測状態および出力状態を知っており、R2Rコントローラ190は、予測状態と出力状態の差を決定する。この方法では、測定された実際の各プロセス結果は、プロセスモデルに対する補正を決定するために、予測プロセス結果と比較される。
いくつかの場合では、R2Rコントローラは、目標CD(target CD)を調整するために参照テーブル方法を用いることを必要としない。例えば、ファクトリから提供された目標CD(factory provided target CD)とフィルタされたメトロロジーCDとの間の単純なデータを、補正として適用することができる。
各モデルのアップデートは、各モニタウエハを実行し、プロセスの各設定を変更し、かつ各結果を観測し、そしてモデルをアップデートすることによって行うことができるフィードバックの別の形式となっている。例えば、事前及び事後のモニタウエハの膜特性を計測することにより、各々のNプロセス時間に対して行うことができる。異なる複数の操作領域をチェックするために時間に関する(over time)各設定を変更することにより、時間に関する完全な複数の操作空間を確認することができ、又は、異なるレシピの設定とされた複数のモニタウエハを一度に実行することができる。モデルのアップデートは、ツール又はファクトリ(工場)におけるR2Rコントローラ内で行うことができ、各モニタウエハ及びモデルの各アップデートをファクトリコントロールが管理することを許容する。
R2Rコントローラ190は、次のウエハのためにアップデートされたレシピを計算する。ある場合では、R2Rコントローラ190は、現在のウエハを実行する前に現在のレシピを変更するか否かを決定するために、フィードフォワード情報、モデリング情報、及びフィードバック情報を使用することができる。他の場合では、R2Rコントローラ190は、次のウエハを実行する前に現在のレシピを変更するか否かを決定するために、フィードフォワード情報、モデリング情報、及びフィードバック情報を使用することができる。あるいは、R2Rコントローラ190は、次のロットを実行する前に現在のレシピを変更するか否かを決定するために、フィードフォワード情報、モデリング情報、及びフィードバック情報を使用することができる。
R2Rコントローラ190は、ランダムノイズを除去するためにメトロロジーデータをフィルタする一又は複数のフィルタ(図示せず)を備えることができる。例えば、フィルタは、コントローラの入力または出力に対して適用することができる。ある場合では、フィルタは、上記のコントロール方法を考慮せずに(即ち、参照テーブルを使用することから独立して)、フィルタするために入力される変数(incoming variable)に対して適用することができる。また、これにより、コントローラは、小さなステップで流量を変更し、そして圧力および流量変更のステップの段(stair)を変更するといったように、コントロールの範囲にわたって各出力変数を変更することができる。
外れ値フィルタは、統計的に正常でなく、ウエハ計測値の平均計算の際に考慮すべきでない外れ値を除去するために使用することができる。外れ値フィルタは、平均値よりも大きい外れ値および小さい外れ値の両方を消去するために使用することができる。例えば、箱ヒゲ法(box and whisker method)を現地(site)メトロロジーデータに適用することができる。この方法は、複数の絶対リミット値を用いることなく維持するために有効であり、シンプルな方法である。これにより、フィルタする一組の各限界値群を、変化する一組の入力されるCD平均データに対して適用でき(各フィルタ限界値に影響を及ぼすことなくターゲットが変化でき)、簡便に可視化できる。外れ値フィルタとともに、付加的な複数のルールが維持するために必要となる(ウエハを統計的に代表するウエハ内の最小点数およびロットを代表する最小ウエハ数)。
ランダムノイズを除去するとともに制御ループを安定させるために、ノイズフィルタを使用することができる。指数的加重遷移平均(Exponentially Weighed Moving Average: EWMA)やカルマンフィルタを適用できる。フィルタが使用されるとき、時定数(EWMAの場合ではラムダが時定数となる。)が設定されなければならない。例えば、EWMAの計算は、異常に加えられた複数のデータポイントを得るために、完全な履歴上の各時間(complete
history each time)を用いて、日付および時刻を処理することによって、実行することができる。
R2Rコントローラは、フィードフォワードデータを受信しかつ利用することができる。例えば、R2Rコントローラは、処理される次の材料および各所望プロセス結果(目標CD)に関する情報を受信することができ、かつ、R2Rコントローラは、各所望プロセス結果を得るために、一組のレシピパラメータ群を提供することができる。R2Rコントローラは、フィードバックデータを受信しかつ利用することができる。例えば、R2Rコントローラは、既に処理された材料に関する情報を受信することができ、かつ、このデータに基づきプロセスモデルを調整することができる。R2Rコントローラは、遅延したフィードバックデータを受信しかつ利用することができる。例えば、R2Rコントローラは、ツールによって処理されたデータを順番に受信していない場合であっても、既に処理された材料に関する情報を受信することができ、かつ、このデータに基づきプロセスモデルを調整することができる。R2Rコントローラは、コントローラを定義して制御するために、手入力されたデータを受信しかつ利用することができる。例えば、コントローラの設定情報を手入力する手段として、R2RコントローラのGUI要素が用いられる。
R2Rコントローラは、例外条件の通知を送信しかつ受信することができる。例えば、R2Rコントローラは、ファクトリレベルのコントローラやツールレベルのコントローラとの間で、各通知を送信しかつ受信することができる。また、例外条件を特定した後に、電子診断ネットワーク、電子メール、又は紙を介して、通知を送信することができる。
R2Rコントローラは、シミュレーションモードで実行することができる。例えば、R2Rコントローラは、実際のプロセスモードと平行して、シミュレーションモードで操作することができる。この場合には、R2Rコントローラのログ及び履歴データベースに各シミュレーション動作が記録され、即座の動作(immediate action)は取り上げられない。
プロセスモデルは、ガス流量に対する複数の入力パラメータを提供するだけでなく、ガス流量比に対する複数の入力パラメータを提供する。例えば、R2Rコントローラは、ガス流量比を計算して確立することができるとともに、混合ガスの全流量を調整することができる。
R2Rコントローラは、次の材料の内容に基づいて、複数のプロセスモジュールを選択することができる。例えば、R2Rコントローラは、次の材料の状態およびプロセスレシピに基づいて、複数のプロセスモジュールを選択することができる。R2Rコントローラは、システムが正当なR2Rの設定を計算できることを検証する手段を備えることができる。例えば、R2Rコントローラは、ロットの開始に先立ち、レシピの各パラメータ設定値を検証する手段を備えることができる。R2Rコントローラは、レシピの各設定ポイントにおける各デフォルト設定値を使用する手段を備えることができる。例えば、R2Rコントローラが特定のウエハに対してレシピの各パラメータを提供することができないとき、“通常”のレシピにおけるレシピのパラメータを使用することができる。
R2Rコントローラは、入出力データをアーカイブするためのデータベース要素を備えることができる。例えば、R2Rコントローラは、受信した入力データ、送信した出力データ、及びコントローラによって行われた各動作を、検索可能なデータベース内にアーカイブすることができる。また、R2Rコントローラは、データをバックアップし復元するための手段を備えることができる。さらに、検索可能なデータベースには、モデル情報、設定情報、及び履歴情報を含ませることができ、R2Rコントローラは、過去の及び現在のモデル情報及びモデル設定情報をバックアップし復元するために、データベース要素を用いることができる。
R2Rコントローラは、ウェブによるユーザインターフェースを備えることができる。例えば、R2Rコントローラは、データベース内のデータを見るためのウェブによるGUI要素を備えることができる。R2Rコントローラは、安全管理者によって与えられる許可に応じた多数のアクセスレベルを提供することができる安全要素を備えることができる。R2Rコントローラは、導入時に提供される一組のデフォルトモデル群を備えることができ、これにより、R2Rコントローラは、各デフォルト状態にリセットすることができる。
R2Rコントローラは、例外条件の性質に応じて、例外条件に対して種々の動作を行うことができる。例えば、例外条件は、測定データの欠落、目標CDの欠落、メトロロジーエラー、レシピパラメータ限界値の超過、プロセスモジュールパラメータ限界値の超過、及び/又は、異常として受信したフィードバックイベントを含むことができる。例外条件の時に行われるこれらの動作は、例えば、システムレシピ、プロセスレシピ、モジュール形式、モジュール特定(identification)番号、ロードポート番号(load
port number)、カセット番号、ロット番号、制御ジョブID、プロセスジョブID及び/又はスロット番号によって特定されるコンテキストに対して確立される複数のビジネスルールに基づく。上記コンテキストは、最上位のレベルから最下位のレベルまで序列を持たせて整理することができる。より高いレベルのコンテキストに合致した場合は、より低いレベルのコンテキストに合致した場合に対して上書きされる。合致するコンテキストが見つからない場合には、デフォルト動作を実行することができる。
R2Rコントローラの各入力は、複数の命令(instructions)、基材の状態、モジュールの物理的状態、プロセス状態、及び/又はコントローラの各パラメータを含むことができる。また、R2Rコントローラの各入力は、各フィードフォワード/フィードバックループの時定数、蓄積に対するリセットイベント(reset event for accumulation)、IMMステップ、及びODPオフセットを含むことができる。各命令は、複数の目標(targets)、複数の公差、複数の計算上の命令、複数のデータ収集プラン、複数のアルゴリズム、複数のモデル、複数の係数、及び/又は複数のレシピを含むことができる。基材の状態は、(現地(site)、ウエハ、ロット、バッチ状態の)処理されている基材からの情報、分布、及び/又は物理的に又は電気的に測定された各特性を含むことができる。モジュールの物理的状態は、RF時間、ウエハ数、及び/又は各消耗状態といった基材を処理するために用いられるモジュールおよび各要素の現在または過去の既知の記録された状態を含むことができる。プロセス状態は、トレースデータ及び/又は要約した統計値といった、プロセス環境の各センサから得られる現在又は過去の既知の測定状態を含むことができる。コントローラの各パラメータは、基材の状態、モジュールの物理的状態、及び/又はプロセス状態を生成できる、レシピ/コントローラの各設定ポイントおよび各プロセス目標に対する過去の複数の設定を含むことができる。
R2Rコントローラの出力は、以下の項目を含むことができる:すなわち、生成かれた複数のパラメータ、複数の設定、イベントまたはメッセージ、介入(intervention)、生成されたコンテキスト、ログメッセージ、及び/又は履歴である。例えば、解析のためにオフラインの各システムに送られるデータである。生成された各パラメータは、コントローラ、プロセス、材料、及び/又は設備(equipment)の状態を表すことができる、コントローラによって生成される情報を含むことができる。各設定は、R2Rコントローラによって計算されるとともに典型的にはルーチン的にツールに対してダウンロードされるプロセスツールの複数のパラメータを含むことができる。例えば、これらのパラメータは、ステップごとの時間、圧力、温度、ガス流量、及び/又は電力を含むことができる。イベントまたはメッセージは、制御中のシステムに例外条件が発生したか示す情報を含むことができる。介入は、各解析結果に基づいてR2Rコントローラによって推奨される(行われる)動作に関する情報を含むことができる。生成されたコンテキストは、R2Rコントローラによって生成されるコンテキスト情報を含むことができる。ログメッセージは、R2Rコントローラの各活動を既述するテキストメッセージとすることができる。履歴の項目は、解析の決定サポートシステム(Decision Support System: DSS)形式のために、各オフラインシステムへと送られるデータを含むことができる。
R2Rコントローラは、少なくとも一つのコンピュータと、少なくとも一つのコントローラ用アプリケーションをサポートするソフトウェアとを備えることができる。R2Rコントローラは、データを保存する少なくとも一つの保存装置を備えることができる。例えば、少なくとも一つのコンピュータは、東京エレクトロン株式会社から提供されるインジニオ・ソフトウェア(Ingenio software)等のオペレーショナル・ソフトウェアを実行することができる。ある場合では、オペレーショナル・ソフトウェアは、設定(configuration)、データ管理、故障管理、トラブルシューティング、及びGUIを介したインターフェースのうちの少なくとも一つを実行できる。複数の設定GUI画面は、コンピュータとプロセス要素との間のインターフェースを設定し、(例えばツールモジュール、センサ等の)プロセス要素に対するデバイス形式を決定するために用いることができる。複数のデータ管理GUI画面は、収集するデータの量および形式を決定し、収集したデータの保存方法および保存場所を決定するために使用することができる。さらにまた、複数の故障管理GUI画面は、各故障状態をユーザに通知するために使用することができる。
図2は、本発明の一実施形態にかかる処理システムを操作する方法を例示的に示したフローチャートである。
ステップ210では、事前プロセスメトロロジーデータを得ることができる。メトロロジーデータは、現地測定データ及び/又はウエハデータを含むことができる。例えば、現地測定データは、決定係数(Goodness Of Fit: GOF)、格子厚さ(grating thickness)CD、材料厚さ、材料断面積、トレンチ断面積、側壁角度、差動幅(differential width)、現地の結果、及び/又はサイト数(site number)を含むことができる。ウエハデータは、CD測定フラグ、測定地点数、レシピ結果、X座標、及び/又はY座標を含むことができる。また、事前プロセスメトロロジーデータは、少なくとも一つの孤立した形態についての孤立CDのデータと、少なくとも一つの密集した形態についての密集CDのデータとを備えることができ、プロセスレシピは、孤立(isolated)CDのデータ及び密集CDのデータを目標CDと比較することによって決定することができる。例えば、第1トリミングプロセスは、孤立CDのデータと目標CDデータとの差に基づいて実行することができ、第2トリミングプロセスは、密集CDのデータと目標CDのデータとの差に基づいて実行することができる。さらにまた、第1の差分は、第1の形態に対するCDデータと目標CDデータとの差に基づいて決定することができ、第2の差分は、第2の形態に対するCDデータと目標CDデータとの差に基づいて決定することができ、そして、トリミングプロセスは、第1の差分と第2の差分との差に基づいて実行することができる。
事前プロセスメトロロジーデータは、フォードフォワード制御に用いることができる。さらに、現地計測データは、いくつかのビジネスルールに応じたコントロールウエハに対する統計値として要約することができる。
事前プロセスメトロロジーデータをフィルタすることができる。例えば、外れ値とされかつ統計的に不適切とされるウエハに関する複数のデータポイントを除去するために、外れ値除去フィルタを用いることができる。換言すれば、信用できないとされた現地計測データは、破棄されるとともに、ウエハの平均値計算に使用しないこととすることができる。
ある場合では、事前プロセスメトロロジーデータについて、平均値/シグマ比較法(Mean/Sigma comparison method)を用いることができる。例えば、シグマ乗数Xを特定し、全てのデータポイントから平均値とシグマを算出し、二つの限界値(平均値+X倍のシグマ、及び、平均値−X倍のシグマ)を計算する。そして、各限界値の外側の全てのデータポイントを除去し、平均値を再び計算して、最終IM計測値を表すことができる。あるいは、箱ひげプロット法(box and whisker plot methodology)を用いて、各外れ値を特定することができる。
ステップ215では、基材に対する所望状態を決定するために用いることができる、一又は複数の所望出力パラメータを提供することができる。例えば、目標CDを提供することができ、制御されるCDは事前に知られていなければならない。換言すると、制御されるCDと目標CDとの間の制御位置の同一性は、一致していなければならない。なぜならば、制御されるCDは制御されるプロセスによって定義され、目標CDは制御される処理チャンバによって定義されるからである。ここで、各目標CDは、対応する制御チャンバに関連付けすることができ、各制御チャンバに関連付けられた目標CD値は、そのロットが開始される前に特定することができる。
さらに、目標CDの情報源を事前に特定することができる。例えば、外部ファクトリ目標CD(external Factory Target CD)及び内部目標CD(Internal Target CD)といった少なくとも二つの形式の目標CDがある。ここで、外部ファクトリ目標CDは、TLコントローラを介してMESから提供されるCDであり、内部目標CDは、GUIからの入力を用いて提供される。
ステップ220では、所望プロセス結果を決定することができる。例えば、目標CDは、事前プロセスメトロロジーデータと比較することができる。事前プロセスメトロロジーデータが目標CDよりも小さいときには、エラーを宣言することができる。事前プロセスメトロロジーデータが目標CDにほぼ一致しているときには、“空(null)”状態を宣言することができる。事前プロセスメトロロジーデータが目標CDよりも大きいときには、トリム量を規定することができる。トリム量と各レシピパラメータとの間の関係を含むプロセスモデルが検証された場合には、プロセス中の除去されるトリム量を、所望結果としてみなすことができる。
ステップ225では、用いられるレシピを決定することができる。例えば、一又は複数のプロセスモデルを提供することができる。プロセスモデルは、各所望結果(出力)と、これらの所望結果を得るために必要とされる受信した複数の変数との間の検証された関係を表す。各プロセスモデルは、表に基づく複数のモデルを含むことができる。
表に基づく複数のモデルは、いくつかの評価済みの実験データに基づく複数のレシピ変数との所望結果の区分的関連性を含む複数の表を備えることができる。プロセスモデルは、線形または非線形とすることができる。
図3に示されているように、本発明は、特定のコントロールCDに対するトリミングエッチング量を制御し、公差内で目標CDに到達するために、用いることができる。例えば、(TL及びR2R)コントローラは、トリムするエッチング量に基づいた単一のビン(bin)アルゴリズム(コントロールレシピ選択方法)を使用することができる。先ず第1に、トリムされる量に関する情報を含む複数のコントロールレシピが評価されるとともに、事前に適切と判断されなければならない。表1には、評価結果の一例が示されている。ノミナルレシピ(Nominal Recipe)は、トリムエッチング量(TA)を備えた基本参照プロセスレシピである。この例示では、それぞれが対応するトリムエッチング量(TA1,
TA2, TA3, TA4)を備えた4つのコントロールレシピ(コントロールレシピ1,2,3,4)が示されているが、本発明はこれに限定されるものではない。異なる数のレシピを用いることができ、多次元(即ち、目標CD及び目標深さ)のレシピを用いることができる。
表1 コントロールレシピの参照テーブルの例
Figure 0004723513
上記レシピの参照テーブル(表1)に基づいて、レシピ選定の概念のチャートが図4に示されている。各々のビンは、同チャートでは片側のみが示されているが、両側の寸法(2倍のサイズ)を表している。また、ビンの境界(BB1−BB5)が示されている。
ある場合では、ユーザは、各コントロールレシピに対して、複数のコントロール変数設定(control variable settings)を定義し、各コントロールレシピを対応するトリム量と関連付け、トリム量の上方および下方の境界を入力することができる。上方の境界は、事前に適正とされた(pre-qualified)各コントロールレシピによって得ることができるトリム量についての上限値を確立するために使用することができる。例えば、上方の境界を超えているときは、エラー条件を宣言することができ、又は、多ステッププロセスを実行することができる。下方の境界は、事前に適正とされた(pre-qualified)各コントロールレシピによって得ることができるトリム量についての下限値を確立するために使用することができる。ユーザが定義を行った後に、TLコントローラ(インジニオ)は、入力されたトリム量(各TA)に基づいて、上方の境界と下方の境界との間のトリム量の領域を複数のビン領域に分割する。各ビンの下方および上方の境界は、2つの近接するトリム量の中央値によって決定され、コントロールレシピとトリム量との各関連付けがビンに対して割り当てられる。あるいは、コントロールレシピ、各トリム量およびトリムの各境界に対する複数のコントロール変数設定は、MESからダウンロードすることができる。
各ビンは、自身のトリム量の境界を有している。いったん所望CDが決定されると、TLコントローラ(インジニオ)は、トリムされる量に位置するビンを決定することができる。例えば、所望CDは、計測されたCD、計算されたCD、及び/又は特定されたCDとなり得る。これは、コントロールレシピにおける最も近いトリム量が選択されるということを意味する。例えば、単純なビンアルゴリズムが図5に示されている。この例では、コントロールレシピ3が選択され、基材はTA3を用いてトリムされることになる。トリムされる量とコントロールレシピの選択されたトリム量との差、即ちトリム量の誤差は、目標CDの抗さないに規定されなければならない。
制御上の故障(control failure)は発生するおそれがあり、TLコントローラ(インジニオ)又はツール(テイウス)のいずれか一方は、制御上の故障を検出することができる。メトロロジーデータの故障およびレシピ選択の故障は、TLコントローラ(インジニオ)によって検出することができる制御上の故障のパターンである。ツールによって検出することができる制御上の故障のパターンは、レシピ受け取りのタイムアウト、統合通信の故障(integration communication failure)、及び同期故障(synchronization failure)である。
制御上の故障(レシピ受け取りタイムアウト又は統合通信故障)が発生すると、TLコントローラ(インジニオ)を制御するために、以下のオプションの一つを使用することができる。それば、ツールプロセスレシピ(tool process recipe)、プロセスを行わないバイパス、及びR2Rコントロールの実行の停止である。制御上の故障が発生すると、ツール(テリウス)の動作を制御するために、以下のオプションの一つを使用することができる。それは、ロットの継続、及びロットの中止である。
コントロールプランは、独立したラン−トゥ−ラン(run-to-run)・コントロールユニットとしてみなされることができる。このユニット内で、各定義設定は、プロセスツール、コントロールプロセス、制御上の故障動作および/またはコントロールアルゴリズム情報を備えた統合コントロールから成っている。この独立したコントロールユニットは、(複数の)コントロールチャンバと、関連するメトロロジーデータソース表示(associated metrology data source indication)とを含んでいる。
ステップ230において、ウエハは、ステップ225にて決定されたレシピを用いて処理される。例えば、トリミングの作業は、CORモジュール、PHTモジュール、及び少なくとも一つのバッファモジュールを備えることができる処理サブシステム(処理シップ(process ship))を用いて実行することができる。
第1に、ツールは、ウエハを第1バッファ(ロードロック(LL))モジュール内へと移動することができる。第1バッファ(ロードロック)モジュールは、真空源へとつながれてポンプダウンされる;ツールは、ウエハを第2バッファ(PHT)モジュールへと移動することができる;複数のGUIステータス画面はアップデートされる(LL内のウエハを示す)。次に、ツールはウエハを第1処理(COR)モジュール内へと移動することができる;TLコントローラ(FDC要素)は、コントロールストラテジー(control strategy)内で定義されたデータ収集(DC: data collection)計画を選択することができるとともに、各センサを設定する;各ステータス画面はアップデートされることができる;モジュール状態を変更することができる;ツールは、第1処理モジュールに対して“レシピス開始”を実行する;各ステータス画面はアップデートされることができる(モジュール状態は“ウエハ処理中”へと変更することができる)。そして、各センサによる記録を開始することができる;レシピは、各処理ステップを通して繰り返し実行する;第1処理モジュールは、“レシピ終了”イベントを送信することができる;各センサによる記録を停止することができる;ツールは、ウエハを第2バッファ(PHT)モジュールへ移動する。次に、TLコントローラ(FDC要素)は、ツールから(複数の)データファイルを収集することができるとともに、データ収集プランフィルタに基づいてデータの処理を開始することができる;TLコントローラ(FDC要素)は、コントロールストラテジー内で定義された分析計画を選択することができ;プロセスモジュール及びプロセス状態データ;データベース(即ち、モジュール状態および処理状態)をアップデートすることができる。そして、各ステータス画面はアップデートされることができる(モジュール状態はLL/PHT内のウエハを示すことができる);第2バッファ(PHT)モジュールに対して“レシピ開始”を実行する;各ステータス画面はアップデートすることができる(モジュール状態は“ウエハ処理中”を変更することができる)。そして、各センサによる記録を開始することができる;レシピは、各処理ステップを通して繰り返し実行する;第2バッファ(PHT)モジュールは、“レシピ終了”イベントを送信することができる;各センサによる記録を停止することができる;ツールは、ウエハを第1バッファ(ロードロック)モジュールへと移動する;真空度を真空状態から大気圧へと変更する;ツールは、ウエハを第1バッファ(ロードロック)もジュウールの外部へと移動する;各ステータス画面がアップデートされる。
ステップ235では、事後プロセスメトロロジーデータを取得することができる。事後プロセスメトロロジーデータは、数分から数日の間で変更することができる所定時間遅れを経た後に取得することができる。事後プロセスメトロロジーデータは、フィードバック制御の一部分として用いることができる。また、現地計測データは、いくつかのビジネスルールに応じて、コントロールウエハに対する統計値としてまとめることができる。IMデータは、一のコントロールプランに対する事前メトロロジーデータとすることができるとともに、異なるコントロールプランに対する事後メトロロジーデータとすることができる。また、事後プロセスメトロロジーデータをフィルタすることもできる。例えば、外れ値とされかつ統計的に不適切とされるウエハに関する複数のデータポイントを除去するために、外れ値除去フィルタを用いることができる。換言すれば、信用できないとされた現地計測データは、破棄されるとともに、ウエハの平均値計算に使用しないこととすることができる。
ある場合では、事前プロセスメトロロジーデータについて、平均値/シグマ比較法(Mean/Sigma comparison method)を用いることができる。例えば、シグマ乗数Xを特定し、全てのデータポイントから平均値とシグマを算出し、二つの限界値(平均値+X倍のシグマ、及び、平均値−X倍のシグマ)を計算する。そして、各限界値の外側の全てのデータポイントを除去し、平均値を再び計算して、最終IM計測値を表すことができる。あるいは、箱ひげプロット法(box and whisker plot methodology)を用いて、各外れ値を特定することができる。
ステップ240では、事後プロセスメトロロジーデータは、実際のプロセス結果を決定するために、事前プロセスメトロロジーデータと比較される。事後プロセスCDデータは、プロセスまたはプロセスステップから得られる実際のプロセス結果を表すことができる。また、プロセス中に計測されたトリム量は、プロセス結果とみなすことができる。
ステップ245では、プロセスが完了したかを決定するために、判定がなされる。例えば、目標CDが達成されたときに、プロセスを完了することができる。プロセスが完了したとき、フロー200ではステップ250へと分岐し、終了する。プロセスが完了していないときは、フロー200はステップ220へと分岐する。
プロセス誤差の量を評価するオフセット値を決定できるようになっている。オフセット値は、プロセストレンドを表すとともに、プロセスモデルおよび各レシピパラメータを最適化するようにコントローラへと要求する。
ある実施例では、“複数のコントロールストラテジー(Control Strategies)”を用いることができる。例えば、各コントロールストラテジーは、システムレシピに基づいて選択することができる。コンテキスト(context)に合致した各々のコントロールストラテジーを実行することができる。各コントロールストラテジーは、“ウエハ毎の(wafer by wafer)”基準で評価することができる。各コントロールストラテジーは、一又は複数のコントロールプランを含むことができる。各コントロールプランは、コントロールモデルを含むことができる。多数のコントロールモデルが一度に実行されると、先のモデルからの出力は次のモデルに対する入力として使用される。コントロールが行われている各々のプロセスモジュールに対して、少なくとも一つのコントロールプランが存在する。
R2Rコントローラは、一又は複数のコントロールストラテジーを備えている。コントロールストラテジーは、合致するシステムレシピおよび他のコンテキスト合致基準(context matching criteria)を備えている。コントロールストラテジーは、一又は複数のコントロールプランを含む。各コントロールプランは、実行する(複数の)コントロールモデルを選択するのに必要な情報を含む。各コントロールプランは、一つの及び唯一のプロセスモジュールと関連付けされている。したがって、コントロールが行われている各々のプロセスモジュールに対して少なくとも一つのコントロールプランが必要となる。例えば、各コントロールモデルは、運用されるテーブルとなり得る。全ての定義情報は、データベース内に保存することができる。
R2Rをセットアップする際の1ステップは、ツールからシステムレシピをダウンロードすることである。ユーザは、一組のシステムレシピ群を要求して見ることができ、ツールからダウンロードするために一又は複数のシステムレシピを選択することができる。システムレシピがダウンロードされると、そのシステムレシピの名前、輸送ルート、(複数の)モジュールレシピの名前、及びバイナリファイルをデータベース内に保存することができる。
R2Rコントローラに対する定義画面は、各コントロールストラテジー、各コントロールプランおよび各コントロールモデルがどのように関連しているのかを示すツリー形式による表示を備えている。ユーザは、各コントロールストラテジー、各コンとローフプランおよび各コントロールモデルの作成、インポート、エキスポート、編集、コピー、削除、リオーダ(reorder)、関連付け、及び関連付け解除を行うことができる。あるオブジェクトが選択されて子形式(child type)が作成されると、この子形式を親と関連付けできるようになっている。例えば、あるコントロールストラテジーが選択されて新しいコントロールプランが作成されると、このコントロールプランを当該コントロールストラテジーと関連付けできるようになっている。
ツリーは、各コントロールストラテジーに対するシステムレシピ名および各コントロールプランに対するモジュールレシピ名を表示することができる。
ある場合では、多数のGUI画面は、それぞれ異なる個々の機能を有するR2Rコントローラと関連付けすることができる。例えば、ラン・トゥ・ラン・コントローラ画面(Run
to Run Controller screen)により、ユーザは、他のスクリーンに切換えることができる;レシピレンジ画面(Recipe Range screen)により、ユーザは、ユニット毎に各ツールプロセスレシピ変数を見ることができ、これら変数のいくつかをコントロール変数として選択することができ、各コントロール変数に対する上方および下方のプロセス変数リミット値を入力することができる;コントロールレシピ画面(Control Recipe screen)により、ユーザは、コントロールされるものとして選択された各ツールプロセスレシピ変数を見ることができるとともに、各レシピステップに対するコントロール変数の各設定を入力することができる;コントロールプラン画面(Control Plan screen)により、プロセスツール、コントロールプロセス及びコントロールアルゴリズム情報だけでなくコントロール故障動作情報についての統合コントロール情報の定義を行うことができるとともに、ユーザは、単一ビンテーブル(bin table)をビンニング(binning)するための各コントロールレシピに関連付けされたトリムエッチング量を入力することができる;コントロールステータス画面(Control Status screen)により、ユーザは、選択されたラン・トゥ・ラン・コントロールプランおよびステータス情報に関連するコントロールウエハを見ることができる。
プロセスのラン・トゥ・ラン・コントロールに対する例示的な手続きは以下の通りである:1.レシピレンジ画面を選択し、各デフォルト設定に基づいて設定する。2.コントロールレシピ画面に切り替えて、各レシピレンジ定義設定に基づいて設定する。3.コントロールプラン画面に切り替えて、統合タブ、コントロールタブ、及びアルゴリズムダブを設定する。4.コントロールプラン画面のアルゴリズムタブからビンニングテーブルウィンドウ(Binning Table window)に切り替えて、各コントロールレシピ定義設定に基づいてビンテーブルを設定する。5.コントロールステータス画面に切り替えて、選択された過去の又は現在実行しているコントロールプラン状態およびコントロールウエハ状態を見る。
図6には、レシピレンジ画面の一例が示されている。この画面により、ユーザは、ユニット毎に各ツールプロセスレシピ変数を見ることができ、各コントロール変数を選択することができ、各コントロール変数に対する上方および下方のプロセス変数リミット値を入力することができる。各変数の説明は編集可能となっている。
レシピレンジ画面は、多数の設定アイテムを備えることができる。表2は、レシピレンジ画面上の設定アイテムのいくつかを例示している。
表2 レシピレンジ設定のための表示
Figure 0004723513
レシピレンジ画面は、Protection(保護)チェックボックス等の少なくとも一つのチェックボックスを備えることができる。表3には、レシピレンジ画面上の各チェックボックス項目のいくつかが例示されている。
表3 レシピレンジ設定におけるチェックボックスの説明
Figure 0004723513
表4には、レシピレンジ画面上の付加的な表示項目のいくつかが例示されている。
表4 レシピレンジ画面上の表示テーブル項目の説明
Figure 0004723513
また、レシピレンジ画面は、複数のボタン項目等の多数の選択項目を備えることができる。表5には、レシピレンジ画面上のボタン項目がいくつか例示されている。
表5 レシピレンジ設定領域におけるボタンの説明
Figure 0004723513
表6には、レシピレンジ画面上の付加的なボタン項目がいくつか例示されている。
表6 レシピレンジ画面におけるボタンの説明
Figure 0004723513
レシピレンジ画面の左側には、レシピレンジナビゲータパネルが表示される。各レシピレンジは対応するプロセスモジュールに関連付けされている。ツリーリスト上のレシピレンジ名をクリックして底部にあるいずれかの機能ボタンをクリックすることにより、ユーザはレシピレンジの操作をすることができる。
図6に示したようなレシピレンジ画面を用いて、ユーザは、レシピレンジ設定を実行することができ、現在のレシピレンジを見ることができ、新しいレシピレンジを作成することができ、現在のレシピレンジをコピーすることができ、現在のレシピレンジを編集することができ、かつ、現在のレシピレンジを消去することができる。
また、レシピレンジ画面のようなR2Rコントローラ画面は、ソフトウェアおよびツールバージョン情報を見るためのボタンを備えることができる。
図7は、本発明の一実施形態にかかるコントロールレンジ画面(Control Recipe Screen)を例示的に示した図である。この画面により、ユーザは、制御されるものとして選択された複数のツールプロセスレシピ変数を見ることができ、かつ、各レシピステップに対するコントロール変数の設定を編集することができる。
コントロールレシピ画面は、多数の設定項目を備えることができる。表7には、コントロールレシピ画面上の設定項目のいくつかが例示されている。
表7 コントロールレシピ設定に対する表示項目
Figure 0004723513
表8には、コントロールレシピ画面上の表示テーブル項目のいくつかが例示されている。
表8 コントールレシピ設定に対する表示テーブル項目
Figure 0004723513
コントロールレシピ画面は、Protectionチェックボックス等の少なくとも一つのチェックボックスを備えることができる。表9には、コントロールレシピ画面上のチェックボックス項目のいくつかが例示されている。
表9 Protectionチェックボックス
Figure 0004723513
また、コントロールレシピ画面は、複数のボタン項目といった多数の選択項目を備えることができる。表10には、コントロールレシピ画面上のボタン項目のいくつかが例示されている。
表10 コントロールレシピボタン
Figure 0004723513
コントロールレシピ画面の左側には、コントロールレシピナビゲータパネルが表示される。各コントロールレシピは対応するレシピレンジに関連付けされている。二層のフォルダがツリー構造で示されている。ツリーリスト上のコントロールレシピ名をクリックして底部にあるいずれかの機能ボタンをクリックすることにより、ユーザはコントロールレシピの操作をすることができる。ツリーリスト上のレシピレンジ名をクリックして底部にあるいずれかの機能ボタンをクリックすることにより、ユーザはレシピレンジの操作をすることができる。
図7に示したようなコントロールレシピ画面を用いて、ユーザは、コントロールレシピ設定を実行することができ、現在のコントロールレシピを見ることができ、新しいコントロールレシピを作成することができ、現在のコントロールレシピをコピーすることができ、現在のコントロールレシピを編集することができ、かつ、現在のコントロールレシピを消去することができる。
図8は、本発明の一実施形態にかかるコントロールストラテジー画面(Control Strategy Screen)を例示的に示した図である。コントロールストラテジーは、合致するシステムレシピと、他のコンテキスト合致基準(context matching criteria)を備えている。また、コントロールストラテジーは、実行する(複数の)コントロールモデルを選択するのに必要な情報を含む複数の独立したコントロールプランを含む。コントロールストラテジー画面により、ユーザは、各コントロールチャンバのフラグとともにシステムレシピをダウンロードすることができ、かつ、輸送ルートとともにシステムレシピ名を手入力することができる。さらに、ユーザは、いくつかのコンテキスト合致基準を選択的に作成することができる。
コントロールストラテジー画面は、多数の設定項目を備えることができる。表11には、コントロールストラテジー画面上の設定項目のいくつかが例示されている。
表11 コントロールストラテジー画面の構成
Figure 0004723513
コントロールストラテジー画面は、多数の付加的な設定項目を備えることができる。表12には、コントロールストラテジー画面上の付加的な設定項目のいくつかが例示されている。
表12 コントロールストラテジー画面の付加的な構成
Figure 0004723513
さらに、コントロールストラテジー画面は、ボタン項目等の多数の選択項目を備えることができる。表13には、コントロールストラテジー画面上のボタン項目のいくつかが例示されている。
表13 コントロールストラテジーボタン
Figure 0004723513
また、コントロールストラテジー画面は、ボタン項目等の付加的な選択項目を多数備えることができる。表14には、コントロールストラテジー画面上の付加的なボタン項目のいくつかが例示されている。
表14 付加的なコントロールストラテジーのボタン
Figure 0004723513
コントロールストラテジー画面の左側には、コントロールストラテジーナビゲータパネルが示されている。標準およびシミュレーションから成る2つの形式のフォルダとされている。標準形式のフォルダは、プロセスツールを有する(ノーマル・ラン・トゥ・ラン統合コントロール(normal run to run integrated control)として構成された)複数の標準コントロールストラテジーを備えている。シミュレーション形式のフォルダは、複数のシミュレーションコントロールストラテジーを備えている。各々のシミュレーションコントロールストラテジーは、いくつかのシミュレーションコントロールプランと関連付けられている。選択されたモデルに基づいて、コントロールプランは各レシピ変数を調整する。各レシピ変数は、インジニオ上にログされるが、プロセスツールへは送信されない。多数のシミュレーションコントロールストラテジーを同時に実行することができるが、コントロールプランの一つの標準形式が所定のウエハのために実行される。標準コントロールストラテジーは、標準フォルダからシミュレーションフォルダへと移動することができる。シミュレーションコントロールストラテジーは、まるで対応するシステムレシピがツールからダウンロードされたかのように、標準フォルダへと移動することができる。プロテクトされた各コントロールストラテジーは、コントロールストラテジー名の横に星印を有している。プロテクトされたコントロールストラテジーのみを実行することができる。
図8に示したようなコントロールストラテジー画面を用いて、ユーザは、コントロールストラテジー設定を実行することができ、現在のコントロールストラテジーを見ることができ、新しいコントロールストラテジーを作成することができ、現在のコントロールストラテジーをコピーすることができ、現在のコントロールストラテジーを編集することができ、かつ、現在のコントロールストラテジーを消去することができる。
図9A−Cのそれぞれは、本発明の一実施形態にかかるコントロールプラン画面(Control Plan Screen)を例示的に示した図である。これらの画面により、ユーザは、プロセスツールに対する統合コントロール情報を設定することができ、コントロールプロセス、及びコントロールアルゴリズム情報だけでなくコントロール故障動作情報を入力でき、シンプルビンテーブル(Simple Bin table)内に、ユーザは、ビンニングのための各コントロールレシピに関連付けされたトリムエッチング量を入力できる。
図9Aには、本発明の一実施例である統合タブページが示されている。
コントロールプラン画面は、多数の設定項目を備えることができる。表15には、コントロールプラン画面上の設定項目のいくつかが例示されている。
表15 コントロールプラン画面の構成
Figure 0004723513
表16には、コントロールプラン画面上の付加的なボタン項目のいくつかが例示されている。
表16 コントロールプラン画面の付加的なボタン
Figure 0004723513
2つの形式のフォルダを有するナビゲーションツリーが示されている。それは、標準およびシミュレーションとされる。標準形式のフォルダは、プロセスツールを有する(ノーマル・ラン・トゥ・ラン統合コントロール(normal run to run integrated control)として構成された)複数の標準コントロールプランを備えている。シミュレーション形式のフォルダは、複数のシミュレーションコントロールプランを備えている。各シミュレーションコントロールストラテジーに対して、プロセスツール上のR2Rコントロール設定が可能となっているか否かは問題ではない。コントローラ(TL及び/又はR2R)は、メトロロジーデータを受け取るとともに処理し、かつ、コントロールモデルを選択する。選択または計算されたコントロールレシピは、ログされるが、プロセスツールには送られない。多数のコントロールプランを同時にトリガすることができる。
コントロールプランの表示項目は、コントロールプラン名のためのNameフィールド(Name field);コントロールプランの説明のためのDescriptionフィールド;Protection(プロテクション)ボックスを含むことができる。Protectionが選択されていないときに、設定を編集することができる。
コントールプラン設定画面の中央には、3つのタブであるIntegration(統合)タブ、Control(コントロール)タブ及びAlgorithm(アルゴリズム)タブが設けられている。
複数のコントロールレシピ項目を作成し、消去し、参照し、編集するために、以下の多数のボタンが示されている:OKボタン、Saveボタン、Cancelボタン、Closeボタン、Newボタン、Copyボタン、Editボタン、及びDeleteボタン
System Recipe Name(システムレシピ名)フィールド及び関連するSelectボタンは、ツール(テリウス)から各システムレシピをダウンロードするとともに、これらを表示する手段とされる。また、ユーザは、システムレシピ名を直接入力することができる。システムレシピ名は、コンテキストシステムレシピ名に合致することにより、コントロールプランをトリガするために使用される。さらにまた、Selectボタンは、ロードポート(Load
Port)選択ウィンドウを開き、このウィンドウから、ユーザは、ロードポートを選択することができ、そして、利用可能なシステムレシピのリストから選択することができる。
Nominal Recipe - 1st(ノミナルレシピ−第1)フィールドは、選択されたシステムレシピ内に含まれるR2Rコントロールチャンバの第1訪問情報(R2R control chamber's first visit
information)のプロセスレシピ名を表示する。Nominal
Recipe - 2nd(ノミナルレシピ−第2)フィールドは、選択されたシステムレシピ内に含まれるR2Rコントロールチャンバの第2訪問情報(R2R control chamber's second visit information)のプロセスレシピ名を表示する。Route(ルート)フィールドは、選択されたシステムレシピに応じたシステムレシピルートを表示する。チャンバシーケンスボタン(Chamber sequence buttons)は、コントロールチャンバを示す。
R2Rコントロール設定情報がツールからのシステムレシピに含まれている場合、コントロールプランは標準フォルダに関連づけされる。当該情報が含まれていない場合、コントロールプランはシミュレーションフォルダに関連づけされるとともに、ユーザはチャンバシーケンスノードグラフ内のチャンバを選択することによりコントロールチャンバを特定しなければならない。
図9Bには、本発明の一実施形態にかかるControl(コントロール)タブページが示されている。例えば、コントロールタブページには以下の3つの部分が設けられている。すなわち、Control and Target CD(コントロール及び目標CD)、Action on Metrology Data Failure(メトロロジーデータ故障における動作)、及びAction on Recipe Selection Failure(レシピ選択故障における動作)である。
コントロールタブページは、CDリストボックスを用いてコントロールできるコントロールCDフィールドを備えることができる。例えば、ユーザは、ティンバODP設定(configuration)を参照したリストからコントロールCDを選択することができ、かつ、選択されたコントロールCDの説明を入力することができる。
Step Average(ステップ平均)又はWafer Average(ウエハ平均)のいずれかのコントロールCD計算方法を選択するための2つのラジオボタンがある。Measurement
Step(計測ステップ)フィールドは、IM計測ステップ(IM Measurement Step)を示している。Step Averageラジオボタンが選択された場合、ユーザはIM計測ステップを特定しなければならない。Wafer Averageラジオボタンが選択された場合、description(説明)を有する計測ステップは無効とされ、“グレーアウト(grayed out)”モードとなる。ユーザが計測ステップを選択した後、ユーザは、計測ステップに対するdescription(説明)を入力できる。
目標CDフィールドは、公差を有する目標CD値をユーザが入力する手段を提供する。計測初期コントロールCD平均を有する入力目標CDは、コントロールレシピ選択に対して、トリムエッチング量を計算するために使用される。Protectionが選択されている場合、入力目標CD公差は、Algorithm(アルゴリズム)タブに入力された全てのトリムエッチング量と比較される。
メトロロジーデータ故障または変数設定選択故障が発生した場合、ユーザは、以下のオプションの一つを選択することができる。すなわち、1)Use tool process recipe(Nominal Recipe)(ツールプロセスレシピ(ノミナルレシピ)を用いる)。ソフトウェアロジックにより、プロセスツールに対して指示が送られ、プロセスツールはツールプロセスレシピを用いる。2)Do not process wafer(Null Recipe)(ウエハを処理しない(ヌルレシピ))。ソフトウェアロジックにより、ウエハに関連するヌルレシピ情報がツールプロセスに対して送られ、ウエハは処理されることなくチャンバ内に入り、出ていく。3)Stop run-to-run control(None)(ラン・トゥ・ランコントロールを停止する(無し))
図9Cには、本発明の一実施形態にかかるAlgorithm(アルゴリズム)タブページが示されている。例えば、単純なビンアルゴリズムが、トリムエッチング量に基づくコントロールレシピ選択方法となり得る。選択された各コントロールレシピ設定がプロセスツール上のプロセスレシピと同じ場合、コントロール変数の名前は、デフォルトにより“ノミナル”となる。これにより、関連するエッチング量をトリムする同じツールプロセスレシピを使用することができる。
Algorithmタブページには2つのボタンがある。Bin(ビン)ボタンは、コントロールレシピ及びトリム量に関連する設定のためのBinning
Table(ビンニングテーブル)ウィンドウ(図10参照)を開くために使用される。Clearボタンは、Binning
Tableの設定を消去するために使用することができる。
Binning Tableウィンドウは、3つの部分を有することができる。Bin Table Boundary入力により、ユーザは、ビンテーブルの境界を入力することができる。Recipe Rangeフィルタにより、ユーザは、選択されたレシピレンジに関連するプロテクトされたコントロールレシピの全てを見ることができる。ユーザは、コントロールレシピ選択ウィンドウを開くために、Control Recipe 1セル及びControl
Recipe 2セルをクリックしなければならない。両方の訪問(visit)のリストから選択した後に、トリムエッチング量は、表示された各コントロールレシピに対して入力することができる。ソフトウェアロジックは、各セルに対するトータルのエッチング量を計算する。
Control Recipe Viewer(コントロールレシピビューワ)が画面の底部に示されている。このコントロールレシピビューワにより、ユーザは、コントロールレシピ情報および説明を見ることができる。コントロールレシピに対する説明は、コントロールレシピ画面から入力された情報に基づいて表示される。ユーザがControl Recipe 1又はControl Recipe
2のセルを選択したとき、Control
Recipe Selection(コントロールレシピ選択)ウィンドウが開き、これにより、ユーザはコントロールレシピを選択できる。デフォルトにより、“Nominal”及び“Null”といった名前が最初の2つのセルに表示される。ここで、Null(ヌル)は、この選択過程では処理を行わないことを意味する。関連するレシピレンジを有するコントロールレシピ画面に新しいコントロールレシピが加えられた場合、ユーザは、コントロールレシピ選択ウィンドウを開くためにControl Recipe(コントロールレシピ)のセルをクリックすることができる。そして、ユーザは、コントロールレシピ選択ウィンドウ内の新しいコントロールレシピを選択する。
ビンニングテーブルの設定が終了すると、ソフトウェアロジックはビン名を与えるとともに、続いてデフォルトにより、ビンテーブルのトリムエッチング入力数およびトリムエッチング量境界数に応じて、各ビン境界を計算する。最後に、ビンテーブルの下方および上方の境界がアルゴリズムタブページ上に表示されるとともに、以下の項目がビンテーブル内にリストされる。ビンフィールドはビン名を示し;Lower(下方)フィールドはビンの下方の境界を示し;Upper(上方)フィールドはビンの上方の境界を示し;Etch(エッチ)フィールドは全トリムエッチング量を示し;Etch 1フィールドは第1訪問(first
visit)トリムエッチング量を示し;Etch 2フィールドは第2訪問(second visit)トリムエッチング量を示し;Control Recipe 1フィールドは第1訪問コントロールレシピ名を示し;Control Recipe 2フィールドは第2訪問コントロールレシピ名を示す。
図11には、本発明の一実施形態にかかるコントロールステータス画面が例示されている。この画面により、ユーザは、選択されたR2Rコントロールプランを見ることができ、かつ、ウエハステータス情報をコントロールすることができる。
コントロールステータス画面は、多数の設定項目を備えることができる。表17には、コントロールステータス画面上の設定項目のいくつかが例示されている。
表17 コントロールステータス画面の構成
Figure 0004723513
コントロールステータス画面は、多数の付加的な設定項目を備えることができる。表18には、コントロールステータス画面上の付加的な設定情報のいくつかが例示されている。
表18 付加的なコントロールステータス画面の構成
Figure 0004723513
また、コントロールステータス画面は、ボタン項目等の多数の選択項目を備えることができる。表19には、コントロールステータス画面上のボタン項目のいくつかが例示されている。
表19 コントロールステータスのボタン
Figure 0004723513
図12には、本発明の一実施形態にかかるステータス画面が示されている。図示された実施例では、CORモジュール、PHTモジュール、及びODPモジュールが、一つのグループで示されている。
複数のサブパネルは、各プロセスモジュールに対するデータを表示するために使用することができる。プロセスモジュールにおける現在処理中のウエハに関する情報は、PM、Run ID及びPlanフィールドに表示することができる。例えば、PMはプロセスモジュール名とすることができ;Run IDは現在のウエハに対するレシピのIDとすることができ;Planは現在のウエハ上に実行されているデータ収集プランの名前とすることができる。
ユーザは、CORモジュール、PHTモジュール及び/又はODPモジュールが正しいデータ収集プランを使用しているかを確認するために、図12に示したようなステータス画面を見ることができる。例えば、ユーザは、適切なメトロロジーデータが収集されているかを検証することができる。
図13には、本発明の一実施形態にかかる設定(configulation)画面が示されている。図示した実施例では、CORモジュール、PHTモジュール、及びODPモジュールが示されている。ナビゲーションツリーは、各モジュールに関連したセンサおよびメンテナンスカウンタを示す。また、各モジュールに関連するデータ収集プランおよびストラテジー(strategies)も示されている。また、各モジュールに対してモジュールポーズ設定が示されている。ユーザは、複数の分析プランおよび複数のストラテジー(strategies)を用いて複数のポーズ動作を設定することができる。
CORチャンバ、PHTチャンバ及びODPチャンバは、システムの導入時に設定することができ、また、各チャンバ設定は、設定画面からアクセス可能な各GUI画面を用いて変更することができる。例えば、複数のドリップダウンリスト(drop-down lists)を使用することができる。
図14には、本発明の一実施形態にかかる設定画面が示されている。図示した実施例では、CORモジュール、PHTモジュール、及びODPモジュールが示されている。ナビゲーションツリーは、各モジュールに関連したセンサおよびメンテナンスカウンタを示す。また、各モジュールに関連するデータ収集プランおよびストラテジー(strategies)も示されている。また、各モジュールに対してモジュールポーズ設定が示されている。ユーザは、複数の分析プランおよび複数のストラテジー(strategies)を用いて複数のポーズ動作を設定することができる。
各ラン・トゥ・ラン・コントロールプランに合致するコンテキストは、各データ収集プラン及び各分析ストラテジーを利用できる全てのオプションを含むことができる。
GUIにより、コントロールプランのコンテキストのマッチング(合致)を設定することができる。コントロールプランコンテキストの定義は、通常セキュリティレベルよりも大きな重要度を持ってユーザに対して許可することができる。
コントロールプランは、一般に、プロセスモジュールとプロセスレシピの組合せに関連している。ツールは、同一のプロセスレシピを含むために多数のシステムレシピを許容するので、R2Rは、コントロールプランを共有するために多数のコントロールストラテジーを許容することができる。
異なるプロセスモジュールレシピに対して異なるプロセスモジュール限界値(リミット値)を設けても良い。そのため、各々のコントロールプランは、R2Rコントローラによってツールへと送られる複数のレシピ修正のために使用される複数のレシピ制約条件を設定できる必要がある。
コントロールプランは、コントロールモデルに使用されることになる最大および最小の範囲を特定することができる。コントロールモジュールの範囲は重複しても良い。最小および/または最大の範囲が入力されていない場合、欠落した範囲(missing range)は無制限となる。
R2Rコントローラを使用するための一つの方法は、システムレシピがツール上で作成されたときに、ツール(テリウス)レシピにリンクされたコントロールストラテジーの作成を要求する。ユーザは、各モジュールに対して、データ収集プランおよび解析プランを入力することができる。ユーザは、特定されたチャンバがコントロールされることになるといったコントロールプラン(どのチャンバデータを用いて、アルゴリズムを分析するか)を入力することができる。
COR、PHT、ODPといったモジュール形式および複数のバッファチャンバは、データベース内に保存される。したがって、ユーザは、導入後に、COR、PHT、ODPおよび各バッファチャンバに対する複数のモジュールインスタンス(Module Instances)を設定することができる。例えば、システムの一部として設けられた複数のモジュールインスタンス設定画面を用いることができる。また、複数のデータマネージャ(Data Manager)画面を用いて、各ストラテジーや各プランを、COR、PHT、ODPおよび各バッファチャンバに対して作成することができる。例えば、ユーザは、上記各モジュールインスタンスがモジュールインスタンス画面上に設定されたときに、COR、PHT、ODPおよび各バッファチャンバに対して、データ収集ストラテジー(Data Collection Strategy)および分析ストラテジー(Analysis Strategy)を作成することができる。複数のチャート画面は、COR、PHT、ODPおよび各バッファチャンバを利用することもできる。
データマネジメントシステムは、CORチャンバ及びPHTチャンバ上で作成された複数のトレースログファイル及び複数のプロセスログファイルを収集する。DCプラン上で選択されたトレースログデータは、データベース内に保存される;ユーザは、解析プランのためにDCプラン上で選択されたデータを使用することができる;ユーザは、チャート作成のためにDCプラン上で選択されたデータを使用することができる。また、各GUI画面は、実行中のCOR及びPHTプロセスに関する必要情報を表示するために使用することができる。ユーザは、設定されている場合に、COR及び/又はPHTチャンバを一時停止(pause)するためのモジュールポーズ機能を使用することができる。
TLコントローラは、CORチャンバパラメータに対するSPCアラームを検出したとき、又は、PHTチャンバパラメータに対するSPCアラームを検出したときに、モジュールポーズ(module pause)を実行することができる。モジュールポーズは、対応する各チャンバに対する分析ストラテジーおよび分析プランを用いて設定することができる。
図15は、本発明の一実施形態にかかる処理システムの概略を示した断面図である。図示した実施例では、基材の化学処理および熱処理を行うための処理システム1200が設けられている。処理システム1200は、熱処理システム1210と、この熱処理システム1210に接続された化学処理システム1220を備えている。熱処理システム1210は、温度コントロール可能とされた熱処理チャンバ1211を備えている。化学処理システム1220は、温度コントロール可能とされた化学処理チャンバ1221を備えている。熱処理チャンバ1211と化学処理チャンバ1221とは、以下の詳述するように、断熱組立体1230を用いて互いに熱的に隔離することができ、かつ、ゲートバルブ組立体1296を用いて互いに真空状態を隔離できるようになっている。
図15及び図16に示されているように、化学処理システム1220は、さらに、化学処理チャンバ1221から実質的に断熱されるように構成されるとともに、基材1242を支持するように構成された温度制御された基材ホルダ1240と、化学処理チャンバ1221を真空吸引するために該化学処理チャンバ1221に接続された真空吸引システム1250と、化学処理チャンバ1221内の処理空間1262内にプロセスガスを導入するためのガス分配システム1260とを備えている。
図15及び図17に示されているように、熱処理システム1210は、さらに、熱処理チャンバ1211内に取り付けられるとともに、該熱処理チャンバ1211から実質的に断熱されかつ基材1242’を支持するように構成された温度制御された基材ホルダ1270と、熱処理チャンバ1211を真空吸引するための真空吸引システム1280と、熱処理チャンバ1211に接続された基材リフタ組立体1290とを備えている。基材リフタ組立体1290は、(実線で示した)保持面と(破線で示した)基材ホルダ1270即ちこれらの間に位置する輸送面との間で基材1242”を垂直方向に輸送することができる。熱処理チャンバ1211は、さらに、上方組立体1284を備えることができる。
また、熱処理チャンバ1211、化学処理チャンバ1221、及び断熱組立体1230により、基材を通過させることができる共通開口1294が規定されている。処理中は、2つのチャンバ1211,1221内で独立して処理するために、ゲートバルブ組立体1296を用いて、共通開口1294を気密に閉塞できるようになっている。さらに、輸送システムを用いて基材の交換を可能とするために、熱処理チャンバ1211には、輸送用開口1298を形成することができる。例えば、輸送システム(図示せず)から熱処理チャンバ1221を断熱するために、第2断熱組立体1231を設けることができる。輸送用開口1298は、熱処理チャンバ1211の一部として図示されているが、この輸送用開口1298は、熱処理チャンバ1211でなはく化学処理チャンバ1221に形成することができ、或いは、化学処理チャンバ1221及び熱処理チャンバ1211の両方に形成することもできる。
図15及び図16に示されているように、化学処理システム1220は、基材ホルダ1240と、温度制御および基材1242の処理が可能な基材ホルダ組立体1244とを備えている。基材ホルダ1240及び基材ホルダ組立体1244は、基材ホルダ1240に対して基材1242を電気的に(又は機械的に)クランプするために、静電式クランプシステム(又は機械式クランプシステム)を備えることができる。さらに、基材ホルダ1240は、例えば、熱を受け取るとともに熱交換システム(図示せず)へと熱を輸送でき、又は、加熱する際には熱交換システムから熱を輸送することができる多領域温度制御システムをさらに備える。さらにまた、熱輸送ガスは、例えば、基材1242と基材ホルダ1240との間のギャップ間熱コンダクタンスを改善するために、裏面ガスシステムを介して、基材1242の裏面へと供給される。例えば、基材1242の裏面へと供給される熱輸送ガスとしては、ヘリウム、アルゴンキセノン、クリプトン等の不活性ガス、CF,C,C,C等のプロセスガス、又は、酸素、窒素、水素等の他のガスを用いることができる。このようなシステムは、温度を上昇または下降させるといった基材の温度制御が必要となったときに、利用することができる。例えば、上述の裏面ガスシステムは、基材の中央と端部との間で裏面ガスギャップ圧力を独立に変化させることができる(中央と端部の)2領域といった多領域ガス分配システムを備えることができる。他の形態では、多領域温度制御システムは、抵抗加熱要素や熱電加熱/冷却要素といった複数の加熱/冷却要素を備えることができる。例えば、熱電要素としては、アドバンスドサーモエレクトリック(Advanced Thermoelectric)社のモデルST-127-1.4-8.5M(40mm×40mm×3.4mmの熱電デバイスで、72Wの最大熱輸送能力を有する)を市場にて入手することができる。また、化学処理チャンバ1221のチャンバ壁内に、複数の付加的な加熱/冷却要素を配置することもできる。
基材ホルダ1240は、基材ホルダ1240を化学処理チャンバ1221に対して結合するチャンバ結合組立体(図示せず)と、断熱組立体(図示せず)と、温度制御組立体(図示せず)とを備えることができる。チャンバ結合組立体および温度制御組立体は、例えば、アルミニウム、ステンレス鋼、ニッケル等の導電性および熱伝導性を有する材料を用いて製造することができる。断熱組立体は、例えば、石英、アルミナ、テフロン(登録商標)等の比較的低い熱伝導率を有する熱抵抗材料を用いて製造することができる。
基材ホルダ1240は、一又は複数の構成要素間における付加的な断熱を与えるために、断熱ギャップ(図示せず)をさらに備えることができる。例えば、断熱ギャップは、熱伝導率を変化させるために、充填したり、負圧にしたりすることができる。
基材ホルダ1240は、さらに、基材ホルダの上面と処理システム内の輸送面との間で昇降させて基材を垂直方向に輸送するために、3つ又はそれ以上のリフトピンを上昇および下降させることができるリフトピン組立体(図示せず)を備えることができる。
温度制御された基材ホルダ1240の温度は、熱電対(例えばK型熱電対、白金センサ等)といった温度検出デバイス(図示せず)を用いてモニタすることができる。さらに、コントローラは、基材ホルダ1240の温度を制御するために、基材ホルダ組立体1244へのフィードバックとして温度測定値を利用することができる。例えば、基材ホルダ1240の温度変化を生じさせるために、流体流量、流体温度、熱輸送ガスの種類、熱輸送ガス圧力、クランプ力、抵抗加熱要素の電流値又は電圧値、熱電デバイスの電流値又は極性のうちの少なくとも一つを調整することができる。
図15及び図16を再び参照すると、化学処理システム1220は、ガス分配システム1260を備えている。ガス分配システム1260に対して、ガス供給システム(図示せず)を接続することができる。一実施例では、図18に示しているように、少なくとも2つのガスから成るプロセスガスを分配するためのガス分配システム1260は、1又は2以上の構成要素1424,1426,1428を有するガス分配組立体1422と、ガス分配組立体1422に接続されるとともに第1ガスを化学処理チャンバ1221の処理空間へと接続するように構成された第1ガス分配板1430と、第1ガス分配板1430に接続されるとともに第2ガスを化学処理チャンバ1221の処理空間へと接続するように構成された第2ガス分配板1432とを有している。第1ガス分配板1430は、ガス分配組立体1422に接続されたときに、第1ガス分配プレナム1440を形成する。また、第2ガス分配板1432は、第1ガス分配板1430に接続されたときに、第2ガス分配プレナム1442を形成する。図示していないが、ガス分配プレナム1440,1442は、1又は2以上のガス分配バッフルプレートを備えている。第2ガス分配板1432は、さらに、第1ガス分配板1430内に形成された1又は2以上の流路1446の配列に一致して接続される1又は2以上のオリフィス1444の第1配列と、1又は2以上のオリフィス1448の第2配列とを備えている。1又は2以上の流路1446の配列に一致する1又は2以上のオリフィス1444の第1配列は、第1ガス分配プレナム1440から化学処理チャンバ1221の処理空間へと第1ガスを分配するように構成されている。1又は2以上のオリフィス1448の第2配列は、第2ガス分配プレナム1442から化学処理チャンバ1221の処理空間へと第2ガスを分配するように構成されている。プロセスガスとしては、例えば、NH,HF,H,O,CO,CO,Ar,He,等から構成することができる。各オリフィス1444,1448は、約0.1mm〜約10cmの直径を有し、約0.5mm〜約5cmの長さを有する。上記構成により、第1ガス及び第2ガスは、処理空間内を除いて、互いに混合することなく処理空間へと独立して導入される。
第1ガスを、ガス分配組立体1422内に形成された第1ガス供給流路(図示せず)を介して、第1ガス分配プレナム1440に接続することができる。また、第2ガスを、ガス分配組立体1422内に形成された第2ガス供給流路(図示せず)を介して、第2ガス分配プレナム1442に接続することができる。
図15及び図16に示すように、化学処理システム1220は、さらに、制御された温度にて維持される温度制御された化学処理チャンバ1221を備えている。例えば、壁部加熱要素1266を、壁部温度制御ユニット1268に接続することができ、また、この壁部加熱要素1266を、化学処理チャンバ1221に接続するように構成することができる。加熱要素としては、例えば、タングステン、ニッケルクロム合金、アルミ鉄合金、窒化アルミニウム等のフィラメントのような抵抗加熱要素を用いることができる。フィラメントを電流が流れる際に、電力が熱として散逸される。したがって、壁部温度制御ユニット1268は、例えば、制御可能なDC電源を備えることができる。例えば、壁部加熱要素1266は、ワトロー社(Watlow, 1310 Kingsland
Dr., Batavia, IL, 60510)から市場において入手可能とされた少なくとも一つのファイアロッド(Firerod)カートリッジヒータを備えることができる。また、冷却要素を、化学処理チャンバ1221内に設けても良い。化学処理チャンバ1221の温度は、熱電対(例えばK型熱電対、白金センサ等)のような温度検出デバイスを用いてモニタすることができる。さらにまた、コントローラは、化学処理チャンバ1221の温度を制御するために、壁部温度制御ユニット1268へのフィードバックとして温度測定値を利用することができる。
図16を再び参照すると、化学処理システム1220は、さらに、選択された温度にて維持することができる温度制御されたガス分配システム1260を備えている。例えば、ガス分配加熱要素1267を、ガス分配システム温度制御ユニット1269に接続することができ、また、この壁部加熱要素1266を、ガス分配システム1260に接続するように構成することができる。加熱要素としては、例えば、タングステン、ニッケルクロム合金、アルミ鉄合金、窒化アルミニウム等のフィラメントのような抵抗加熱要素を用いることができる。フィラメントを電流が流れる際に、電力が熱として散逸される。したがって、ガス分配システム温度制御ユニット1269は、例えば、制御可能なDC電源を備えることができる。例えば、ガス分配加熱要素1267としては、1400W(電力密度は5W/インチ)の能力を有する2領域シリコンゴムヒータ(1.0mm厚さ)を用いることができる。ガス分散システム1260の温度は、熱電対(例えばK型熱電対、白金センサ等)のような温度検出デバイスを用いてモニタすることができる。さらにまた、コントローラは、ガス分配システム1260の温度を制御するために、ガス分配システム温度制御ユニット1269へのフィードバックとして温度測定値を利用することができる。また、各実施形態に対して、付加的に、冷却要素を設けることができる。
図15及び図16に示されているように、真空吸引システム1250は、真空ポンプ1252と、チャンバ圧を絞るためのゲートバルブ1254とを備えることができる。真空ポンプ1252は、排気速度が毎秒5000リットル(およびそれ以上)までの能力を有するターボ分子ポンプ(TMP)を備えることができる。例えば、TMPは、セイコー(Seiko)のSTP−A803真空ポンプ、又は荏原(Ebara)のET1301を用いることができる。TMPは、典型的には50mTorrよりも小さい低圧力での処理に適している。高圧(即ち100mTorrよりも大きい)又は低スループットプロセス(即ち、ガス流れ無し)の場合には、機械式ブースタポンプおよびドライ粗引きポンプを使用することができる。
図16を再び参照すると、化学処理システム1220は、さらに、コントローラ1235を備えることができる。このコントローラ1235は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有しており、温度検出デバイス及び圧力検出デバイス等の化学処理システム1220からのモニタ出力だけでなく化学処理システム1220に対する入力を発生させ、かつ通信するのに十分な制御用電圧を生成することができる。さらに、コントローラ1235は、基材ホルダ組立体1244、ガス分配システム1260、真空吸引システム1250、ゲートバルブ組立体1296、壁部温度制御ユニット1268、及びガス分配システム温度制御ユニット1269に接続されるとともに情報の交換ができるようになっている。例えば、メモリ内に保存されたプログラムは、プロセスレシピに応じて、上述の化学処理システム1220の各構成要素に対して入力を発生させるために利用することができる。
他の形態では、化学処理システム1220は、さらに、光学ビューポート(図示せず)と、少なくとも一つの圧力検出デバイス(図示せず)を備えることができる。
図15及び図17に示されているように、熱処理システム1210は、さらに、温度制御された基材ホルダ1270を備えている。基材ホルダ1270は、熱遮蔽体1274を用いて熱処理チャンバ1211から断熱された台1272を備えている。例えば、基材ホルダ1270は、アルミニウム、ステンレス鋼、又はニッケルから製造することができ、熱遮蔽体1274は、テフロン(登録商標)、アルミナ、又は石英等の断熱材から製造することができる。基材ホルダ1270は、さらに、その内部に埋め込まれた加熱要素1276を備えており、また、基材ホルダ温度調整コントローラ1278が接続されている。加熱要素1276は、例えば、タングステン、ニッケルクロム合金、アルミ鉄合金、窒化アルミニウム等のフィラメントのような抵抗加熱要素を用いることができる。フィラメントを電流が流れる際に、電力が熱として散逸される。したがって、基材ホルダ温度制御ユニット1278は、例えば、制御可能なDC電源を備えることができる。あるいは、加熱された基材ホルダ1270は、例えば、最高動作温度が400℃〜450℃とされたワトロー社(Watlow, 1310 Kingsland
Dr., Batavia, IL, 60510)から市場において入手可能なキャストイン(cast-in)ヒータ、又は、動作温度が300℃程度の高さとされ、23.25w/cmまでの電力密度とされた同じくワトロー社から市場において入手可能な窒化アルミニウム材料を備えたフィルムヒータを備えることができる。あるいは、基材ホルダ1270に対して、冷却要素を組み付けることができる。
基材ホルダ1270の温度は、熱電対(例えばK型熱電対、白金センサ等)のような温度検出デバイスを用いてモニタすることができる。さらにまた、コントローラは、基材ホルダ1270の温度を制御するために、基材ホルダ温度制御ユニット1278へのフィードバックとして温度測定値を利用することができる。
さらに、基材温度は、モデルナンバーが0R2000Fとされ、±1.5℃の精度で50〜2000℃まで計測可能なアドバンスド・エナジー社(Advanced
Energies, Inc., 1625 Sharp Point Drive, Fort Collins, CO, 80525)から市場において入手可能な光ファイバ温度計、又は、2002年7月2日に出願された継続中の米国特許出願番号10/168544(この米国特許出願の内容は本明細書中にそのまま組み込まれる。)に記述されたバンドエッジ(band-edge)温度計測システムといった温度検出デバイスを用いてモニタすることができる。
図17を再び参照すると、熱処理システム1210は、さらに、選択された温度に維持され、温度制御された熱処理チャンバ1211を備えている。例えば、壁部加熱要素1283は、壁部温度制御ユニット1281に接続することができ、熱処理チャンバ1211に接続するように構成することができる。加熱要素は、例えば、タングステン、ニッケルクロム合金、アルミ鉄合金、窒化アルミニウム等のフィラメントのような抵抗加熱要素を用いることができる。フィラメントを電流が流れる際に、電力が熱として散逸される。したがって、壁部温度制御ユニット1281は、例えば、制御可能なDC電源を備えることができる。例えば、壁部加熱要素1283は、ワトロー社(Watlow, 1310 Kingsland
Dr., Batavia, IL, 60510)から市場において入手可能とされた少なくとも一つのファイアロッド(Firerod)カートリッジヒータを備えることができる。あるいは、又はこれに加えて、冷却要素を、熱処理チャンバ1211内に設けても良い。熱処理チャンバ1211の温度は、熱電対(例えばK型熱電対、白金センサ等)のような温度検出デバイスを用いてモニタすることができる。さらにまた、コントローラは、熱処理チャンバ1211の温度を制御するために、壁部温度制御ユニット1281へのフィードバックとして温度測定値を利用することができる。
図15及び図17に示されているように、熱処理システム1210は、さらに、上方組立体1284を備えている。上方組立体1284は、さらに、パージガス、プロセスガス、又はクリーニングガスを熱処理チャンバ1211へと導入するためのガス噴射システムを備えている。
図17を再び参照すると、熱処理システム1210は、さらに、選択された温度にて維持することができ、温度制御された上方組立体1284を備えることができる。例えば、上方組立体加熱要素1285は、上部組立体温度制御ユニット1286に接続することができ、また、上方組立体加熱要素1285は、上方組立体1284の温度を制御するように構成することができる。
加熱要素は、例えば、タングステン、ニッケルクロム合金、アルミ鉄合金、窒化アルミニウム等のフィラメントのような抵抗加熱要素を用いることができる。抵抗加熱要素を製造するのに使用する市場において入手可能な材料は、カンタル(Kanthal)、ニクロタル(Nikrothal)及びアクロタル(Akrothal)が例示される。これらの材料は、コネチカット州ベセル(Bethel)のカンタル社(Kanthal Corporation)による製造される合金の登録商標である。カンタル類は、フェライト合金(FeCrAl)を含み、ニクロタル類は、オーステナイト合金(NiCr, NiCrFe)を含む。フィラメントを電流が流れる際に、電力が熱として散逸される。したがって、上方組立体温度制御ユニット1286は、例えば、制御可能なDC電源を備えることができる。例えば、上方組立体加熱要素1285としては、1400W(電力密度は5W/インチ)の能力を有する2領域シリコンゴムヒータ(1.0mm厚さ)を用いることができる。上方組立体1284の温度は、熱電対(例えばK型熱電対、白金センサ等)のような温度検出デバイスを用いてモニタすることができる。さらにまた、コントローラは、上方組立体1284の温度を制御するために、上方組立体温度制御ユニット1286へのフィードバックとして温度測定値を利用することができる。他の形態では、上方組立体1284は、タングステンハロゲンランプの配列体のような放射ヒータを備えることができる。上方組立体1284は、付加的に又は代替的に、冷却要素を備えても良い。
図15及び図17を再び参照すると、熱処理システム1210は、さらに、基材リフタ組立体1290を備えている。基材リフタ組立体1290は、基材ホルダ1270の上面から保持面またはこれらの間の輸送面へと基材1242”を上昇させるだけでなく、基材ホルダ1270の上面へと基材1242’を下降させるように構成されている。化学処理チャンバ1221及び熱処理チャンバ1211の内外へ基材を輸送するために利用される輸送システムを用いて、輸送面において、基材1242”を交換することができる。輸送システムと化学処理チャンバ1221及び熱処理チャンバ1211との間で他の基材が交換されている間に、保持面において、基材1242”を冷却することができる。
図17及び図15に示されているように、熱処理システム1210は、さらに、真空吸引システム1280を備えている。真空吸引システム1280は、真空ポンプと、ゲートバルブやバタフライバルブのようなスロットルバルブとを備えることができる。真空ポンプは、排気速度が毎秒5000リットル(およびそれ以上)までの能力を有するターボ分子ポンプ(TMP)を備えることができる。TMPは、典型的には50mTorrよりも小さい低圧力での処理に適している。高圧(即ち100mTorrよりも大きい)の場合には、機械式ブースタポンプおよびドライ粗引きポンプを使用することができる。
図17を再び参照すると、熱処理システム1210は、さらに、コントローラ1275を備えることができる。このコントローラ1275は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有しており、温度検出デバイス及び圧力検出デバイス等の熱処理システム1210からのモニタ出力だけでなく熱処理システム1210に対する入力を発生させ、かつ通信するのに十分な制御用電圧を生成することができる。さらに、コントローラ1275は、基材ホルダ温度制御ユニット1278、上部組立体温度制御ユニット1286、上部組立体1284、壁部温度制御ユニット1281、真空吸引システム1280、及び基材リフタ組立体1290に接続されるとともに情報の交換ができるようになっている。例えば、メモリ内に保存されたプログラムは、プロセスレシピに応じて、上述の熱処理システム1210の各構成要素に対して入力を発生させるために利用することができる。コントローラ1275の一例としては、テキサス州オースチンのデル社から入手可能なデル製PRECISION WORKSTATION 610TMが挙げられる。
他の形態として、コントローラ1235及びコントローラ1275は、同一のコントローラとすることができる。
また、熱処理システム1210は、さらに、基材が保持面に位置しているかを特定するための基材検出システム(図示せず)を備えている。この基材検出システムは、例えば、キーエンス社(本社は日本国の大阪)のデジタルレーザセンサを備えることができる。
上述の通り、本発明の一実施形態について説明したが、本技術分野における当業者であれば、本発明の新規な教示および有利点から離れることなく多くの変形を施すことが可能である。したがって、これらの全ての変形例は、本発明の技術的範囲に含まれるものである。
本発明の一実施形態にかかる処理システムを例示的に示したブロック図である。 図2は、本発明の一実施形態にかかる処理システムを操作する方法を例示的に示したフローチャートである。 本発明の一実施形態にかかるトリミング計測を例示的に示した図である。 本発明の一実施形態にかかる複数のレシピを例示的に示した図である。 本発明の一実施形態にかかる単一のビンのアルゴリズムを例示的に示した図である。 本発明の一実施形態にかかるレシピ・レンジ画面(Recipe Range Screen)を例示的に示した図である。 本発明の一実施形態にかかるコントロールレンジ画面(Control Recipe Screen)を例示的に示した図である。 本発明の一実施形態にかかるコントロールストラテジー画面(Control Strategy Screen)を例示的に示した図である。 本発明の一実施形態にかかるコントロールプラン画面(Control Plan Screen)を例示的に示した図である。 本発明の一実施形態にかかるコントロールプラン画面を例示的に示した図である。 本発明の一実施形態にかかるコントロールプラン画面を例示的に示した図である。 本発明の一実施形態にかかるビンニング・テーブル画面(Binning Table Screen)を例示的に示した図である。 本発明の一実施形態にかかるコントロールステータス画面(Control Status Screen)を例示的に示した図である。 本発明の一実施形態にかかるステータス画面(status screen)を例示的に示した図である。 本発明の一実施形態にかかるモジュール設定画面(module configuration screen)を例示的に示した図である。 本発明の一実施形態にかかるデータ収集プラン設定画面(data collection plan configuration screen)を例示的に示した図である。 本発明の一実施形態にかかる処理システムの概略を示した断面図である。 本発明の一実施形態にかかる化学処理システムの概略を示した断面図である。 本発明の一実施形態にかかる熱処理システムの概略を示した断面図である。 本発明の一実施形態にかかるガス分配システムの概略を示した断面図である。

Claims (49)

  1. ハードマスクをトリミングすることにより基材を処理する基材処理方法であって:
    目標限界寸法のデータを含む、前記基材の所望状態を決定し;
    前記基材の入力状態を定義し、少なくとも一つの孤立した形態についての孤立した限界寸法のデータと、少なくとも一つの密集した形態についての密集した限界寸法のデータとを含む、前記基材の事前プロセスメトロロジーデータを受け取り;
    前記基材上の前記ハードマスクの露出表面層を化学的に変化させることにより前記基材を化学的に処理することを含む化学処理プロセスと、前記基材を熱的に処理して前記化学的に変化された前記ハードマスクの前記露出表面層を蒸発させることを含む熱処理プロセスを実行するためのプロセスレシピを
    前記孤立した限界寸法のデータを前記目標限界寸法のデータと比較して、前記孤立した限界寸法のデータと前記目標限界寸法のデータとの間の第1の差を求め、前記密集した限界寸法のデータを前記目標限界寸法のデータと比較して、前記密集した限界寸法のデータと前記目標限界寸法のデータとの間の第2の差を求めて、前記入力状態を前記所望状態と比較し、
    事前に適正とされた一連のコントロールレシピにより達成可能なトリミングの下限を定める下方の境界と、前記事前に適正とされた一連のコントロールレシピにより達成可能なトリミングの上限を定める上方の境界との間に及ぶトリム量領を有する少なくとも一つのテーブルであって、前記トリム量領を有する前記テーブルが複数のビンに分割され、前記事前に適正とされた一連のコントロールレシピのうちの、少なくとも一つのコントロールレシピが前記複数のビンのそれぞれに関連付けられ、前記少なくとも一つのコントロールレシピが下側のトリム量境界と上側のトリム量境界とにより境界づけられるトリミング量を有する当該テーブルを作成し、
    前記第1の差および前記第2の差をトリム量に関連づけ、
    前記トリム量が、前記少なくとも一つのテーブルにおける、前記下側のトリム量境界と前記上側のトリム量境界とにより境界づけられているビンを選択し、
    選択された前記ビンに関連づけられる前記事前に適正とされた一連のコントロールレシピの一つを前記プロセスレシピとして選択することにより
    決定し;
    前記プロセスレシピを用いて前記基材を処理する基材処理方法。
  2. 請求項1記載の基材処理方法において:
    出力状態を定義し、前記処理された基材の事後プロセス限界寸法のデータを含む、前記基材の事後プロセスメトロロジーデータを受け取り;
    前記事後プロセス限界寸法のデータを前記目標限界寸法のデータと比較することにより、前記所望状態が達成されたか否かを判断し;
    前記所望状態が達成されていないときに、新しいプロセスレシピを決定し;
    前記所望状態が達成されたときに、前記基材を輸送する基材処理方法。
  3. 請求項1記載の基材処理方法において、
    前記事前プロセスメトロロジーデータは、光学デジタル断面形状測定(ODP)データを備えている基材処理方法。
  4. 請求項1記載の基材処理方法において、
    事後プロセスメトロロジーデータは、光学デジタル断面形状測定(ODP)データを備えている基材処理方法。
  5. 請求項4記載の基材処理方法において、
    事後プロセスメトロロジーデータは、走査型電子顕微鏡(SEM)データを備えている基材処理方法。
  6. 請求項1記載の基材処理方法において、
    前記事前プロセスメトロロジーデータは、少なくとも一つの制御される限界寸法を備え、
    前記プロセスレシピは、少なくとも一つの前記制御される限界寸法を前記目標限界寸法と比較することにより、決定される基材処理方法。
  7. 請求項6記載の基材処理方法において、
    少なくとも一つの前記制御される限界寸法は、前記目標限界寸法よりも大きくされる基材処理方法。
  8. 請求項7記載の基材処理方法において、
    前記プロセスレシピは:
    化学処理モジュールにおいて、前記基材上の前記ハードマスクの前記露出表面がプロセスガスを用いて化学的に処理されて、前記ハードマスクの前記少なくとも一つの露出表面に固体反応生成物を生成する化学処理プロセスレシピを実行し;
    熱処理モジュールにおいて、前記固体反応生成物を蒸発させて前記ハードマスクの化学的に処理された前記露出表面をトリミングする熱処理プロセスレシピを実行する基材処理方法。
  9. 請求項8記載の基材処理方法において、
    少なくとも一つの前記制御される限界寸法が前記目標限界寸法に略一致するまで、前記化学処理プロセスの実行および前記熱処理プロセスの実行を繰り返す基材処理方法。
  10. 請求項9記載の基材処理方法において、
    出力状態を定義し、処理された基材の計測限界寸法のデータを含む事後プロセスメトロロジーデータを受け取り;
    前記計測限界寸法が前記目標限界寸法に略一致しているか否かを判断し;
    前記計測限界寸法が前記目標限界寸法に略一致しないとき、前記化学処理プロセスの実行および前記熱処理プロセスの実行を繰り返し;
    前記計測限界寸法が前記目標限界寸法に略一致したとき、前記各実行ステップを停止する基材処理方法。
  11. 請求項7記載の基材処理方法において、
    前記プロセスレシピが、
    化学処理モジュールにおいて、前記基材上の前記露出表面がプロセスガスを用いて化学的に処理されて、前記少なくとも一つの露出表面に、前記トリム量に略一致する厚さを有する固体反応生成物を生成する化学処理プロセスレシピを実行し;
    熱処理モジュールにおいて、前記固体反応生成物を蒸発させて、化学的に処理された前記少なくとも一つの露出表面を前記トリム量分トリミングする熱処理プロセスレシピを実行する;
    ことを含む基材処理方法。
  12. 請求項11記載の基材処理方法において、
    各コントロールレシピが予め決定されたトリム値を有する事前に適正とされた複数のコントロールレシピを検査し;
    事後プロセス限界寸法のデータと前記目標限界寸法のデータとの差に略一致する予め決定されたトリム値を有する前記事前に適正とされたコントロールレシピを選択する;
    ことを更に含む基材処理方法。
  13. 請求項1記載の基材処理方法において、
    複数の事前に適正とされたコントロールレシピを含む参照テーブルを作成し;
    前記プロセスレシピを決定するために参照テーブルを参照する;
    ことを更に含む基材処理方法。
  14. 請求項8記載の基材処理方法において、
    前記化学処理プロセスレシピを実行する際に:
    化学処理チャンバを備えたモジュール内に基材を輸送し;
    前記化学処理チャンバ内に取り付けられ、温度制御された基材ホルダ上に前記基材を位置決めし;
    前記化学処理チャンバに接続された真空吸引システムを用いて該チャンバ内の圧力を変化させ;
    前記化学処理チャンバに接続されるとともに、該化学処理チャンバ内にプロセスガスを導入するように構成されたガス分配システムを用いてプロセスガスを供給し;
    前記プロセスレシピに応じて、前記化学処理モジュール、温度制御された前記基材ホルダ、前記真空吸引システム、及び前記ガス分配システムを制御する基材処理方法。
  15. 請求項8記載の基材処理方法において、
    熱処理プロセスレシピを実行する際に:
    熱処理チャンバを備えたモジュール内に前記基材を輸送し;
    前記熱処理チャンバ内に取り付けられ、温度制御された基材ホルダ上に前記基材を位置決めし;
    前記熱処理チャンバに接続され、温度制御された上方組立体を用いて、該チャンバの温度を変更し;
    前記熱処理チャンバに接続された真空吸引システムを用いて該チャンバ内の圧力を変化させ;
    前記プロセスレシピに応じて、前記熱処理モジュール、前記真空吸引システム、温度制御システム、及び温度制御された前記基材ホルダを制御する基材処理方法。
  16. 請求項14記載の基材処理方法において、
    前記プロセスガスは、フッ素含有ガスおよび窒素含有ガスを含む基材処理方法。
  17. 請求項16記載の基材処理方法において、
    前記プロセスガスは、HF及びNHを含む基材処理方法。
  18. 請求項8記載の基材処理方法において、
    前記プロセスガスは、処理空間へ独立して導入される第1ガス及び第2ガスを含む基材処理方法。
  19. 請求項14記載の基材処理方法において、
    前記化学処理チャンバ内の、温度制御された前記基材ホルダの温度は、約10℃から約50℃の範囲とされる基材処理方法。
  20. 請求項14記載の基材処理方法において、
    前記化学処理チャンバ内の、温度制御された前記基材ホルダ上に設置された前記基材の温度は、約10℃から約50℃の範囲とされる基材処理方法。
  21. 請求項14記載の基材処理方法において、
    前記化学処理チャンバの圧力は、約1mTorr(0.133Pa)から約100mTorr(13.3Pa)の範囲とされる基材処理方法。
  22. 請求項14記載の基材処理方法において、
    前記ガス分配システム内のプロセスガス温度を約30℃から約100℃までの範囲に制御する基材処理方法。
  23. 請求項14記載の基材処理方法において、
    前記化学処理チャンバの壁部の温度を約30℃から約100℃までの範囲で制御する基材処理方法。
  24. 請求項15記載の基材処理方法において、
    前記熱処理チャンバ内の、温度制御された前記基材ホルダの温度は、約10℃から約50℃の範囲とされる基材処理方法。
  25. 請求項15記載の基材処理方法において、
    前記熱処理チャンバ内の、温度制御された前記基材ホルダ上に設置された前記基材の温度は、約10℃から約50℃の範囲とされる基材処理方法。
  26. 請求項15記載の基材処理方法において、
    前記熱処理チャンバの圧力は、約1mTorr(0.133Pa)から約100mTorr(13.3Pa)の範囲とされる基材処理方法。
  27. 請求項15記載の基材処理方法において、
    前記熱処理チャンバの温度は、約10℃から約50℃の範囲とされる基材処理方法。
  28. 請求項15記載の基材処理方法において、
    第1の時間の間、温度制御された前記上方組立体から第1距離の位置に前記基材を位置決めし;
    第2の時間の間、温度制御された前記上方組立体から第2距離の位置に前記基材を位置決めする基材処理方法。
  29. 請求項15記載の基材処理方法において、
    前記熱処理チャンバの壁部の温度を約30℃から約100℃までの範囲に制御する基材処理方法。
  30. 請求項1記載の基材処理方法において、
    前記孤立した限界寸法のデータと前記目標限界寸法のデータとの差に基づいて第1トリミング処理を実行し;
    前記密集した限界寸法のデータと前記目標限界寸法のデータとの差に基づいて第2トリミング処理を実行する基材処理方法。
  31. 請求項1記載の基材処理方法において、
    少なくとも一つの前記孤立した形態についての前記孤立した限界寸法のデータと前記目標限界寸法のデータとの間の差に基づいて第1の差分を決定し;
    少なくとも一つの前記密集した形態についての前記密集した限界寸法のデータと前記目標限界寸法のデータとの差に基づいて第2差分を決定し;
    前記第1差分と前記第2差分との差に基づいてトリミング処理を実行する基板処理方法。
  32. 請求項1記載の基材処理方法において、
    前記事前プロセスメトロロジーデータは、決定係数(GOF)データ及び深さデータを含む基材処理方法。
  33. 請求項1記載の基材処理方法において、
    出力状態を定義し、処理された基材の限界寸法データを含む、前記基材の事後プロセスメトロロジーデータを受け取り;
    プロセス特性およびプロセスモデルに基づいて前記基板の予測状態を計算し;
    前記出力状態を前記予測状態と比較することにより、前記予測状態が達成されたか否かを判断し;
    前記予測状態が達成されていないとき、プロセスモデルのオフセット量を計算する基材処理方法。
  34. 請求項1記載の基材処理方法において、
    前記プロセスレシピは、コントロールストラテジーおよびコントロールプランを実行することにより、決定される基材処理方法。
  35. 請求項8記載の基材処理方法において、
    前記化学処理モジュールから前記熱処理モジュールへと基材を輸送する基材処理方法。
  36. 基材を処理するプロセスシステムであって:
    基材上のハードマスクの複数の露出表面層を化学的に変化させるための化学処理モジュールと、前記基材上のハードマスクの化学的に変化された前記各表面層を熱処理するための熱処理モジュールと、前記熱処理モジュールと前記化学処理モジュールとの間に接続された隔離組立体とを備えた処理サブシステムと;
    少なくとも一つの孤立した形態についての孤立した限界寸法のデータと少なくとも一つの密集した形態についての密集した限界寸法のデータとを含み、前記基材に対する入力状態を決定する事前プロセスメトロロジーデータを提供するために、前記処理サブシステムに接続された第1統合メトロロジーモジュール(IMM)と;
    前記処理サブシステム及び前記第1のIMMに接続され、
    前記孤立した限界寸法のデータを前記目標限界寸法のデータと比較し前記孤立した限界寸法のデータと前記目標限界寸法のデータとの間の第1の差を求め、前記密集した限界寸法のデータを前記目標限界寸法のデータと比較して、前記密集した限界寸法のデータと前記目標限界寸法のデータとの間の第2の差を求めて、前記入力状態を前記所望状態と比較し、
    事前に適正とされた一連のコントロールレシピにより達成可能なトリミングの下限を定める下方の境界と、前記事前に適正とされた一連のコントロールレシピにより達成可能なトリミングの上限を定める上方の境界との間に及ぶトリム量領を有する少なくとも一つのテーブルであって、前記トリム量領を有する前記テーブルが複数のビンに分割され、前記事前に適正とされた一連のコントロールレシピのうちの、少なくとも一つのコントロールレシピが前記複数のビンのそれぞれに関連付けられ、前記少なくとも一つのコントロールレシピが下側のトリム量境界と上側のトリム量境界とにより境界づけられるトリミング量を有する当該テーブルを作成し、
    前記第1の差および前記第2の差をトリム量に関連づけ、
    前記トリム量が、前記少なくとも一つのテーブルにおける、前記下側のトリム量境界と前記上側のトリム量境界とにより境界づけられているビンを選択し、
    選択された前記ビンに関連づけられる前記事前に適正とされた一連のコントロールレシピの一つを前記プロセスレシピとして選択することにより、前記基材を入力状態から所望の状態への変化させる熱処理プロセス及び化学処理プロセスを行うプロセスレシピを決定し、
    前記プロセスレシピを用いて前記基材を処理して前記トリム量を実現する制御デバイスと;
    を備え、
    前記化学処理プロセスは、前記化学処理モジュールにおいて、前記基材上の前記ハードマスクの露出表面層を化学的に変化させることにより前記基材を化学的に処理することを含み、
    前記熱処理プロセスは、前記熱処理モジュールにおいて、前記基材を熱的に処理して前記化学的に変化された前記ハードマスクの前記露出表面層を蒸発させることを含むプロセスシステム。
  37. 請求項36記載のプロセスシステムにおいて、
    前記化学処理モジュールは、
    温度制御された化学処理チャンバと、
    該化学処理チャンバ内に取り付けられるとともに、該化学処理チャンバから実質的に断熱されるように構成され、温度制御された基材ホルダと、
    該化学処理チャンバに接続された真空吸引システムと、
    該化学処理チャンバ内に1又は2以上のプロセスガスを導入するための温度制御されたガス分配システムと
    を備えるプロセスシステム。
  38. 請求項36記載のプロセスシステムにおいて、
    前記熱処理モジュールは、
    温度制御された熱処理チャンバと、
    該熱処理チャンバ内に取り付けられるとともに、該熱処理チャンバから実質的に断熱されるように構成され、温度制御された基材ホルダと、
    該熱処理チャンバに接続された真空吸引システムと
    を備えているプロセスシステム。
  39. 請求項36記載のプロセスシステムにおいて、
    制御デバイスは、化学処理チャンバ温度、化学処理ガス分配システム温度、化学処理基材ホルダ温度、化学処理基材温度、化学処理プロセス圧力、化学処理ガス流量、熱処理チャンバ温度、熱処理基材ホルダ温度、熱処理基材温度、及び熱処理プロセス圧力のうちの少なくとも一つを制御する手段を備えているプロセスシステム。
  40. 請求項36記載のプロセスシステムにおいて、
    前記隔離組立体は、断熱組立体、ゲートバルブ組立体、及び輸送システムのうちの少なくとも一つを備えているプロセスシステム。
  41. 請求項37記載のプロセスシステムにおいて、
    温度制御された前記化学処理チャンバは、壁部加熱要素を備えているプロセスシステム。
  42. 請求項37記載のプロセスシステムにおいて、
    温度制御された前記ガス分配システムは、少なくとも一つのガス分配板を備え、
    該ガス分配板は、1又は2以上のガス噴射オリフィスを備えているプロセスシステム。
  43. 請求項37記載のプロセスシステムにおいて、
    前記化学処理チャンバの、温度制御された前記基材ホルダは、静電式クランプシステム、
    裏面ガス供給システム、及び1又は2以上の温度制御要素のうちの少なくとも一つを備えているプロセスシステム。
  44. 請求項37記載のプロセスシステムにおいて、
    化学処理チャンバの、温度制御された前記基材ホルダは、1又は2以上の温度制御要素を備えているプロセスシステム。
  45. 請求項37記載のプロセスシステムにおいて、
    前記ガス分配システムは、第1ガス分配プレナムおよび第1ガス分配板を備え、
    該第1ガス分配板は、1又は2以上のオリフィスの第1配列と、前記第1ガス分配板内で、1又は2以上のオリフィスの前記第1配列を介して処理空間へと第1ガスを接続するための1又は2以上のオリフィスの第2配列とを有し、
    前記ガス分配システムは、第2ガス分配プレナムおよび複数の流路を有する第2ガス分配板を備え、
    これら複数の流路により、前記第2ガス分配板の前記各流路と前記第1ガス分配板の1又は2以上のオリフィスの前記第2配列を介して処理空間へと第2ガスを接続するプロセスシステム。
  46. 請求項45記載のプロセスシステムにおいて、
    前記第1ガス及び前記第2ガスは、前記処理空間へと独立して導入されるプロセスシステム。
  47. 請求項38記載のプロセスシステムにおいて、
    前記熱処理モジュールは、前記熱処理チャンバに接続され、輸送面と基材ホルダとの間で前記基材を垂直方向に輸送するための基材リフタ組立体を備えているプロセスシステム。
  48. 請求項36記載の基材処理システムにおいて、
    前記処理サブシステムは、製造システムに接続されている基材処理システム。
  49. 請求項36記載の基材処理システムにおいて、
    前記制御デバイスは、前記所望状態が達成されたか否かを判断する基材処理システム。
JP2006545631A 2003-12-17 2004-11-03 化学的酸化物除去(ChemicalOxideRemoval)システムの操作方法 Active JP4723513B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/736,983 2003-12-17
US10/736,983 US7877161B2 (en) 2003-03-17 2003-12-17 Method and system for performing a chemical oxide removal process
PCT/US2004/036498 WO2005062344A1 (en) 2003-12-17 2004-11-03 Method of operating a system for chemical oxide removal

Publications (3)

Publication Number Publication Date
JP2007515074A JP2007515074A (ja) 2007-06-07
JP2007515074A5 JP2007515074A5 (ja) 2010-11-18
JP4723513B2 true JP4723513B2 (ja) 2011-07-13

Family

ID=34710472

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006545631A Active JP4723513B2 (ja) 2003-12-17 2004-11-03 化学的酸化物除去(ChemicalOxideRemoval)システムの操作方法

Country Status (5)

Country Link
US (2) US7877161B2 (ja)
JP (1) JP4723513B2 (ja)
KR (2) KR101176664B1 (ja)
CN (1) CN1961405B (ja)
WO (1) WO2005062344A1 (ja)

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20050004780A1 (en) * 2003-07-03 2005-01-06 Taiwan Semiconductor Manufacturing Co., Ltd Virtual assistant for semiconductor tool maintenance
US7077903B2 (en) * 2003-11-10 2006-07-18 International Business Machines Corporation Etch selectivity enhancement for tunable etch resistant anti-reflective layer
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US6909934B1 (en) * 2004-01-05 2005-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Efficient method of dynamic formulation of chamber selections for multiple chamber tools
US20050218114A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US20080281438A1 (en) * 2004-04-23 2008-11-13 Model Predictive Systems, Inc. Critical dimension estimation
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7209798B2 (en) * 2004-09-20 2007-04-24 Tokyo Electron Limited Iso/nested cascading trim control with model feedback updates
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
CN100449709C (zh) * 2005-02-14 2009-01-07 东京毅力科创株式会社 基板处理方法、清洗方法、电子设备的制造方法和程序
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
JP4843285B2 (ja) * 2005-02-14 2011-12-21 東京エレクトロン株式会社 電子デバイスの製造方法及びプログラム
US7622392B2 (en) * 2005-02-18 2009-11-24 Tokyo Electron Limited Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
US7333876B2 (en) * 2005-03-01 2008-02-19 Isotec International, Inc, Systems and methods for providing electronic quality control in a process for applying a polyurethane to a substrate
US7394067B1 (en) * 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
KR101192676B1 (ko) * 2006-01-27 2012-10-19 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
KR100929944B1 (ko) * 2006-02-07 2009-12-04 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 제어 장치 및 기판 처리 장치의 제어 프로그램을 기록한 기억 매체
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7312161B2 (en) * 2006-05-05 2007-12-25 Fsi International, Inc. Advanced process control for low variation treatment in immersion processing
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US8682466B2 (en) * 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US7713758B2 (en) * 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US7899637B2 (en) * 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
JP5248902B2 (ja) 2007-10-11 2013-07-31 東京エレクトロン株式会社 基板処理方法
JP5484363B2 (ja) * 2007-10-11 2014-05-07 東京エレクトロン株式会社 基板処理方法
US7888267B2 (en) * 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
JP2009267111A (ja) * 2008-04-25 2009-11-12 Tokyo Electron Ltd 半導体デバイスの製造方法、製造装置、コンピュータプログラム、及びコンピュータ可読記憶媒体
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
CN102105312B (zh) * 2008-07-31 2014-06-11 东京毅力科创株式会社 用于化学处置和热处置的高产量处理系统及操作方法
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US20100211202A1 (en) * 2009-02-13 2010-08-19 Hermes Microvision, Inc. Method and machine for examining wafers
US9768082B2 (en) 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
JP5629098B2 (ja) * 2010-01-20 2014-11-19 東京エレクトロン株式会社 シリコン基板上のパターン修復方法
US8527081B2 (en) * 2010-08-31 2013-09-03 Applied Materials, Inc. Method and apparatus for automated validation of semiconductor process recipes
GB2487716B (en) * 2011-01-24 2015-06-03 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US8415253B2 (en) 2011-03-30 2013-04-09 International Business Machinees Corporation Low-temperature in-situ removal of oxide from a silicon surface during CMOS epitaxial processing
US8987104B2 (en) 2011-05-16 2015-03-24 Globalfoundries Inc. Method of forming spacers that provide enhanced protection for gate electrode structures
US20130297061A1 (en) * 2012-05-03 2013-11-07 National Taiwan University Method and computer-aided design system of manufacturing an optical system
US9727049B2 (en) * 2012-09-04 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Qualitative fault detection and classification system for tool condition monitoring and associated methods
JP6177513B2 (ja) * 2012-09-28 2017-08-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6086862B2 (ja) 2013-08-30 2017-03-01 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
US9798750B2 (en) * 2013-10-14 2017-10-24 Schneider Electric Software, Llc Parameter download verification in industrial control system
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
JP6230954B2 (ja) 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
CN104013600A (zh) * 2014-06-05 2014-09-03 暨南大学 一种包载补骨脂素的纳米结构脂质载体制剂及其制备方法
TWI571908B (zh) * 2014-08-15 2017-02-21 力晶科技股份有限公司 製程控制方法與製程控制系統
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US20160365253A1 (en) * 2015-06-09 2016-12-15 Macronix International Co., Ltd. System and method for chemical mechanical planarization process prediction and optimization
US10290553B2 (en) * 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
WO2017136306A1 (en) * 2016-02-01 2017-08-10 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
CN107093568B (zh) * 2016-02-18 2021-04-09 北大方正集团有限公司 一种晶元在线监测方法及装置
JP6546867B2 (ja) * 2016-03-10 2019-07-17 東京エレクトロン株式会社 処理プロセスを調整する方法
JP6537992B2 (ja) * 2016-03-30 2019-07-03 東京エレクトロン株式会社 基板処理装置、基板処理装置の制御方法、及び基板処理システム
JP6667354B2 (ja) * 2016-04-15 2020-03-18 東京エレクトロン株式会社 基板処理方法および基板処理装置
US10607851B2 (en) 2017-08-25 2020-03-31 Micron Technology, Inc. Vapor-etch cyclic process
CN110095802B (zh) * 2018-01-31 2022-07-29 中国辐射防护研究院 一种模拟研究放射性固体废物处置过程中氢气产生的方法
WO2019182959A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Platform and method of operating for integrated end-to-end gate contact process
JP7153499B2 (ja) * 2018-08-08 2022-10-14 東京エレクトロン株式会社 酸素含有被処理体の処理方法及び処理装置
EP3647873A1 (en) * 2018-11-02 2020-05-06 ASML Netherlands B.V. Method to characterize post-processing data in terms of individual contributions from processing stations
JP7453853B2 (ja) * 2020-05-27 2024-03-21 株式会社日立製作所 処理条件決定システムおよび処理条件探索方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003017471A (ja) * 2001-06-29 2003-01-17 Hitachi Ltd プラズマ処理装置および処理方法
JP2005039185A (ja) * 2003-06-24 2005-02-10 Tokyo Electron Ltd 被処理体処理装置、その被処理体処理方法、圧力制御方法、被処理体搬送方法、及び搬送装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
TW204411B (ja) * 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6165805A (en) 1998-10-29 2000-12-26 Advanced Micro Devices, Inc. Scan tool recipe server
GB2352532B (en) 1999-06-28 2003-09-10 Hyundai Electronics Ind Semiconductor factory automation system and method for resetting process recipe by employing trace file
US6415193B1 (en) * 1999-07-08 2002-07-02 Fabcentric, Inc. Recipe editor for editing and creating process recipes with parameter-level semiconductor-manufacturing equipment
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
WO2002004887A1 (en) 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6646284B2 (en) * 2000-12-12 2003-11-11 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method of manufacturing the same
US6864041B2 (en) * 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US20040071888A1 (en) * 2002-05-30 2004-04-15 Symyx Technologies, Inc. Apparatus and method of research for creating and testing thin films
US7042564B2 (en) * 2002-08-08 2006-05-09 Applied Materials, Israel, Ltd. Wafer inspection methods and an optical inspection tool
US6903023B2 (en) * 2002-09-16 2005-06-07 International Business Machines Corporation In-situ plasma etch for TERA hard mask materials
US7214274B2 (en) 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7060626B2 (en) * 2003-06-25 2006-06-13 International Business Machines Corporation Multi-run selective pattern and etch wafer process
US7328418B2 (en) * 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003017471A (ja) * 2001-06-29 2003-01-17 Hitachi Ltd プラズマ処理装置および処理方法
JP2005039185A (ja) * 2003-06-24 2005-02-10 Tokyo Electron Ltd 被処理体処理装置、その被処理体処理方法、圧力制御方法、被処理体搬送方法、及び搬送装置

Also Published As

Publication number Publication date
WO2005062344A1 (en) 2005-07-07
KR20060113688A (ko) 2006-11-02
KR20110099321A (ko) 2011-09-07
US20110307089A1 (en) 2011-12-15
US7877161B2 (en) 2011-01-25
US8175736B2 (en) 2012-05-08
KR101240149B1 (ko) 2013-03-11
US20040185583A1 (en) 2004-09-23
JP2007515074A (ja) 2007-06-07
CN1961405A (zh) 2007-05-09
KR101176664B1 (ko) 2012-08-23
CN1961405B (zh) 2010-12-22

Similar Documents

Publication Publication Date Title
JP4723513B2 (ja) 化学的酸化物除去(ChemicalOxideRemoval)システムの操作方法
KR101186694B1 (ko) 기판 처리 방법
TWI393169B (zh) 施行晶圓均勻度控制之動態量測取樣
KR101158950B1 (ko) 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법
US7158851B2 (en) Feedforward, feedback wafer to wafer control method for an etch process
TWI409658B (zh) 多層/多輸入/多輸出模型及其使用方法
TWI417754B (zh) 利用多層多輸入多輸出模型以產生金屬閘極結構之方法
KR101200657B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
KR101154658B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US20060079983A1 (en) R2R controller to automate the data collection during a DOE
TW200532795A (en) Method for operating a system for chemical oxide removal

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070910

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20070921

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071002

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100727

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100924

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20100924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110308

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110407

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140415

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4723513

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250