KR20060113688A - 화학적 산화물 제거 시스템의 동작 방법 - Google Patents

화학적 산화물 제거 시스템의 동작 방법 Download PDF

Info

Publication number
KR20060113688A
KR20060113688A KR1020067008420A KR20067008420A KR20060113688A KR 20060113688 A KR20060113688 A KR 20060113688A KR 1020067008420 A KR1020067008420 A KR 1020067008420A KR 20067008420 A KR20067008420 A KR 20067008420A KR 20060113688 A KR20060113688 A KR 20060113688A
Authority
KR
South Korea
Prior art keywords
substrate
recipe
control
data
processing
Prior art date
Application number
KR1020067008420A
Other languages
English (en)
Other versions
KR101176664B1 (ko
Inventor
마사유키 토모야스
메리트 레인 펑크
케빈 오거스틴 핀토
마사야 오다기리
레무엘 천
아사오 야마시타
아키라 이와미
히로유키 타카하시
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060113688A publication Critical patent/KR20060113688A/ko
Application granted granted Critical
Publication of KR101176664B1 publication Critical patent/KR101176664B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

화학적 산화물 제거(chemical oxide removal, COR)를 위한 처리 시스템 및 방법이 제공되며, 이 처리 시스템은 제1 처리 챔버 및 제2 처리 챔버를 포함하며, 제1 및 제2 처리 챔버는 서로 결합되어 있다. 제1 처리 챔버는 온도 제어 챔버 및 화학적 처리를 위한 기판을 지지하는 독립적인 온도 제어 기판 홀더를 제공하는 화학적 처리 챔버를 포함한다. 이 기판은 표면 온도 및 기체 압력을 비롯한 제어된 조건 하에서 HF/NH3 등의 기체 화학에 노출된다. 제2 처리 챔버는 화학적 처리 챔버와 열적으로 절연되어 있는 온도 제어 챔버를 제공하는 열적 처리 챔버를 포함한다. 이 열적 처리 챔버는 기판 상의 화학적 처리된 표면을 열적으로 처리하기 위해 기판의 온도를 제어하기 위한 기판 홀더를 제공한다.
기판 처리, 화학적 처리 챔버, 열적 처리 챔버, 화학적 산화물 제거

Description

화학적 산화물 제거 시스템의 동작 방법{METHOD OF OPERATING A SYSTEM FOR CHEMICAL OXIDE REMOVAL}
본 출원은 2003년 12월 17일자로 출원된 미국 특허출원 제10/736,983호에 기초하고 이를 우선권 주장하며, 이는 여기에 인용함으로써 그 전체 내용이 본 명세서에 포함된다.
관련 출원의 상호 참조
본 출원은 2003년 3월 17일자로 출원된 발명의 명칭이 "기판 처리를 위한 프로세싱 시스템 및 방법(Processing System and Method For Treating A Substrate)"인 동시 계류 중인 미국 가특허출원 제60/454,597호, 2003년 3월 17일자로 출원된 발명의 명칭이 "화학적 기판 처리를 위한 프로세싱 시스템 및 방법(Processing System and Method For Chemically Treating A Substrate)"인 동시 계류 중인 미국 가특허출원 제60/454,642호, 2003년 3월 17일자로 출원된 발명의 명칭이 "열적 기판 처리를 위한 프로세싱 시스템 및 방법(Processing System and Method For Thermally Treating A Substrate)"인 동시 계류 중인 미국 가특허출원 제60/454,641호, 및 2003년 3월 17일자로 출원된 발명의 명칭이 "인접한 온도 제어 챔버의 열적 절연 방법 및 장치(Method and Apparatus For Thermally Insulating Adjacent Temperature Controlled Chambers)"인 동시 계류 중인 미국 가특허출원 제60/454,644호에 관한 것이다. 이들 출원 모두는 여기에 인용함으로써 그 전체 내용이 본 명세서에 포함된다.
본 발명은 기판을 처리하는 시스템 및 방법에 관한 것으로서, 보다 상세하게는 기판의 화학적 및 열적 처리를 위한 시스템 및 방법에 관한 것이다.
반도체 프로세싱 동안에, 실리콘 기판 상에 패터닝되어 있는 미세 배선을 따라 또는 비아 또는 콘택트 내에 있는 물질을 제거하거나 에칭하는 데 (건식) 플라즈마 에칭 프로세스가 이용될 수 있다. 플라즈마 에칭 프로세스는 일반적으로 덮고 있는 패터닝된 보호층(overlying, patterened protective layer), 예를 들어 포토레지스트 층을 갖는 반도체 기판을 프로세싱 챔버 내에 배치하는 단계를 포함한다. 기판에 챔버 내에 배치되면, 분위기 프로세스 압력을 달성하기 위해 진공 펌프가 쓰로틀링(throttle)되면서 이온화가능한 해리 가스 혼합물(ionizable, dissociative gas mixture)이 사전 지정된 유량(flow rate)으로 그 챔버 내에 유입된다. 그 후에, 존재하는 가스의 일부가 유도적(inductively)으로 또는 용량적(capacitively)으로 무선 주파 전력의 전송을 통해 또는 예를 들어 전자 사이클로트론 공진(electron cyclotron resonance, ECR)을 사용하여 마이크로파 전력의 전송을 통해 가열된 전자에 의해 이온화될 때 플라즈마가 형성된다. 게다가, 가열된 전자는 어떤 종류의 주변 가스를 해리시켜 노출된 표면 에칭 화학에 적당한 반응물을 생성하는 기능을 한다. 플라즈마가 형성되면, 기판의 선택된 표면이 플라즈마에 의해 에칭된다. 이 프로세스는 기판의 선택된 영역에 있는 여러가지 피처 (feature)(예를 들어, 트렌치, 비아, 콘택트(contact), 게이트, 기타 등등)를 에칭하기 위해 바람직한 반응물 및 이온 집단(ion population)의 적절한 농도를 비롯한 적절한 조건을 달성하도록 조정된다. 에칭이 요구되는 경우의 이러한 기판 물질은 이산화실리콘(SiO2), 로우-k(low-k) 유전체 물질, 폴리실리콘, 및 질화실리콘을 포함한다.
물질 프로세싱 동안에, 이러한 피처를 에칭하는 것은 일반적으로 마스크 층 내에 형성된 패턴을 개별적인 피처들이 형성되어 있는 하부 막(underlying film)으로 전사하는 것을 포함한다. 이 마스크는 (네거티브 또는 포지티브) 포토레지스트 등의 감광 물질, 포토레지스트 등의 층 및 반사 방지 코팅(anti-reflective coating, ARC)을 포함하는 다수의 층, 또는 포토레지스트 등의 제1 층의 패턴을 하부의 하드 마스크 층으로 전사하는 것으로부터 형성된 하드 마스크를 포함할 수 있다.
본 발명은 기판을 처리하는 시스템 및 방법에 관한 것으로서, 기판을 화학적으로 및 열적으로 처리하는 시스템 및 방법에 관한 것이다.
본 발명의 한 측면에서, 제1 처리 시스템 및 제2 처리 시스템을 포함하는, 기판 상의 물질 제거를 수행하는 프로세싱 시스템에 대해 기술되어 있으며, 제1 및 제2 처리 시스템은 서로 연결되어 있다. 제1 처리 시스템은 화학적 처리 시스템을 포함하며, 이 화학적 처리 시스템은 화학적 처리 챔버, 기판 홀더, 화학적 처리 챔버에 연결된 진공 펌핑 시스템, 및 화학적 처리 챔버 내로 프로세스 가스를 유입시키기 위한 가스 분배 시스템을 포함한다. 제2 처리 시스템은 열적 처리 시스템을 포함하며, 이 열적 처리 시스템은 온도 제어 열 처리 챔버, 열 처리 챔버 내에 탑재된 기판 홀더, 및 열 처리 챔버에 연결된 진공 펌핑 시스템을 포함한다. 챔버 중 어느 하나의 선택된 구성요소는 온도 제어될 수 있다.
게다가, 기판을 처리하기 위해 프로세싱 시스템을 동작시키는 방법에 대해 기술되어 있다. 이 방법은, 기판을 화학적 처리 시스템으로 이송시키는 단계, 이 화학적 처리 시스템에 대한 하나 이상의 화학적 프로세싱 파라미터를 설정하는 것, 모니터링하는 것 및 조정하는 것 중 적어도 하나를 수행하는 단계 - 이 하나 이상의 화학적 프로세싱 파라미터는 화학적 처리 프로세싱 압력, 화학적 처리 챔버 압력, 화학적 처리 가스 분배 시스템 온도, 화학적 처리 기판 온도, 화학적 처리 기판 홀더 온도, 및 화학적 처리 가스 유량 중 적어도 하나를 포함함 -, 이 하나 이상의 화학적 프로세싱 파라미터를 사용하여 화학적 처리 시스템에서 기판을 프로세싱하는 단계, 기판을 열적 처리 시스템 내로 이송시키는 단계, 열적 처리 시스템에 대한 하나 이상의 열적 프로세싱 파라미터를 설정하는 것, 모니터링하는 것 및 조정하는 것 중 적어도 하나를 수행하는 단계 - 이 하나 이상의 열적 프로세싱 파라미터는 열적 처리 프로세싱 압력, 열적 처리 챔버 온도, 열적 처리 기판 온도, 열적 처리 기판 홀더 온도 및 열적 처리 가스 유량 중 적어도 하나를 포함함 -, 및 이 하나 이상의 열적 프로세싱 파라미터를 사용하여 열적 처리 시스템에서 기판을 프로세싱하는 단계를 포함한다.
도 1은 본 발명의 실시예에 따른 프로세싱 시스템의 예시적인 블록도.
도 2는 본 발명의 실시예에 따른 프로세싱 시스템을 동작시키는 방법의 예시적인 흐름도.
도 3은 본 발명의 실시예에 따라 측정을 트리밍하는 일례를 나타낸 도면.
도 4는 본 발명에 따른 레시피(recipe)의 일례를 나타낸 도면.
도 5는 본 발명의 실시예에 따른 간단한 빈 알고리(bin algorithm)의 일례를 나타낸 도면.
도 6은 본 발명의 실시예에 따른 레시피 범위 화면(Recipe Range Screen)의 일례를 나타낸 도면.
도 7은 본 발명의 실시예에 따른 제어 레시피 화면(Control Recipe Screen)의 일례를 나타낸 도면.
도 8은 본 발명의 실시예에 따른 제어 전략 화면(Control Strategy Screen)의 일례를 나타낸 도면.
도 9a 내지 도 9c는 본 발명의 실시예에 따른 제어 계획 화면(Control Plan Screen)의 일례를 나타낸 도면.
도 10은 본 발명의 실시예에 따른 비닝 테이블 화면(Binning Table Screen)의 일례를 나타낸 도면.
도 11은 본 발명의 실시예에 따른 제어 상태 화면의 일례를 나타낸 도면.
도 12는 본 발명의 실시예에 따른 상태 화면의 일례를 나타낸 도면.
도 13은 본 발명의 실시예에 따른 모듈 구성 화면의 일례를 나타낸 도면.
도 14는 본 발명의 실시예에 따른 데이터 수집 계획 구성 화면의 일례를 나타낸 도면.
도 15는 본 발명의 실시예에 따른 프로세싱 시스템의 개략 단면도.
도 16은 본 발명의 실시예에 따른 화학적 처리 시스템의 개략 단면도.
도 17은 본 발명의 실시예에 따른 열적 처리 시스템의 개략 단면도.
도 18은 본 발명의 실시예에 따른 가스 분배 시스템의 개략 단면도.
물질 프로세싱 방법에서, 패턴 에칭은 포토레지스트 등의 감광성 물질의 얇은 층을 기판의 상부 표면에 도포하는 것을 포함하며, 이 얇은 층은 에칭 동안에 이 패턴을 하부의 물질로 전사하기 위한 마스크를 제공하기 위해 차후에 패터닝된다. 감광성 물질의 패터닝은 일반적으로 예를 들어 마이크로-리쏘그라피 시스템을 사용하여 감광성 물질의 십자선(reticle)(및 관련 광학)을 통해 방사원에 의한 노출, 및 그에 뒤따른 현상액(developing solvent)을 사용한 감광성 물질의 방사된 영역의 제거(포지티브 포토레지스트의 경우에서와 같음) 또는 비방사된 영역의 제거(네거티브 레지스트의 경우에서와 같음)를 수반한다.
게다가, 물질 내의 피처를 에칭하기 위해 다중층 및 하드 마스크가 구현될 수 있다. 예를 들어, 하드 마스크를 사용하여 물질 내의 피처들을 에칭할 때, 감광성 층에서의 마스크 패턴은 물질에 대한 주 에칭 단계에 선행하는 별도의 에칭 단계를 사용하여 하드 마스크 층으로 전사된다. 예를 들어, 이 하드 마스크는 예를 들어 이산화실리콘(SiO2), 질화실리콘(Si3N4) 및 탄소를 포함하는 실리콘 프로세 싱을 위한 몇가지 물질로부터 선택될 수 있다.
도 1은 본 발명의 실시예에 따른 프로세싱 시스템의 예시적인 블록도를 나타낸 것이다. 예시된 실시예에서, 프로세싱 시스템(100)은 제조 장비 시스템(manufacturing equipment system, MES)(110), MES(110) 및 프로세싱 도구(130)에 연결된 도구 레벨(tool level, TL) 제어기(120), 및 MES(110), TL 제어기(120) 및 프로세싱 도구(130)에 연결된 R2R(Run-To-Run, 운전별) 제어기(190)를 포함한다. 게다가, MES(110), TL 제어기(120), 프로세싱 도구(130) 및 R2R 제어기(190) 중 적어도 하나는 GUI 컴포넌트 및/또는 데이터베이스 컴포넌트(도시 생략)를 포함할 수 있다. 대체 실시예에서, GUI 컴포넌트 및/또는 데이터베이스 컴포넌트는 필요하지 않다.
어떤 설정 및/또는 구성 정보는 TL 제어기(120) 및/또는 R2R 제어기(190)에 의해 공장 시스템(factory system)(110)으로부터 획득될 수 있다. 제어 계층 구조를 구축하기 위해 공장 레벨 비지니스 규칙이 사용될 수 있다. 예를 들어, TL 제어기(120) 및/또는 R2R 제어기(190)는 독립적으로 동작할 수 있거나 어느 정도는 공장 시스템(110)에 의해 제어될 수 있다. 또한, 프로세스가 언제 일시 정지 및/또는 중단되는지, 및 프로세스가 일시 정지 및/또는 중단될 때 무엇이 행해지는지를 결정하기 위해 공장 레벨 비지니스 규칙이 사용될 수 있다. 게다가, 언제 프로세스를 변경해야 하는지 및 어떻게 프로세스를 변경해야 하는지를 결정하기 위해 공장 레벨 비지니스 규칙이 사용될 수 있다.
정상적인 프로세싱을 위해 취해지는 조치 및 예외적인 조건에 대해 취해지는 조치를 규정하기 위해 비지니스 규칙이 사용될 수 있다. 이들 조치는 초기 모델 로딩, 에칭전 계측 데이터 필터링(pre-etch metrology data filtering), 제어기 레시피(recipe) 선택, 에칭후(post-etch) 계측 데이터 필터링, 피드백(F/B) 계산 및 R2R 모델 갱신을 포함할 수 있다.
비지니스 규칙은 제어 전략 레벨, 제어 계획 레벨 또는 제어 모델 레벨에서 정의될 수 있다. 특정의 상황에 부딪힐 때마다 실행하기 위해 비지니스 규칙이 할당될 수 있다. 하위 레벨에서 뿐만 아니라 상위 레벨에서도 일치하는 상황에 부딪히는 경우, 상위 레벨과 연관된 비지니스 규칙이 실행될 수 있다. 비지니스 규칙을 정의 및 유지하기 위해 그래픽 사용자 인터페이스(GUI) 화면이 사용될 수 있다. 통상보다 더 높은 보안 레벨을 갖는 사용자에 대해 비지니스 규칙 정의 및 할당이 가능하게 될 수 있다. 비지니스 규칙은 데이터베이스에 유지될 수 있다. 비지니스 규칙을 어떻게 정의, 할당 및 유지할지에 관한 문서화 및 도움말 화면이 제공될 수 있다.
MES(110)는 TL 제어기(120) 및/또는 R2R 제어기(190)와 연관된 데이터베이스로부터 보고된 데이터를 사용하여 어떤 시스템 프로세스를 모니터링할 수 있다. 어느 프로세스가 모니터링되는지 및 어느 데이터가 사용되는지를 결정하기 위해 공장 레벨 비지니스 규칙이 사용될 수 있다. 예를 들어, TL 제어기(120) 및/또는 R2R 제어기(190)는 독립적으로 데이터를 수집할 수 있거나 데이터 수집 프로세스가 어느 정도까지는 공장 시스템(110)에 의해 제어될 수 있다. 또한, 프로세스가 변경, 일시 정지 및/또는 중단될 때 어떻게 데이터를 관리해야 하는지를 결정하기 위 해 공장 레벨 비지니스 규칙이 사용될 수 있다.
또한, MES(110)는 운전 중(run-time) 구성 정보를 TL 제어기(120) 및/또는 R2R 제어기(190)에 제공할 수 있다. 예를 들어, 설정, 목표, 한계, 규칙 및 알고리즘이 운전 중에 "개선된 프로세스 제어(Advanced Process Control, APC) 레시피", "APC 시스템 규칙", 및 "APC 레시피 파라미터"로서 공장으로부터 TL 제어기(120) 및/또는 R2R 제어기(190)로 다운로드될 수 있다.
어떤 설정 및/또는 구성 정보는 TL 제어기(120) 및/또는 R2R 제어기(190)가 시스템에 의해 초기 구성될 때 이들에 의해 결정될 수 있다. 제어 계층 구조를 구축하기 위해 시스템 레벨 비지니스 규칙(시스템 규칙)이 사용될 수 있다. 예를 들어, TL 제어기(120) 및/또는 R2R 제어기(190)는 독립적으로 동작할 수 있거나 TL 제어기(120)는 어느 정도까지는 R2R 제어기(190)에 의해 제어될 수 있다. 또한, 프로세스가 언제 일시 정지 및/또는 중단되는지, 및 프로세스가 일시 정지 및/또는 중단될 때 무엇이 행해지는지를 결정하기 위해 시스템 규칙이 사용될 수 있다. 게다가, 프로세스를 언제 변경해야 하는지 및 프로세스를 어떻게 변경해야 하는지를 결정하기 위해 시스템 규칙이 사용될 수 있다. 게다가, TL 제어기(120)는 어떤 도구 레벨 동작을 제어하기 위해 도구 레벨 규칙을 사용할 수 있다.
일반적으로, 규칙은 시스템 및/또는 도구 동작이 시스템의 동적 상태에 기초하여 변할 수 있게 해준다.
도 1에서, 하나의 R2R 제어기(190), 하나의 프로세싱 도구(130) 및 하나의 TL 제어기(120)가 도시되어 있지만, 이것은 본 발명에 있어서 요구되는 것이 아니 다. 반도체 프로세싱 시스템은 독립적인 프로세스 도구 및 모듈 이외에 그와 연관된 임의의 수의 R2R 제어기를 갖는 임의의 수의 프로세싱 서브시스템을 포함할 수 있다.
임의의 수의 독립적인 프로세스 도구 및 모듈 이외에 그와 연관된 임의의 수의 프로세싱 서브시스템을 갖는 임의의 수의 프로세싱 도구를 구성하는 데 TL 제어기(120)가 사용될 수 있다. TL 제어기(120)는 프로세싱 도구, 프로세싱 서브시스템, 프로세스 모듈 및 센서를 수반하는 프로세스들로부터 데이터를 수집, 제공, 프로세싱, 저장 및 디스플레이할 수 있다.
TL 제어기(120)는 적어도 하나의 도구-관련 애플리케이션, 적어도 하나의 모듈-관련 애플리케이션, 적어도 하나의 센서-관련 애플리케이션, 적어도 하나의 인터페이스-관련 애플리케이션, 적어도 하나의 데이터베이스-관련 애플리케이션, 적어도 하나의 GUI-관련 애플리케이션, 및 적어도 하나의 구성 애플리케이션을 비롯한 다수의 애플리케이션을 포함할 수 있다.
예를 들어, TL 제어기(120) 및 R2R 제어기(190)는 Unity Tool, Telius Tool 및/또는 Trias Tool, 및 이들의 연관된 프로세싱 서브시스템 및 프로세스 모듈을 포함할 수 있는 Tokyo Electron Limited로부터의 APC 시스템을 지원할 수 있다. 다른 대안으로서, TL 제어기(120)는 다른 프로세스 도구 및 다른 프로세스 모듈을 지원할 수 있다.
GUI 컴포넌트는 사용자가 도구 상태 및 프로세스 모듈 상태를 볼 수 있게 해주고, 선택된 웨이퍼에 대한 요약 및 미처리(추적) 파라미터 데이터의 x-y 차트를 편집할 수 있게 해주며, 도구 경보 로그를 볼 수 있게 해주고, 데이터를 데이터베이스 또는 출력 파일에 기록하기 위한 조건을 지정하는 데이터 수집 계획을 구성할 수 있게 해주며, 파일을 통계적 프로세스 제어(statistical process control, SPC) 차트화(charting), 모델링 및 스프레드쉬트 프로그램에 입력할 수 있게 해주고, 특정의 웨이퍼에 대한 웨이퍼 프로세싱 정보를 검사할 수 있게 해주며, 또 현재 데이터베이스에 저장되고 있는 데이터를 검토할 수 있게 해주고, 프로세스 파라미터의 SPC 차트를 생성 및 편집할 수 있게 해주며, 이메일 경고를 생성하는 SPC 경보를 설정할 수 있게 해주고, 다변수 주요 컴포넌트 분석(Principal Component Analysis, PCA) 및/또는 부분 최소 제곱(Partial Least Squares, PLS) 모듈을 실행할 수 있게 해주며, 및/또는 TL 제어기(120)로 문제점을 해결 및 보고하기 위해 진단 화면을 볼 수 있게 해주는 사용하기 쉬운 인터페이스를 제공할 수 있다.
도구로부터의 미처리 데이터 및 추적 데이터는 데이터베이스(122) 내의 파일들에 저장될 수 있다. 게다가, 내장형 계측(Integrated Metrology, IM) 데이터 및 호스트 계측(host metrology) 데이터도 데이터베이스(122)에 저장될 수 있다. 데이터의 양은 구성되어 있는 데이터 수집 계획은 물론 프로세스가 수행되고 프로세싱 도구가 운전되는 빈도수에 의존한다. 프로세싱 도구, 프로세싱 챔버, 센서 및 운영 체제로부터 획득된 데이터는 데이터베이스에 저장될 수 있다.
도 1에 도시된 예시적인 실시예에서, 단일의 클라이언트 워크스테이션(112)이 도시되어 있지만, 이것이 본 발명에 있어서 요구되는 것은 아니다. 이 시스템은 복수의 클라이언트 워크스테이션(112)을 지원할 수 있다. 일 실시예에서, 클라 이언트 워크스테이션(112)은 사용자로 하여금 구성 절차를 수행할 수 있게 해주고, 도구, R2R 제어기, 프로세스 및 공장 상태를 볼 수 있게 해주며, 현재 및 과거의 데이터를 볼 수 있게 해주고, 모델링 및 차트화 기능을 수행할 수 있게 해주며, 및/또는 R2R 제어기에 데이터를 입력할 수 있게 해준다. 예를 들어, 사용자는 사용자로 하여금 R2R 제어기에 의해 수행되는 하나 이상의 프로세스를 제어할 수 있게 해주는 운영 권한을 제공받을 수 있다.
R2R 제어기(190)는 이 프로세스 이전에 수행되었던 프로세스와 연관되어 있는 적어도 하나의 다른 R2R 제어기에 연결하기 위한 링크(192) 및 이 프로세스 이후에 수행되는 프로세스와 연관되어 있는 적어도 하나의 R2R 제어기에 연결하기 위한 링크(194)를 포함한다. 링크(192) 및 링크(194)는 정보를 피드포워드 및/또는 피드백하는 데 사용될 수 있다.
R2R 제어기(190)는 MES(110)에 연결되어 있으며 E-진단 시스템(E-Diagnostic System)의 일부일 수 있다. R2R 제어기(190)는 공장 시스템과 정보를 교환할 수 있다. 게다가, MES(110)는 R2R 제어기(190)로 명령을 전송하고 및/또는 그로의 정보를 번복할 수 있다. 예를 들어, MES(110)는 임의의 수의 프로세스 모듈, 도구 및 측정 장치에 대한 다운로드가능한 레시피를, 각각의 레시피에 대한 가변 파라미터와 함께 R2R 제어기로 피드포워드할 수 있다. 가변 파라미터는 로트별로 조정가능하게 될 필요가 있는 도구 레벨 시스템에서의 최종 임계 치수(Critical Dimension, CD) 목표, 한계, 오프셋 및 변수를 포함할 수 있다. 또한, 공장 리쏘 CD 계측 데이터가 R2R 제어기(190)로 피드포워드될 수 있다.
게다가, CD 주사 전자 현미경(Scanning Electron Microscope, SEM) 정보 등의 측정 데이터를 R2R 제어기에 제공하기 위해 MES(110)가 사용될 수 있다. 다른 대안으로서, CD SEM 정보는 수동으로 제공될 수 있다. IM과 CD SEM 측정 간의 임의의 오프셋을 조정하기 위해 조정 인자가 사용된다. CD SEM 데이터의 수동 및 자동 입력은 R2R 제어기에서의 피드백(FB) 제어 루프의 이력에의 적절한 삽입을 위한 날짜 등의 타임스탬프를 포함한다.
구성가능한 항목이 GEM SECS(Generic Equipment Model/SEMI Equipment Communications Standard) 통신 프로토콜을 사용하여 공장 시스템으로부터 전송되는 일련의 가변 파라미터로서 구성될 수 있다. 예를 들어, 가변 파라미터가 "APC 레시피"의 일부로서 전달될 수 있다. APC 레시피는 2개 이상의 서브 레시피를 포함할 수 있으며, 각각의 서브 레시피는 가변 파라미터를 포함할 수 있다.
R2R 제어기(190)는 프로세싱 도구(130) 및 TL 제어기(120)에 연결되어 있다. 정보는 피드백 및 피드포워드 데이터를 포함할 수 있다. 예를 들어, 내부 리셋 이벤트가 도구로부터 생성되고 있을 때, R2R 제어기(190)는 경보 등의 메시지를 MES(110)로 전송할 수 있다. 이것은 교정적 또는 예방적 보수 동안에 행해지는 것 등의 주요 변경이 행해진 후에 공장 시스템으로 하여금 위험에 처한 웨이퍼의 수를 최소화하기 위해 필요한 변경을 할 수 있게 해준다.
예시된 실시예에서, 제1 내장된 계측 모듈(integrated metrology module, IMM)(132), 프로세싱 서브시스템(150) 및 제2 IMM(134)을 포함하는 프로세싱 도구(130)가 도시되어 있지만, 이것은 본 발명에 있어서 요구되는 것이 아니다. 다른 대안으로서, 다른 구성이 사용될 수 있다.
또한, 도 1에 단일의 R2R 제어기(190)가 도시되어 있지만, 이것은 본 발명에 있어서 요구되는 것이 아니다. 다른 대안으로서, 부가의 R2R 제어기들이 사용될 수 있다. 예를 들어, R2R 제어기(190)는 피드포워드(FF) 제어기, 프로세스 모델 제어기, 피드백(FB) 제어기 및 프로세스 제어기 중 적어도 하나를 포함할 수 있다(모두가 도 1에 도시되어 있지는 않음).
예시된 실시예에서, 시작 이벤트(102)는 제1 IMM(132)에 입력을 제공한다. 예를 들어, 시작 이벤트는 데이터 및/또는 제어 메시지를 포함하는 호스트로부터의 메시지일 수 있다. 제1 IMM(132)은 R2R 제어기(190) 및 프로세싱 서브시스템(150)에 연결되어 있다. 프로세싱 서브시스템(150)은 R2R 제어기(190) 및 제2 IMM(134)에 연결되어 있다. 제2 IMM(134)은 R2R 제어기(190)에 연결되어 있다.
프로세싱 서브시스템(150)은 제1 버퍼 모듈(152), 화학적 산화물 제거(Chemical Oxide Removal, COR) 모듈(154), 사후 열 처리(Post Heat Treatment, PHT) 챔버(156) 및 제2 버퍼 모듈(158)을 포함할 수 있다. COR 모듈은 COR 프로세스의 제1 단계를 수행한다. 제1 단계는 HF 및 암모니아 가스 등의 프로세스 가스의 혼합물과 웨이퍼 표면 상에 고체 반응 생성물을 형성하는 이산화실리콘 간의 반응일 수 있다. COR 모듈 옆에 위치하는 PHT 모듈은 COR 프로세스의 제2 단계를 수행한다. 이 단계는 웨이퍼를 가열함으로써 고체 반응 생성물의 기화를 야기한다.
프로세싱 서브시스템(150)은 COR 모듈, PHT 모듈 및 버퍼(LL) 모듈을 포함할 수 있는 프로세스 쉽(process ship)을 포함할 수 있다. 프로세싱 시스템은 별도의 프로세싱 레시피, COR 모듈, PHT 모듈, 버퍼(LL) 모듈을 사용하여 제어될 수 있다.
예를 들어, 버퍼 모듈은 COR 모듈, PHT 모듈, 버퍼(LL) 모듈 및 다른 이송 시스템 모듈 등의 다른 모듈 간에 웨이퍼를 이송시키는 이송 시스템을 포함할 수 있다. 이들 프로세스 모듈은 TL 제어기가 제어할 부가의 모듈 유형으로서 포함될 수 있다.
프로세싱 서브시스템은 프로세싱을 시작하기 위해 COR 레시피를 사용할 수 있으며, COR 레시피는 기판이 COR 모듈로 이송될 때 시작될 수 있다. 예를 들어, 기판은 기판 홀더 내에 하우징되어 있는 리프트 핀(lift pin)으로 받아질 수 있고, 기판은 기판 홀더로 하강될 수 있다. 그 후에, 기판은 정전 클램핑 시스템 등의 클램핑 시스템을 사용하여 기판 홀더에 고정될 수 있고, 열 전달 가스가 기판의 배면에 공급될 수 있다.
그 다음에, 기판의 화학적 처리를 위한 하나 이상의 화학적 프로세싱 파라미터를 설정하기 위해 COR 레시피가 사용될 수 있고, 이들 파라미터는 화학적 처리 프로세싱 압력, 화학적 처리 벽 온도, 화학적 처리 기판 홀더 온도, 화학적 처리 기판 온도, 화학적 처리 가스 분배 시스템 온도, 프로세스 가스 및 흐름을 포함하는 화학적 처리 프로세싱 화학 중 적어도 하나를 포함할 수 있다. 그 다음에, 기판은 제1 기간 동안 화학적으로 처리될 수 있다. 이 제1 기간은 예를 들어 30초 내지 360초 범위일 수 있다.
그 다음에, 기판은 화학적 처리 챔버에서 PHT 모듈로 이송될 수 있다. 이 시간 동안에, 기판 클램프가 제거될 수 있고, 기판의 배면으로의 열 전달 가스의 흐름이 종료될 수 있다. 기판은 기판 홀더 내에 하우징되어 있는 리프트 핀 어셈블리를 사용하여 기판 홀더로부터 이송 플레이트로 수직으로 상승될 수 있다. 이 이송 시스템은 기판을 리프트 핀으로부터 받을 수 있고 또 기판을 PHT 모듈 내에 배치할 수 있다. 그 안에서, 기판 리프터 어셈블리는 기판을 이송 시스템으로부터 받을 수 있고 기판을 기판 홀더로 하강시킬 수 있다.
이어서, PHT 모듈에 의한 기판의 열적 처리를 위한 하나 이상의 열적 프로세싱 파라미터를 설정하기 위해 PHT 레시피가 사용될 수 있고, 기판은 제2 기간 동안 열적으로 처리될 수 있다. 예를 들어, 하나 이상의 열적 프로세싱 파라미터는 열적 처리 벽 온도, 열적 처리 상부 어셈블리 온도, 열적 처리 기판 온도, 열적 처리 기판 홀더 온도, 열적 처리 기판 온도, 열적 처리 프로세싱 압력, 및 프로세스 가스 및 흐름을 비롯한 열적 처리 프로세싱 화학 중 적어도 하나를 포함할 수 있다. 제2 기간은 예를 들어 30초 내지 360초 범위일 수 있다.
예시적인 프로세스에서, 프로세싱 서브시스템(150)은 산화물 하드 마스크를 트리밍하기 위한 화학적 산화물 제거(COR) 시스템일 수 있다. 다른 예시적인 프로세스에서, 산화된 튜닝가능 에칭 방지(Tunable Etch Resistant) ARC(TERA) 막이 COR 프로세스를 사용하여 트리밍될 수 있다. 프로세싱 서브시스템(150)은 기판 상의 산화물 표면층 등의 노출된 표면층을 화학적으로 처리하기 위한 COR 모듈(154)을 포함하며, 그에 따라 노출된 표면 상에서의 프로세스 화학의 흡수가 표면층의 화학적 변화에 영향을 미치게 된다. 게다가, 프로세싱 서브시스템(150)은 기판을 열적으로 처리하기 위한 PHT 모듈(156)을 포함하며, 그에 따라 기판 상의 화학적으 로 변화된 노출된 표면층을 탈착(desorb)(또는 기화)시키기 위해 기판 온도가 상승된다.
예를 들어, COR 모듈은 HF 및 NH3를 포함하는 프로세스 가스를 사용할 수 있으며, 프로세싱 압력은 대략 1 내지 대략 100 mTorr 범위일 수 있고, 예를 들어 대략 2 내지 대략 25 mTorr 범위일 수 있다. 프로세스 가스 유량은 각각의 종류(specie)에 대해 대략 1 내지 대략 200 sccm 범위일 수 있고, 예를 들어 대략 10 내지 대략 100 sccm 범위일 수 있다. 게다가, 균일한 (3차원) 압력장(pressure field)이 달성될 수 있다. 그 밖에, COR 모듈 챔버는 30℃ 내지 100℃ 범위의 온도로 가열될 수 있으며, 예를 들어 이 온도는 대략 40℃일 수 있다. 게다가, 가스 분배 시스템은 대략 40℃ 내지 대략 100℃ 범위의 온도로 가열될 수 있으며, 예를 들어 이 온도는 대략 50℃일 수 있다. 기판은 대략 10℃ 내지 대략 50℃ 범위의 온도에 유지될 수 있으며, 예를 들어 이 기판 온도는 대략 20℃일 수 있다.
게다가, PHT 모듈에서, 열적 처리 챔버는 대략 50℃ 내지 대략 100℃ 범위의 온도로 가열될 수 있으며, 예를 들어 이 온도는 대략 80℃일 수 있다. 게다가, 상부 어셈블리는 대략 50℃ 내지 대략 100℃ 범위의 온도로 가열될 수 있으며, 예를 들어 이 온도는 대략 80℃일 수 있다. 기판은 대략 100℃를 초과하는 온도로 가열될 수 있다. 다른 대안으로서, 기판은 대략 100℃ 내지 대략 200℃ 범위에서 가열될 수 있으며, 예를 들어 이 온도는 대략 135℃일 수 있다
본 명세서에 기술된 COR 및 PHT 프로세스는 60초의 열적 산화물에 대한 화학적 처리마다 대략 10 nm를 초과하는 노출된 산화물 표면층의 에칭량, 180초의 열적 산화물에 대한 화학적 처리마다 대략 25 nm를 초과하는 노출된 산화물 표면층의 에칭량, 및 180초의 TEOS에 대한 화학적 처리마다 대략 10 nm를 초과하는 노출된 산화물 표면층의 에칭량을 생성할 수 있다. 이들 처리는 또한 대략 2.5% 미만의 기판에 걸친 에칭 변동을 가져올 수 있다.
시작 이벤트(102)는 웨이퍼 인 이벤트(wafer in event)일 수 있고, 시작 이벤트는 들어오는 웨이퍼와 연관된 데이터를 포함할 수 있다. 이 데이터는 로트(lot) 데이터, 배치(batch) 데이터, 운전(run) 데이터, 조성(composition) 데이터 및 웨이퍼 이력 데이터를 포함할 수 있다. 다른 대안으로서, 시작 이벤트는 다른 프로세스-관련 이벤트일 수 있다.
제1 IMM(132)은 웨이퍼에 대한 입력 상태를 설정하는 데 사용될 수 있는 프로세스전(pre-process) 계측 데이터를 제공할 수 있다. 제1 IMM(132)은 프로세스전 계측 데이터의 제1 부분을 R2R 제어기(190)에 제공(피드포워드)할 수 있고, 프로세스전 계측 데이터의 제2 부분을 프로세싱 서브시스템(150)에 제공할 수 있다. 다른 대안으로서, 이 2 부분은 동일한 데이터를 포함할 수 있다. 제1 IMM(132)은 단일의 IMM 또는 다수의 측정 장치를 포함할 수 있다. 제1 IMM(132)은 모듈-관련 측정 장치, 도구-관련 측정 장치 및 외부 측정 장치를 포함할 수 있다. 예를 들어, 하나 이상의 프로세스 모듈에 연결되어 있는 센서 및 프로세싱 도구에 연결되어 있는 센서로부터 데이터가 획득될 수 있다. 게다가, SEM 도구 및 광학 디지털 프로파일링(Optical Digital Profiling, ODP) 도구 등의 외부 장치로부터 데이터가 획득될 수 있다. ODP 도구는 반도체 장치에서의 피처의 프로파일을 측정하는 특허 된 기술을 제공하는 Timber Technologies Inc.(TEL사)로부터 입수가능하다.
R2R 제어기(190)는 들어오는 물질의 측정된 임계 치수(입력 상태)와 목표 임계 치수(원하는 상태) 간의 차이를 사용하여 입력 상태로부터 원하는 상태로 웨이퍼의 상태를 변화시키는 원하는 결과를 달성하기 위해 일련의 프로세스 파라미터를 예측, 선택 또는 계산할 수 있다. 예를 들어, 이 예측된 일련의 프로세스 파라미터는 입력 상태 및 원하는 상태에 기초하여 사용할 레시피의 제1 추정치일 수 있다. 일 실시예에서, 입력 상태 및/또는 원하는 상태 데이터 등의 데이터는 호스트로부터 획득될 수 있다.
한 경우에, R2R 제어기(190)는 웨이퍼의 입력 상태 및 원하는 상태를 알고 있으며, R2R 제어기(190)는 웨이퍼를 입력 상태에서 원하는 상태로 변화시키기 위해 웨이퍼에 대해 수행될 수 있는 일련의 레시피를 결정한다. 예를 들어, 일련의 레시피는 일련의 프로세스 모듈을 수반하는 다단계 프로세스를 말할 수 있다.
R2R 제어기(190)는 테이블-기반 기술을 사용할 수 있고, 언제 기술들 간에 전환할지를 결정하기 위한 규칙은 입력 범위, 출력 범위, 웨이퍼 유형, 프로세스 유형, 모듈 유형, 도구 유형, 웨이퍼 상태 및 프로세스 상태 중 적어도 하나에 기초할 수 있다. 예를 들어, 이들 레시피는 테이블 형태로 있을 수 있으며, R2R 제어기(190)는 어느 레시피 또는 레시피들을 사용할지 결정하기 위해 테이블 검색을 한다.
R2R 제어기가 테이블-기반 기술을 사용할 때, 피드포워드 제어 변수가 구성가능할 수 있다. 예를 들어, 변수는 테이블 내의 상수 또는 계수일 수 있다. 게 다가, 다수의 테이블이 있을 수 있고, 언제 테이블들 간에 전환할지를 결정하기 위한 규칙은 입력 범위 또는 출력 범위에 기초할 수 있다.
R2R 제어기의 시상수는 측정들 간의 시간에 기초할 수 있다. 로트가 완성된 후에 측정된 데이터가 이용가능할 때, R2R 제어기의 시상수는 로트들 간의 시간에 기초할 수 있다. 웨이퍼가 완성된 후에 측정된 데이터가 이용가능할 때, R2R 제어기의 시상수는 웨이퍼들 간의 시간에 기초할 수 있다. 측정 데이터가 프로세싱 동안에 실시간으로 제공되는 경우, R2R 제어기의 시상수는 웨이퍼 내에서의 프로세싱 단계들에 기초할 수 있다. 웨이퍼가 프로세싱되고 있는 동안 또는 웨이퍼가 완성된 후에 또는 로트가 완성된 후에 측정된 데이터가 이용가능한 경우, R2R 제어기는 프로세스 단계들 간의 시간, 웨이퍼들 간의 시간 및/또는 로트들 간의 시간에 기초할 수 있는 다수의 시상수를 가질 수 있다.
하나 이상의 R2R 제어기가 임의의 시점에서 동작하고 있을 수 있다. 예를 들어, 하나의 R2R 제어기가 동작 모드에 있을 수 있는 반면 제2 R2R 제어기는 모니터링 모드에 있을 수 있다. 게다가, 또하나의 R2R 제어기는 시뮬레이션 모드에서 동작하고 있을 수 있다. R2R 제어기는 단일의 루프 또는 다수의 루프를 포함할 수 있으며, 이들 루프는 서로 다른 시상수를 가질 수 있다. 예를 들어, 루프는 웨이퍼 타이밍, 로트 타이밍, 배치(batch) 타이밍, 챔버 타이밍, 도구 타이밍 및/또는 공장 타이밍에 의존할 수 있다.
R2R 제어기는 단일 입력 단일 출력(SISO) 장치로서, 단일 입력 다중 출력(SIMO) 장치로서, 다중 입력 단일 출력(MISO) 장치로서 및 다중 입력 다중 출력 (MIMO) 장치로서 동작할 수 있다. 게다가, 입력 및 출력은 R2R 제어기 내에 및/또는 하나 이상의 R2R 제어기 사이에 있을 수 있다. 예를 들어, CD 및 측벽 각도 등의 다수의 입력이 사용되고 있는 경우, 입력 및 출력은 2개의 모듈 사이에서 피드포워드 및 피드백될 수 있다(즉, 하나는 CD 제어를 위한 것이고 하나는 측벽 각도 제어를 위한 것임). 게다가, 마스크 개방 제어기(mask open controller)도 역시 사용될 수 있다. 다수의 모듈을 포함하는 다중-프로세스 경우에, 정보는 한 R2R 제어기에서 다른 R2R 제어기로 피드포워드 또는 피드백될 수 있다.
프로세싱 서브시스템(150)은 에칭 모듈, 증착 모듈, 연마 모듈, 코팅 모듈, 현상 모듈, 및 열적 처리 모듈 중 적어도 하나를 포함할 수 있다.
프로세싱 도구 및/또는 프로세스 모듈이 데이터를 데이터베이스로 전송할 때, 이 데이터는 R2R 제어기에 의해 액세스될 수 있다. 예를 들어, 이 데이터는 도구 추적 데이터, 유지보수 데이터 및 EPD 데이터를 포함할 수 있다. 추적 데이터는 프로세싱 동안에 또는 웨이퍼의 프로세싱이 완료된 후에 갱신 및 저장될 수 있다.
R2R 제어기(190)는 입력 상태, 프로세스 특성 및 프로세스 모델에 기초하여 웨이퍼의 예측된 상태를 계산할 수 있다. 예를 들어, 예측된 트리밍 양을 계산하기 위해 트리밍 속도 모델(trim rate model)이 프로세싱 시간과 함께 사용될 수 있다. 다른 대안으로서, 에칭 깊이를 계산하기 위해 프로세싱 시간과 함께 에칭 속도 모델(etch rate model)이 사용될 수 있고, 증착 두께를 계산하기 위해 프로세싱 시간과 함께 증착 속도 모델(deposition rate model)이 사용될 수 있다. 또한, 모 델들은 SPC 차트, PLS 모델, PCA 모델, FDC(Fitness Distance Correlation, 적합성 거리 상관) 모델 및 MVA(Multivariate Analysis, 다중 변수 분석) 모델을 포함할 수 있다.
R2R 제어기는 프로세스 모듈에서의 프로세스 파라미터 한계에 대해 외부에서 제공된 데이터를 수신하여 이용할 수 있다. 예를 들어, R2R 제어기 GUI 컴포넌트는 프로세스 파라미터 한계의 수동 입력을 위한 수단을 제공한다. 게다가, 공장 레벨 제어기는 각각의 프로세스 모듈에 대한 프로세스 파라미터의 한계를 제공할 수 있다.
R2R 제어기는 상업적으로 이용가능한 모델링 소프트웨어에 의해 생성된 모델을 수신하여 실행할 수 있다. 예를 들어, R2R 제어기는 외부 애플리케이션에 의해 생성되어 제어기로 전송된 모델(PLS, PCA, 기타 등등)을 수신하여 실행할 수 있다.
제2 IMM(134)은 웨이퍼에 대한 출력 상태를 설정하는 데 사용될 수 있는 프로세스후(post-process) 계측 데이터를 제공할 수 있다. 제2 IMM(134)은 프로세스후 계측 데이터의 제1 부분을 R2R 제어기(190)에 제공(피드백)할 수 있으며, 웨이퍼 아웃 이벤트(wafer out event)(104)와 함께 프로세스후 계측 데이터의 제2 부분을 데이터베이스에 제공할 수 있다. 다른 대안으로서, 이 2 부분은 동일한 데이터를 포함할 수 있다. 제2 IMM(134)은 단일의 IMM 또는 다수의 측정 장치를 포함할 수 있다. 제2 IMM(134)은 프로세스 모듈-관련 측정 장치, 도구-관련 측정 장치 및 외부 측정 장치를 포함할 수 있다. 예를 들어, 데이터는 하나 이상의 프로세스 모듈에 연결된 센서 및 프로세싱 도구에 연결된 센서로부터 획득될 수 있다. 게다 가, 데이터는 SEM 도구, OES(Optical Emission Spectroscopy, 발광 분광법) 도구 및 ODP 도구 등의 외부 장치로부터 획득될 수 있다.
R2R 제어기(190)는 제1 일련의 프로세스 이탈(process deviation)을 계산하기 위해 제2 IMM(134)로부터의 프로세스후 계측 데이터를 사용할 수 있다. 이 계산된 일련의 프로세스 이탈은 예상된 웨이퍼 상태 및 프로세스후 계측 데이터로부터 결정된 웨이퍼의 출력 상태에 기초하여 결정될 수 있다. 한 경우에, R2R 제어기(190)는 웨이퍼에 대한 원하는 상태 및 출력 상태를 알고 있으며, R2R 제어기(190)는 원하는 상태와 출력 상태 간의 차이를 결정한다. 이와 같이, 프로세스 레시피에 대한 정정을 결정하기 위해 측정된 실제 프로세스 결과가 원하는 프로세스 결과와 비교된다. 다른 경우에, R2R 제어기(190)는 웨이퍼에 대한 예측된 상태 및 출력 상태를 알고 있으며, R2R 제어기(190)는 예측된 상태와 출력 상태 간의 차이를 결정한다. 이와 같이, 프로세스 모델에 대한 정정을 결정하기 위해 측정된 실제 프로세스 결과가 예측된 프로세스 결과와 비교된다.
어떤 경우에, R2R 제어기는 목표 CD를 조정하기 위해 룩업 테이블법을 사용할 필요가 없다. 예를 들어, 공장 제공 목표 CD와 필터링된 계측 CD 간의 간단한 델타가 정정으로서 적용될 수 있다.
모델 갱신은 모니터 웨이퍼를 제작함으로써, 프로세스 설정을 변경함으로써 및 결과를 관찰하고 이어서 모델을 갱신함으로써 일어날 수 있는 다른 형태의 피드백이다. 예를 들어, 모델 갱신은 모니터 웨이퍼의 전후 막 특성을 측정함으로써 매 N 프로세싱 시간마다 일어날 수 있다. 서로 다른 동작 범위를 검사하기 위해 시간에 따라 설정을 변경함으로써, 시간에 따른 전체 동작 공간을 확인할 수 있거나 서로 다른 레시피 설정으로 몇개의 모니터 웨이퍼를 한번에 제작할 수 있다. 모델 갱신은 도구에 있는 또는 공장에 있는 R2R 제어기 내에서 행해질 수 있으며, 그에 따라 공장 제어가 모니터 웨이퍼 및 모델 갱신을 관리할 수 있게 해준다.
R2R 제어기(190)는 그 다음 웨이퍼에 대한 갱신된 레시피를 계산한다. 한 경우에, R2R 제어기(190)는 현재의 웨이퍼를 제작하기 전에 현재의 레시피를 변경할지 여부를 결정하기 위해 피드포워드 정보, 모델링 정보 및 피드백 정보를 사용할 수 있다. 다른 경우에, R2R 제어기(190)는 그 다음 웨이퍼를 제작하기 전에 현재의 레시피를 변경할지 여부를 결정하기 위해 피드포워드 정보, 모델링 정보 및 피드백 정보를 사용할 수 있다. 다른 대안으로서, R2R 제어기(190)는 그 다음 로트를 제작하기 전에 현재의 레시피를 변경할지 여부를 결정하기 위해 피드포워드 정보, 모델링 정보 및 피드백 정보를 사용할 수 있다.
R2R 제어기(190)는 랜덤 노이즈를 제거하기 위해 계측 데이터를 필터링하는 하나 이상의 필터(도시 생략)를 포함할 수 있다. 예를 들어, 제어기의 입력 또는 출력에 필터가 적용될 수 있다. 한 경우에, 제어의 방법에 상관없이(즉, 룩업 테이블을 사용하는 것과 무관하게) 필터링을 위해 들어오는 변수에 필터가 적용될 수 있다. 이것은 또한 제어기로 하여금 작은 스텝 단위로 유량을 변경하고 이어서 압력을 변화시키고 유량을 계단상으로 변경하는 등 제어 범위에 걸쳐 출력 변수를 변경할 수 있게 해준다.
통계적으로 유효하지 않고 웨이퍼 측정의 평균을 계산함에 있어서 고려되어 서는 안되는 이상치(outlier)를 제거하기 위해 이상치 필터(outlier filter)가 사용될 수 있다. 이상치 필터는 평균으로부터 높은 이상치 및 낮은 이상치 둘다를 제거하기 위해 사용될 수 있다. 예를 들어, 상자 수염법(box and whisker method)이 사이트 계측 데이터(site metrology data)에 적용될 수 있다. 이 방법은 효과적이며, 절대적 한계(absolute limit)없이 유지하기에 간단하고(목표가 필터링 한계에 영향을 줌이 없이 변경될 수 있음), 하나의 일련의 필터링 한계가 변하는 일련의 들어오는 CD 평균 데이터에 적용될 수 있게 해주며, 시각화하기가 간단한다. 이상치 필터에 있어서, 부가의 규칙이 유지될 필요가 있다(웨이퍼를 통계적으로 나타내기 위한 최소 수의 지점 및 로트를 나타내기 위한 최소 수의 웨이퍼).
랜덤 노이즈를 제거하고 제어 루프를 안정화시키기 위해 노이즈 필터가 사용될 수 있고, EWMA(Exponentially Weighed Moving Average, 지수 가중된 이동 평균) 또는 칼만(Kalman) 필터가 적용될 수 있다. 필터가 사용되는 경우, 필터 시상수가 설정되어야만 한다(EWMA의 경우, 람다(lambda)가 시상수임). 예를 들어, 날짜 및 시간을 처리함으로써, 무순서로 추가되는 데이터 포인트를 포착하기 위해 매번마다 전체 이력을 사용하여 EWMA 계산이 행해질 수 있다.
R2R 제어기는 피드포워드 데이터를 수신하여 이용할 수 있다. 예를 들어, R2R 제어기는 프로세싱될 들어오는 물질에 관한 정보 및 원하는 프로세스 결과(목표 CD)를 수신할 수 있고, R2R 제어기는 원하는 프로세스 결과를 달성하기 위해 일련의 레시피 파라미터를 제공할 수 있다. R2R 제어기는 피드백 데이터를 수신하여 이용할 수 있다. 예를 들어, R2R 제어기는 이미 프로세싱된 물질에 관한 정보를 수신할 수 있고 또 이 데이터에 기초하여 프로세스 모델을 조정할 수 있다. R2R 제어기는 지연된 피드백 데이터를 수신하여 이용할 수 있다. 예를 들어, R2R 제어기는 이미 프로세싱된 물질에 관한 정보를 수신할 수 있고 이 데이터가 도구에 의해 프로세싱되었던 순서로 수신되지 않더라도 이 데이터에 기초하여 프로세스 모델을 조정할 수 있다. R2R 제어기는 제어기를 구성 및 제어하기 위해 수동으로 입력된 데이터를 수신하여 이용할 수 있다. 예를 들어, R2R 제어기 GUI 컴포넌트는 제어기 구성 정보의 수동 입력을 위한 수단을 제공한다.
R2R 제어기는 예외 조건의 통지를 송수신할 수 있다. 예를 들어, R2R 제어기는 공장 레벨 제어기 또는 도구 레벨 제어기로/로부터 예외 조건의 통지를 전송 및 수신할 수 있다. 게다가, 통지는 예외 조건의 식별 이후에 e-진단 네트워크, 이메일 또는 페이저를 통해 전송될 수 있다.
R2R 제어기는 시뮬레이션 모드에서 실행될 수 있다. 예를 들어, R2R 제어기는 실제 프로세스 모듈과 병렬로 시뮬레이션 모드에서 동작할 수 있다. 이 경우에, 시뮬레이션된 동작은 R2R 제어기 로그 및 이력 데이터베이스에 기록될 수 있고, 즉각적인 조치는 취해지지 않는다.
프로세스 모델은 가스 유량(gas flow rate)에 대한 입력 파라미터를 제공할 뿐만 아니라 가스 유량 비(gas flow rate ratio)에 대한 입력 파라미터도 제공한다. 예를 들어, R2R 제어기는 가스 흐름 비를 계산 및 설정하고 결합된 가스의 총 흐름을 조정할 수 있다.
R2R 제어기는 들어오는 물질과 관련하여 프로세스 모델을 선택할 수 있다. 예를 들어, R2R 제어기는 들어오는 물질 상태 및 프로세스 레시피에 기초하여 프로세스 모델을 선택할 수 있다. R2R 제어기는 시스템이 유효한 R2R 설정을 계산할 수 있음을 확인하는 수단을 포함할 수 있다. 예를 들어, R2R 제어기는 로트 시작 이전에 레시피 파라미터 설정을 확인하는 수단을 포함할 수 있다. R2R 제어기는 레시피 설정점(recipe set point)의 디폴트 설정을 사용하는 수단을 포함할 수 있다. 예를 들어, R2R 제어기가 특정의 웨이퍼에 대한 레시피 파라미터를 제공할 수 없을 때, "공칭" 레시피에서의 레시피 파라미터가 사용될 수 있다.
R2R 제어기는 입력 및 출력 데이터를 아카이브(archive)하는 데이터베이스 컴포넌트를 포함할 수 있다. 예를 들어, R2R 제어기는 수신된 입력, 전송된 출력 및 제어기에 의해 취해진 조치를 검색가능 데이터베이스에 아카이브할 수 있다. 게다가, R2R 제어기는 데이터 백업 및 복원을 위한 수단을 포함할 수 있다. 또한, 이 검색가능 데이터베이스는 모델 정보, 구성 정보 및 이력 정보를 포함할 수 있으며, R2R 제어기는 이 데이터베이스 컴포넌트를 사용하여 모델 정보 및 모델 구성 정보(현재 및 과거 정보 둘다)를 백업 및 복원할 수 있다.
R2R 제어기는 웹 기반 사용자 인터페이스를 포함할 수 있다. 예를 들어, R2R 제어기는 데이터베이스 내의 데이터를 보기 위한 웹 지원 GUI 컴포넌트를 포함할 수 있다. R2R 제어기는 보안 관리자에 의해 부여된 허용(permission)에 따라 다수의 액세스 레벨을 제공할 수 있는 보안 컴포넌트를 포함할 수 있다. R2R 제어기는 설치시에 제공되는 일련의 디폴트 모델을 포함할 수 있으며, 따라서 R2R 제어기는 디폴트 조건으로 리셋될 수 있다.
R2R 제어기는 예외의 속성에 따라 예외에 응답하여 여러가지 조치를 취할 수 있다. 예를 들어, 예외 조건은 측정된 데이터 누락, 목표 CD 누락, 계측 에러, 레시피 파라미터 한계 초과, 프로세스 모듈 파라미터 한계 초과, 및/또는 피드백 이벤트의 무순서 수신을 포함할 수 있다. 예외에 대해 취해지는 조치는 예를 들어 시스템 레시피(system recipe), 프로세스 레시피(process recipe), 모듈 유형, 모듈 식별 번호, 로드 포트 번호, 카세트 번호, 로트 번호, 제어 작업 ID, 프로세스 작업 ID 및/또는 슬롯 번호에 의해 지정된 컨텍스트에 대해 설정된 비지니스 규칙에 기초할 수 있다. 이 컨텍스트는 최고 레벨에서 최저 레벨까지 계층 구조로 정렬될 수 있다. 컨텍스트를 상위 레벨에 매칭시키는 것이 컨텍스트를 하위 레벨에 매칭시키는 것보다 우선될 수 있다. 매칭하는 컨텍스트가 발견되지 않는 경우, 디폴트 조치가 취해질 수 있다.
R2R 제어기 입력은 명령어, 기판 상태, 모듈 물리적 상태, 프로세스 상태 및/또는 제어기 파라미터를 포함할 수 있다. 게다가, R2R 제어기 입력은 피드포워드/피드백 루프에 대한 시상수, 누적에 대한 리셋 이벤트, IMM 스텝 및 ODP 오프셋을 포함할 수 있다. 명령어는 목표, 허용 오차, 계산 명령, 데이터 수집 계획, 알고리즘, 모델, 계수, 및/또는 레시피를 포함할 수 있다. 기판 상태는 처리되고 있는 기판으로부터의 정보(사이트(site), 웨이퍼, 로트, 배치 상태(batch state)), 프로파일, 및/또는 물리적 또는 전기적으로 측정된 특성을 포함할 수 있다. 모듈 물리적 상태는 기판을 프로세싱하는 데 사용되어질 모듈 및 컴포넌트의 현재 또는 최근의 알고 있는 기록된 상태 - RF 시간, 웨이퍼 수 및/또는 소모품 상태 -를 포함할 수 있다. 프로세스 상태는 추적 데이터 및/또는 요약 통계를 비롯한, 프로세싱 환경의 센서로부터의 현재 또는 최근의 알고 있는 측정된 상태를 포함할 수 있다. 제어기 파라미터는 기판 상태, 모듈 물리적 상태 및/또는 프로세스 상태를 유발한 레시피/제어기 설정점 및 프로세스 목표에 대한 최근의 설정을 포함할 수 있다.
R2R 제어기 출력은 이하의 항목, 즉 도출된 파라미터, 설정, 이벤트 또는 메시지, 개입(intervention), 도출된 컨텍스트, 로그 메시지 및/또는 이력, 예를 들어, 분석을 위해 오프라인 시스템으로 전송될 데이터를 포함할 수 있다. 도출된 파라미터는 제어기, 프로세스, 물질 및/또는 장비의 상태를 나타낼 수 있는 제어기에 의해 발생된 정보를 포함할 수 있다. 설정은 R2R 제어기에 의해 계산되는 프로세스 도구 파라미터를 포함할 수 있으며 일반적으로 운전 시에 도구로 다운로드된다. 예를 들어, 이들 파라미터는 단계별 시간, 압력, 온도, 가스 흐름 및/또는 전력을 포함할 수 있다. 이벤트 또는 메시지는 제어되고 있는 시스템에 예외가 발생했음을 나타내는 정보를 포함할 수 있다. 개입은 분석 결과에 기초하여 R2R 제어기에 의해 추천되는(또는 취해지는) 조치에 관한 정보를 포함할 수 있다. 도출된 컨텍스트는 R2R 제어기에 의해 도출된 컨텍스트 정보를 포함할 수 있다. 로그 메시지는 R2R 제어기의 활동을 기술하는 텍스트 메시지일 수 있다. 이력 항목은 DSS(Decision Support System, 결정 지원 시스템) 유형의 분석을 위해 오프라인 시스템으로 전송될 데이터를 포함할 수 있다.
R2R 제어기는 적어도 하나의 제어기 애플리케이션을 지원하는 적어도 하나의 컴퓨터 및 소프트웨어를 포함할 수 있다. R2R 제어기는 데이터를 저장하는 적어도 하나의 저장 장치를 포함할 수 있다. 예를 들어, 적어도 하나의 컴퓨터는 Tokyo Electron의 Ingenio 소프트웨어 등의 운영 소프트웨어를 실행할 수 있다. 한 경우에, 운영 소프트웨어는 GUI를 통해 구성, 데이터 관리, 고장 관리, 문제 해결 및 인터페이스 중 적어도 하나를 수행할 수 있다. 구성 GUI 화면은 프로세싱 요소의 장치 유형(예를 들어, 도구, 모듈, 센서, 기타 등등)을 결정하기 위해 컴퓨터와 프로세싱 요소 간의 인터페이스를 구성하는 데 사용될 수 있다. 데이터 관리 GUI 화면은 수집할 데이터의 양 및 유형을 결정하고 또 수집된 데이터를 어떻게 어디에 저장할지를 결정하는 데 사용될 수 있다. 게다가, 고장 관리 GUI 화면은 고장 상태에 관해 사용자에게 알려주는 데 사용될 수 있다.
도 2는 본 발명의 실시예에 따른 프로세싱 시스템을 동작시키는 방법의 예시적인 흐름도를 나타낸 것이다.
단계(210)에서, 프로세스전 계측 데이터가 획득된다. 계측 데이터는 사이트 측정 데이터 및/또는 웨이퍼 데이터를 포함할 수 있다. 예를 들어, 사이트 측정 데이터는 GOF(Goodness Of Fit, 적합도), 격자 두께(grating thickness), CD, 물질 두께, 물질 단면적, 트렌치 단면적, 측벽 각도, 차분 폭(differential width), 사이트 결과(site result) 및/또는 사이트 번호(site number)를 포함할 수 있다. 웨이퍼 데이터는 CD 측정 플래그, 측정 사이트의 수, 레시피 결과, 좌표 X 및/또는 좌표 Y를 포함할 수 있다. 게다가, 프로세스전 계측 데이터는 적어도 하나의 고립된 피처(isolated feature)에 대한 고립된 CD 데이터(isolated CD data) 및 적어도 하나의 내포된 피처(nested feature)에 대한 내포된 CD 데이터(nested CD data)를 포함할 수 있으며, 프로세스 레시피는 고립된 CD 데이터 및 내포된 CD 데이터를 목표 CD와 비교함으로써 결정될 수 있다. 예를 들어, 제1 트리밍 프로세스는 고립된 CD 데이터와 목표 CD 데이터 간의 차이에 기초하여 수행될 수 있고, 제2 트리밍 프로세스는 내포된 CD 데이터와 목표 CD 데이터 간의 차이에 기초하여 수행될 수 있다. 게다가, 제1 델타는 제1 피처에 대한 CD 데이터와 목표 CD 데이터 간의 차이에 기초하여 결정될 수 있고, 제2 델타는 제2 피처에 대한 CD 데이터와 목표 CD 데이터 간의 차이에 기초하여 결정될 수 있으며, 트리밍 프로세스는 제1 델타와 제2 델타 간의 차이에 기초하여 수행될 수 있다.
프로세스전 계측 데이터는 피드포워드 제어를 위해 사용될 수 있다. 또한, 사이트 측정 데이터는 어떤 비지니스 규칙에 따라 제어 웨이퍼에 대한 통계적 값으로서 요약될 수 있다.
프로세스전 계측 데이터는 필터링될 수 있다. 예를 들어, 이상치이고 통계적으로 쓸모없는 웨이퍼 상의 데이터 포인트를 제거하기 위해 이상치 제거 필터(outlier rejection filter)가 사용될 수 있다. 환언하면, 신뢰성이 없는 이들 사이트는 버려질 수 있고 또 웨이퍼 평균 계산에서 사용될 수 없다.
한 경우에, 프로세스전 계측 데이터에 대해 평균/시그마 비교법(Mean/Sigma comparison method)이 사용될 수 있다. 예를 들어, 시그마 승수(sigma multiplier) X가 지정될 수 있고, 모든 데이터 포인트가 평균 및 시그마로 요약될 수 있으며, 2개의 한계가 계산될 수 있고(평균 + X × 시그마 및 평균 - X × 시그마), 그 한계를 벗어나는 모든 데이터 포인트가 제거될 수 있으며, 평균이 재계산 될 수 있고 또 최종 IM 측정을 나타낼 수 있다. 다른 대안으로서, 이상치는 상자 수염 플롯법(box and whisker plot methodology)을 사용하여 식별될 수 있다.
단계(215)에서, 기판에 대한 원하는 상태를 결정하기 위해 사용될 수 있는 하나 이상의 원하는 출력 파라미터(들)이 제공될 수 있다. 예를 들어, 목표 CD가 제공될 수 있고, 제어될 CD는 미리 알고 있어야만 한다. 환언하면, 제어될 CD와 목표 CD 간의 제어 위치 식별은 일관성이 있어야만 한다. 제어될 CD가 제어될 프로세스에 의해 정의되기 때문에, 목표 CD도 역시 제어될 프로세스 챔버에 의해 정의될 수 있다. 따라서, 각각의 목표 CD는 개별적인 제어 챔버와 연관될 수 있고, 각각의 제어 챔버와 연관되어 있는 목표 CD 값은 로트 시작 이전에 지정될 수 있다.
또한, 목표 CD의 소스가 미리 식별될 수 있다. 예를 들어, 적어도 2개의 기지의 유형의 목표 CD, 즉 외부 공장 목표 CD(external Factory Target CD) 및 내부 목표 CD(Internal Target CD)가 있을 수 있으며, 여기서 공장 목표 CD는 MES로부터 TL 제어기를 통해 제공되는 CD이고, 내부 목표 CD는 GUI로부터의 입력을 사용하여 제공된다.
단계(220)에서, 원하는 프로세스 결과가 결정될 수 있다. 예를 들어, 목표 CD는 프로세스전 계측 데이터와 비교될 수 있다. 프로세스전 계측 데이터가 목표 CD보다 작을 때, 에러(error)가 선언될 수 있다. 프로세스전 계측 데이터가 목표 CD와 대략 같을 때, "무효(null)" 조건이 선언될 수 있다. 프로세스전 계측 데이터가 목표 CD보다 클 때, 트리밍 양(trim amount)이 설정될 수 있다. 프로세스 동 안에 제거될 트리밍 양은 트리밍 양과 레시피 파라미터 간의 관계를 포함하는 프로세스 모델이 확인된 경우에 원하는 결과로서 간주될 수 있다.
단계(225)에서, 사용될 레시피가 결정될 수 있다. 예를 들어, 하나 이상의 프로세스 모델이 제공될 수 있다. 프로세스 모델은 원하는 결과(출력)와 이들 결과를 달성하기 위해 필요한 수신된 변수 간의 확인된 관계를 나타낸다. 프로세스 모델은 테이블-기반 모델을 포함할 수 있다.
테이블-기반 모델은 어떤 평가된 실험 데이터에 기초한 원하는 결과와 레시피 변수의 항목별 연관성을 포함하는 테이블을 포함할 수 있다. 프로세스 모델은 선형이거나 비선형일 수 있다.
도 3에 나타낸 바와 같이, 본 발명은 지정된 제어 CD에 대한 트리밍 에칭량을 제어하고 또 허용 오차 내에서 목표 CD를 달성하기 위해 사용될 수 있다. 예를 들어, 제어기(TL 및 R2R)는 트리밍 에칭량에 기초한 간단한 빈 알고리즘(제어 레시피 선택 방법)을 사용할 수 있다. 우선, 트리밍될 양에 관한 정보를 포함하는 제어 레시피가 평가되고 사전 승인되어야만 한다. 표 1은 평가 결과의 일례를 나타낸 것이다. 공칭 레시피(Nominal Recipe)는 트리밍 에칭량(TA)을 갖는 기본 참조 프로세스 레시피이다. 이 예는 개별적인 연관된 트리밍 에칭량(TA1, TA2, TA3, TA4)을 갖는 4개의 제어 레시피를 보여주고 있지만, 이것이 본 발명에 있어서 요구되는 것은 아니다. 다른 수의 레시피가 사용될 수 있으며, 다차원 레시피가 사용될 수 있다(즉, 목표 CD 및 목표 깊이).
예시적인 제어 레시피 룩업 테이블
제어 레시피 트리밍 양
공칭 레시피 (NR) 트리밍 양 (TA)
제어 레시피 1 (CR1) 트리밍 양 1 (TA1)
제어 레시피 2 (CR2) 트리밍 양 2 (TA2)
제어 레시피 3 (CR3) 트리밍 양 3 (TA3)
제어 레시피 4 (CR4) 트리밍 양 4 (TA4)
예시적인 레시피 룩업 테이블(표 1)에 기초하여, 레시피 선택을 위한 개념 차트는 도 4에 도시된 바와 같다. 차트에 단지 1 측면만 도시되어 있지만, 각각의 빈은 양측면 치수(two-sided dimension)(2 × 크기)를 나타낸다. 게다가, 빈 경계(BB1-BB5)도 역시 도시되어 있다.
한 경우에, 사용자는 각각의 제어 레시피에 대한 제어 변수 설정을 구성할 수 있고, 각각의 제어 레시피를 개별적인 트리밍 양과 연관시킬 수 있으며, 또 트리밍 양의 상부 및 하부 경계를 입력할 수 있다. 상한 경계는 사전 승인된 제어 레시피에 의해 달성될 수 있는 트리밍의 양에 관한 상한을 설정하는 데 사용될 수 있다. 예를 들어, 상부 경계가 초과될 때, 에러 조건이 선언될 수 있거나 다단계 프로세스가 수행될 수 있다. 하부 경계는 사전 승인된 제어 레시피에 의해 달성될 수 있는 트리밍의 양에 관한 하한을 설정하는 데 사용될 수 있다. 사용자가 구성을 확인한 후에, TL 제어기(Ingenio)는 트리밍 양 입력(TA)에 기초하여 상부 경계와 하부 경계 사이의 트리밍 양 공간(trim amount space)을 몇개의 빈 영역(bin area)으로 분할한다. 각각의 빈의 상부 및 하부 경계는 2개의 인접한 트리밍 양의 메디안을 결정되고, 제어 레시피와 트리밍 양의 각각의 연관성이 빈에 할당된다. 다른 대안으로서, 제어 레시피, 트리밍 양 및 트리밍 경계에 대한 제어 변수 설정이 MES로부터 다운로드될 수 있다.
각각의 빈은 그 자신의 트리밍 양 경계를 가지고 있다. 원하는 CD가 결정되면, TL 제어기(Ingenio)는 트리밍될 양이 위치하는 빈을 결정할 수 있다. 예를 들어, 원하는 CD는 측정된 CD, 계산된 CD, 및/또는 지정된 CD일 수 있다. 이것은 제어 레시피에서의 가장 가까운 트리밍 양이 선택된다는 것을 의미한다. 이 예에 대한 간단한 빈 알고리즘이 도 5에 도시되어 있다. 이 예에서, 제어 레시피 3이 선택되고 기판은 TA3를 사용하여 트리밍되어진다. 트리밍될 양과 제어 레시피의 선택된 트리밍 양 간의 어떤 차이, 즉 트리밍 양 에러는 목표 CD 허용 오차 내에서 구성되어야만 한다.
제어 실패(control failure)가 일어날 수 있으며, TL 제어기(Ingenio) 또는 도구(Telius) 중 어느 하나가 제어 실패를 검출할 수 있다. 계측 데이터 실패 및 레시피 선택 실패는 TL 제어기(Ingenio)에 의해 검출될 수 있는 제어 실패의 패턴이다. 도구에 의해 검출될 수 있는 제어 실패의 패턴은 레시피 수신 타임아웃, 통합 통신 실패(integration communication failure) 및 동기화 실패이다.
제어 실패가 일어날 때(레시피 수신 타임아웃 또는 통합 통신 실패), 이하의 옵션들 중 하나가 TL 제어기(Ingenio)를 제어하기 위해, 도구 프로세스 레시피를 사용하기 위해, 프로세싱 없이 통과하기 위해, 및 R2R 제어 절차를 중단하기 위해 사용될 수 있다. 제어 실패가 일어날 때, 이하의 옵션들 중 하나가 도구(Telius) 동작, 로트 계속(lot continue) 및 로트 중단(lot abort)을 제어하기 위해 사용될 수 있다.
제어 계획은 독립적인 R2R 제어 유닛(run-to-run control unit)으로서 간주될 수 있다. 이 유닛에서, 구성 설정은 프로세스 도구, 제어 프로세스, 제어 실패 동작 및/또는 제어 알고리즘 정보를 사용한 통합 제어를 포함한다. 독립적인 제어 유닛은 제어 챔버(들) 및 연관된 계측 데이터 소스 표시를 포함한다.
단계(230)에서, 웨이퍼는 단계(225)에서 결정된 레시피를 사용하여 프로세싱된다. 예를 들어, 트리밍 절차는 COR 모듈, PHT 모듈,및 적어도 하나의 버퍼 모듈을 포함할 수 있는 프로세싱 서브시스템(프로세스 쉽)을 사용하여 수행될 수 있다.
먼저, 도구는 웨이퍼를 제1 버퍼(로드 로크(load lock, LL)) 모듈로 이동시킬 수 있다. 제1 버퍼(로드 로크) 모듈은 진공을 얻기 위해 펌핑하여 배기하고, 도구는 웨이퍼를 제2 버퍼(PHT) 모듈로 이동시킬 수 있으며, GUI 상태 화면이 갱신된다(LL 내의 웨이퍼를 보여줌). 그 다음에, 도구는 웨이퍼를 제1 프로세스(COR) 모듈로 이동시킬 수 있고, TL 제어기(FDC 컴포넌트)는 제어 전략에 정의되어 있는 데이터 수집(data collection, DC) 전략을 선택할 수 있고, 센서를 설정할 수 있으며, 상태 화면이 갱신될 수 있고, 모듈 상태가 변할 수 있으며, 도구는 제1 프로세스 모듈에 대해 "레시피 시작(Recipe Start)"을 수행하고, 상태 화면은 갱신될 수 있다(모듈 상태가 "웨이퍼 프로세싱"으로 변화될 수 있다). 이어서, 센서는 기록하기 시작할 수 있고, 레시피는 프로세싱 단계들을 순환하며, 제1 프로세스 모듈은 "레시피 종료(Recipe End)" 이벤트를 전송할 수 있고, 센서들은 기록을 중단할 수 있으며, 도구는 웨이퍼를 제2 버퍼(PHT) 모듈로 이동시킨다. 그 다음에, TL 제어기(FDC 컴포넌트)는 도구로부터 데이터 파일(들)을 수집할 수 있고 데이터 수집 계획 필터에 기초하여 그 데이터를 처리하기 시작할 수 있으며, TL 제어기(FDC 컴포넌트)는 제어 전략에 정의되어 있는 분석 전략을 선택할 수 있고, 모듈 및 프로세스 상태 데이터를 프로세싱할 수 있으며 데이터베이스를 갱신할 수 있다(즉, 모듈 상태 및 프로세스 상태). 이어서, 상태 화면은 갱신될 수 있다(모듈 상태는 LL/PHT 내의 웨이퍼를 보여줄 수 있고, 제2 버퍼(PHT) 모듈에 대한 "레시피 시작", 상태 화면은 갱신될 수 있다(모듈 상태는 "웨이퍼 프로세싱"을 변경할 수 있다). 이어서, 센서는 기록하기 시작할 수 있고, 레시피는 프로세싱 단계들을 통해 순환하며, 제2 버퍼(PHT) 모듈은 "레시피 종료" 이벤트를 전송할 수 있고, 센서들은 기록을 중단할 수 있으며, 도구는 웨이퍼를 제1 버퍼(로드 로크) 모듈로 이동시키고, 진공 상태는 진공으로부터 대기로 변하며, 도구는 웨이퍼를 제1 버퍼(로드 로크) 모듈 밖으로 이동시키고, 상태 화면은 갱신된다.
단계(235)에서, 프로세스후 계측 데이터가 획득될 수 있다. 프로세스후 계측 데이터는 수분에서 수일까지 변할 수 있는 시간 지연 후에 획득될 수 있다. 프로세스후 계측 데이터는 피드백 제어의 일부로서 사용될 수 있다. 또한, 사이트 측정 데이터는 어떤 비지니스 규칙에 따라 제어 웨이퍼에 대한 통계적 값으로서 요약될 수 있다. IM 데이터는 한 제어 계획에 대한 사전 계측 데이터 및 다른 제어 계획에 대한 사후 계측 데이터일 수 있다. 또한, 프로세스후 계측 데이터는 필터링될 수 있다. 예를 들어, 이상치이고 또 통계적으로 쓸모없는 웨이퍼 상의 데이터 포인트를 제거하기 위해 이상치 제거 필터가 사용될 수 있다. 환언하면, 신뢰할 수 없는 이들 사이트는 버려질 수 있고 웨이퍼 평균 계산에서 사용될 수 없다.
한 경우에, 프로세스후 계측 데이터에 대해 평균/시그마 비교법이 사용될 수 있다. 예를 들어, 시그마 승수가 지정될 수 있고, 모든 데이터 포인트가 평균 및 시그마로 요약될 수 있으며, 2개의 한계가 계산될 수 있고(평균 + X × 시그마 및 평균 - X × 시그마), 한계 밖에 있는 모든 데이터 포인트가 제거될 수 있으며, 평균이 재계산될 수 있고 최종의 IM 측정을 나타낼 수 있다. 다른 대안으로서, 이상치는 상자 수염 플롯법을 사용하여 식별될 수 있다.
단계(240)에서, 프로세스후 계측 데이터는 실제 프로세스 결과를 결정하기 위해 프로세스전 계측 데이터와 비교된다. 프로세스후 CD 데이터는 프로세스 또는 프로세스 단계로부터의 실제 프로세스 결과를 나타낼 수 있다. 게다가, 프로세스 동안의 측정된 트리밍 양은 프로세스 결과로서 간주될 수 있다.
단계(245)에서, 프로세스가 완료되었는지를 결정하기 위해 쿼리가 수행된다. 예를 들어, 목표 CD가 달성되었을 때, 프로세스는 완료될 수 있다. 프로세스가 완료되었을 때, 절차(200)는 단계(250)로 분기하여 종료된다. 프로세스가 완료되지 않은 경우, 절차(200)는 단계(220)로 분기한다.
프로세스 에러의 추정된 양이고 프로세스 경향을 나타내며 제어기에 대해 프로세스 모델 및 레시피 파라미터를 최적화하도록 지시하는 오프셋이 결정될 수 있다.
일 실시예에서, "제어 전략(Control Strategies)"이 사용될 수 있다. 예를 들어, 제어 전략은 시스템 레시피에 기초하여 선택될 수 있다. 컨텍스트에 매칭하는 각각의 제어 전략이 실행될 수 있다. 제어 전략은 "웨이퍼별"로 평가될 수 있다. 제어 전략은 하나 이상의 제어 계획을 포함할 수 있다. 제어 계획은 제어 모델을 포함할 수 있다. 다수의 제어 모델이 동시에 실행될 때, 이전의 모델로부터의 출력은 그 다음 모델로의 입력으로서 사용될 수 있다. 제어되고 있는 각각의 프로세스에 대해 적어도 하나의 제어 계획이 있다.
R2R 제어기는 하나 이상의 제어 전략을 포함하고 있다. 제어 전략은 매칭될 시스템 레시피 및 다른 컨텍스트 매칭 기준을 포함하고 있다. 제어 전략은 하나 이상의 제어 계획(들)을 포함하고 있다. 제어 계획은 실행될 제어 모델(들)을 선택하는 데 필요한 정보를 포함한다. 제어 계획은 단 하나의 프로세스 모듈과 연관되어 있다. 따라서, 제어되고 있는 각각의 프로세스 모듈에 대해 적어도 하나의 제어 계획이 있을 필요가 있다. 예를 들어, 제어 모델은 테이블 구동 방식(table driven)일 수 있다. 모든 구성 정보는 데이터베이스에 저장될 수 있다.
R2R을 설정함에 있어서의 한 단계는 도구로부터 시스템 레시피를 다운로드하는 것이다. 사용자는 일련의 시스템 레시피를 요청하여 볼 수 있고 또 도구로부터 다운로드하기 위해 하나 이상을 선택할 수 있다. 시스템 레시피가 다운로드될 때, 시스템 레시피 이름, 이송 루트, 모듈 레시피 이름(들) 및 이진 파일이 데이터베이스에 저장될 수 있다.
R2R 제어기에 대한 구성 화면은 제어 전략, 제어 계획 및 제어 모델이 어떻게 관계되어 있는지를 보여주는 트리 뷰(tree view)를 포함할 수 있다. 사용자는 제어 전략, 제어 계획 및 제어 모델을 생성, 가져오기(import), 내보내기(export), 편집, 복사, 삭제, 재정렬(reorder), 연관(associate) 및 연관 해제(unassociate)시킬 수 있다. 객체가 선택되고 새로운 자식 유형이 생성되면, 그 자식은 부모와 연관될 수 있다. 예를 들어, 제어 전략이 선택되고 새로운 제어 계획이 생성되면, 제어 계획은 제어 전략과 연관될 수 있다.
트리는 제어 전략에 대한 시스템 레시피 이름 및 제어 계획에 대한 모듈 레시피 이름을 보여줄 수 있다.
한 경우에, 다수의 GUI 화면은 R2R 제어기와 연관될 수 있으며, 각각은 서로 다른 개별적인 기능을 가지고 있다. 예를 들어, R2R 제어기(Run to Run Controller) 화면은 사용자로 하여금 다른 화면으로 전환할 수 있게 해줄 수 있으며, 레시피 범위(Recipe Range) 화면은 사용자로 하여금 유닛별로 도구 프로세스 레시피 변수를 볼 수 있게 해주며 그 중 일부를 제어 변수로서 선택할 수 있게 해주고 또 제어 변수에 대한 상부 및 하부 프로세스 변수 한계를 입력할 수 있게 해주며, 제어 레시피(Control Recipe) 화면은 사용자로 하여금 제어될 선택된 도구 프로세스 레시피 변수를 볼 수 있게 해주고 각각의 레시피 단계에 대한 각각의 제어 변수의 설정을 입력할 수 있게 해주며, 제어 계획(Control Plan) 화면은 프로세스 도구, 제어 프로세스 및 제어 실패 동작 정보는 물론 제어 알고리즘 정보에 대한 통합 제어 정보의 구성을 가능하게 해주고 사용자로 하여금 간단한 빈 테이블을 비닝(binning)하기 위해 각각의 제어 레시피와 연관된 트리밍 에칭량을 입력할 수 있게 해주며, 제어 상태(Control Status) 화면은 사용자로 하여금 선택된 R2R(run to run) 제어 계획을 보고 웨이퍼 관련 상태 정보를 제어할 수 있게 해준다.
프로세스의 R2R 제어를 위한 예시적인 구성 절차는 다음과 같을 수 있다. 1. 레시피 범위 화면을 선택하고 디폴트 설정에 기초하여 이를 구성한다. 2. 제어 레시피 화면으로 전환하고 레시피 범위 구성 설정에 기초하여 이를 구성한다. 3. 제어 계획 화면으로 전환하고 통합 탭, 제어 탭 및 알고리즘 탭을 구성한다. 4. 제어 계획 - 알고리즘 탭 페이지로부터, 비닝 테이블 창으로 전환하고 제어 레시피 구성 설정에 기초하여 빈 테이블을 구성한다. 5. 제어 상태 화면으로 전환하고 선택된 과거 또는 현재의 제어 계획 상태 및 제어 웨이퍼 상태를 본다.
예시적인 레시피 범위 화면이 도 6에 도시되어 있다. 이 화면은 사용자로 하여금 유닛별로 도구 프로세스 레시피 변수를 보고 제어 변수를 선택하며 제어 변수에 대한 하부 및 상부 프로세스 한계를 입력할 수 있게 해준다. 각각의 변수에 대한 설명은 편집가능하다.
레시피 범위 화면은 다수의 구성 항목을 포함할 수 있다. 표 2는 레시피 범위 화면 상의 구성 항목 중 예시적인 일부를 나타낸 것이다.
레시피 범위 구성에 대한 표시 항목
표시 항목 설명
이름(Name) 레시피 범위 이름. 사용자는 새로 만들기(New) 또는 복사(Copy) 버튼을 선택한 후에 레시피 범위 이름을 입력할 수 있다. 편집(Edit) 버튼을 선택한 후에는, 레시피 범위 이름이 변경될 수 없다. 이름 Null(널), Nominal(공칭) 및 Default(디폴트)는 레시피 범위 이름으로 금지되어 있다.
설명(Description) 레시피 범위 설명
레시피 범위(Recipe Range) 화면은 보호(Protection) 체크박스 등의 적어도 하나의 체크박스를 포함한다. 표 3은 레시피 범위 화면 상의 체크박스 항목 중 예시적인 일부를 나타낸 것이다.
레시피 범위 구성에 대한 체크박스 설명
체크박스 설명
보호(Protection) GUI는 단지 사용자로 하여금 도구 디폴트 한계보다 더 엄격한 한계를 입력 및 저장할 수 있게 해준다. 보호된 레시피 범위만이 제어 레시피에 사용가능하다. 보호 옵션을 선택 해제하고 저장(Save)을 클릭하면, 모든 연관된 제어 레시피 및 제어 계획의 보호가 제거된다. 레시피 범위 구성은 보호가 인에이블되어 있을 때 편집될 수 없으며, 설명은 예외이다.
표 4는 레시피 범위(Recipe Range) 화면 상의 어떤 예시적인 부가의 표시 항목을 나타낸 것이다.
레시피 범위 화면 상의 표시 테이블 항목 설명
항목 열 설명
제어(Control) 제어 식별자(예 또는 아니오). 이 셀을 클릭하여, 사용자는 변수를 제어 변수로 지정할 수 있다.
변수(단위)(Variable)(Unit) 내부에 유닛을 갖는 도구 프로세스 레시피 변수. 설계 포맷은 프로세스 도구 GUI 스타일을 따른다. 이것은 판독 전용이다.
하부 한계(Lower Limit) 도구 프로세스 레시피 변수의 하부 한계. 이 셀을 클릭하여 그 값을 입력한다.
상부 한계(Upper Limit) 도구 프로세스 레시피 변수의 상부 한계. 이 셀을 클릭하여 그 값을 입력한다.
설명(Description) 제어 변수 설명. 디폴트 값은 도구 프로세스 레시피 변수에 기초한다. 변수 설명은 제어 변수에 대한 제어 메모를 추가하는 데 사용된다.
게다가, 레시피 범위 화면은 버튼 항목 등의 다수의 선택 항목을 포함할 수 있다. 표 5는 레시피 범위(Recipe Range) 화면 상의 어떤 예시적인 버튼 항목을 나타낸 것이다.
레시피 범위 구성 영역 상의 버튼 설명
버튼 설명
리셋(Reset) 디폴트 설정으로 리셋시킨다. 디폴트 설정은 프로세스 도구 설정에 따라 준비된다.
확인(OK) 레시피 범위를 DB에 저장하고 화면을 보기 모드(View Mode)로 설정한다. 레시피 범위 구성은 이 때에 편집될 수 없다. 중복되는 레시피 범위 이름이 발견되면, 메시지 상자 창이 열린다.
저장(Save) 레시피 범위를 DB에 저장한다. 레시피 범위 정보는 편집가능하다. 중복되는 레시피 범위 이름이 발견되면, 메시지 상자 창이 열린다.
취소(Cancel) 변경없이 레시피 범위 영역 창을 닫는다.
표 6은 레시피 범위(Recipe Range) 화면 상의 어떤 예시적인 부가의 버튼 항목을 보여준다.
레시피 범위 화면 상의 버튼 설명
버튼 설명
닫기(Close) 레시피 범위 화면을 닫고 R2R 제어기 화면으로 되돌아간다. 편집 중일 때 클릭되면, 메시지 상자가 열린다.
새로 만들기(New) 프로세스 챔버와 연관된 새로운 레시피 범위를 생성한다. 연관시킬 챔버를 클릭한다. 레시피 범위 이름이 입력되어야만 한다.
복사(Copy) 복사 소스로서 레시피 범위 이름을 클릭한다. 선택된 레시피 범위를 복사하기 위해 복사를 클릭한다. 디폴트로, 복사된 레시피 범위는 보호되지 않으며 레시피 범위 이름은 비어 있다.
편집(Edit) 사용자는 레시피 범위를 보고 기존의 레시피 범위 설정을 변경하기 위해 편집을 클릭한다. 편집 이전에 보호를 선택 해제한다. 보호가 선택 해제되면, 구성 테이블이 편집될 수 있다.
삭제(Delete) 기존의 레시피 범위를 삭제하기 위해 삭제를 클릭한다. 레시피 범위를 삭제하기 이전에 모든 연관된 제어 레시피를 삭제한다. 보호가 선택되어 있으면, 삭제 버튼은 동작하지 않는다.
레시피 범위 내비게이터(Recipe Range Navigator) 패널이 레시피 범위 화면의 좌측에 나타나며, 레시피 범위는 개별적인 프로세스 모듈과 연관되어 있다. 트리 리스트 상의 레시피 범위 이름 및 임의의 하부의 기능 버튼을 클릭하여, 사용자는 레시피 범위를 동작시킬 수 있다.
도 6에 도시한 바와 같은 레시피 범위(Recipe Range) 화면을 사용하여, 사용자는 레시피 범위 구성을 수행하고 기존의 레시피 범위를 보며 새로운 레시피 범위를 생성하고 기존의 레시피 범위를 복사하며 기존의 레시피 범위를 편집하고 또 기존의 레시피 범위를 삭제할 수 있다.
게다가, 레시피 범위 화면 등의 R2R 제어기 화면은 소프트웨어 및 도구 버전 정보를 보기 위한 버튼을 포함할 수 있다.
도 7은 본 발명의 실시예에 따른 예시적인 제어 레시피(Control Recipe) 화면을 나타낸 것이다. 이 화면은 사용자로 하여금 제어될 것으로서 선택되는 도구 프로세스 레시피 변수를 보고 각각의 레시피 단계에 대한 각각의 제어 변수의 설정을 편집할 수 있게 해준다.
제어 레시피 화면은 다수의 구성 항목을 포함할 수 있다. 표 7은 제어 레시피 화면 상의 구성 항목 중 예시적인 일부 항목을 나타낸 것이다.
제어 레시피 구성에 대한 표시 항목
표시 항목 설명
이름(Name) 제어 레시피 이름. 사용자는 새로 만들기 또는 복사 버튼을 클릭할 때 고유의 제어 레시피 이름을 입력해야만 한다. Null(널), Nominal(공칭) 및 Default(디폴트) 등의 이름은 특수 용도로 예비되어 있다.
설명(Description) 제어 레시피 설명
셀 편집 카운터(Cell Edit Counter) GUI는 가변 단계 설정 입력의 수를 카운트하고 이 데이터를 디스플레이한다. 이것은 판독 전용이다.
표 8은 제어 레시피(Control Recipe) 화면 상의 표시 테이블 항목 중 어떤 예시적인 항목을 나타낸 것이다.
제어 레시피 구성에 대한 표시 테이블 항목
항목 열 설명
변수(단위)(Variable)(Unit) 내부에 유닛을 갖는 제어 변수. 판독 전용 표시 정보는 레시피 범위 구성 설정으로부터 온 것이다.
하부(Lower) 도구 프로세스 레시피 변수의 하부 한계. 값이 입력되어야만 한다.
상부(Upper) 도구 프로세스 레시피 변수의 상부 한계. 값이 입력되어야만 한다.
설명(Description) 제어 변수 설명은 레시피 범위 구성 설정으로부터 온 것이다. 이것은 판독 전용이다.
단계(Step) 1 - 24 사용자는 프로세스 레시피 단게 1-24에 대한 제어 변수 설정을 입력한다. 입력된 셀 항목이 카운트되고 셀 편집 카운터 아래의 카운트 수에 표시된다. 제어 변수가 시간인 경우, 다음의 포맷, 분:초에 나타낸 바와 같이 사용자는 이를 입력할 수 있다.
제어 레시피(Control Recipe) 화면은 보호(Protection) 체크박스 등의 적어도 하나의 체크박스를 포함할 수 있다. 표 9는 제어 레시피 화면 상의 체크박스 항목 중 예시적인 어떤 항목을 나타낸 것이다.
보호 체크박스
체크박스 설명
보호(Protection) GUI는 각각의 변수에 대한 입력 값이 개별적인 하부 한계 및 상부 한계 내에 있는지 검사한다. 이들이 한계 내에 있는 경우, 그 설정은 저장된다. 그렇지 않은 경우, 사용자는 설정을 변경하도록 요청받는다. 보호된 제어 레시피 설정은 제어 계획을 위해 사용된다. 보호가 선택 해제되고 설정이 저장되는 경우, 제어 레시피를 사용하는 모든 제어 계획의 보호가 제거된다. 제어 레시피 구성은 보호가 선택 해제되는 경우에만 저장될 수 있다.
게다가, 제어 레시피(Control Recipe) 화면은 버튼 항목 등의 다수의 선택 항목을 포함할 수 있다. 표 10은 제어 레시피 화면 상의 어떤 예시적인 버튼 항목을 나타낸 것이다.
제어 레시피 버튼
버튼 설명
지우기(Clear) 모든 단계 설정을 지운다. 설정이 지워지기 전에 메시지 상자가 열린다.
확인(OK) 레시피 범위를 DB에 저장하고 화면은 보기 모드(View Mode)로 설정한다. 레시피 범위 구성은 이 때에 편집될 수 없다. 중복되는 레시피 범위 이름이 발견되면, 메시지 상자 창이 열린다.
저장(Save) 레시피 범위를 DB에 저장한다. 레시피 범위 정보가 편집될 수 있다. 중복되는 레시피 범위 이름이 발견되면, 메시지 상자 창이 열린다.
취소(Cancel) 변경을 실시하지 않고 제어 레시피 영역 창을 닫는다.
제어 레시피 네비게이터 패턴이 제어 레시피 화면의 좌측에 나타내어지며, 제어 레시피는 개별적인 레시피 범위와 연관되어 있다. 2-계층 폴더, 즉 모듈 및 레시피 범위가 트리 구조로 나타내어져 있다. 트리 리스트 상의 제어 레시피 이름 및 임의의 하부의 기능 버튼을 클릭함으로써, 사용자는 제어 레시피를 동작시킬 수 있다. 트리 리스트 상의 레시피 범위 이름 및 임의의 하부의 기능 버튼을 클릭함으로써, 사용자는 레시피 범위를 동작시킬 수 있다.
도 7에 도시한 바와 같은 제어 레시피(Control Recipe) 화면을 사용하여, 사용자는 제어 레시피 구성을 수행하고 기존의 제어 레시피를 보며 새로운 제어 레시피를 생성하고 기존의 제어 레시피를 복사하며 기존의 제어 레시피를 편집하고 또 기존의 제어 레시피를 삭제할 수 있다.
도 8은 본 발명의 실시예에 따른 제어 전략(Control Strategy) 화면의 일례를 나타낸 것이다. 제어 전략은 매칭될 시스템 레시피 및 다른 컨텍스트 매칭 기준을 포함한다. 제어 전략은 또한 실행될 제어 모델(들)을 선택하는 데 필요한 정보를 포함하는 몇가지 독립적인 제어 계획을 포함한다. 제어 전략 화면은 사용자로 하여금 제어 챔버 플래그와 함께 시스템 레시피를 다운로드하거나 이송 루트와 함께 시스템 레시피 이름을 수동으로 입력할 수 있게 해준다. 또한, 사용자는 선택적으로 어떤 컨텍스트 매칭 기준을 생성할 수 있다.
제어 전략(Control Strategy) 화면은 다수의 구성 항목을 포함할 수 있다. 표 11은 제어 전략 화면 상의 구성 항목 중 어떤 예시적인 항목을 나타낸 것이다.
제어 전략 화면 특징
특징 설명
이름(Name) 제어 전략 이름
설명(Description) 제어 전략 설명
인에이블(Enable) 제어 전략을 인에이블시킴
보호(Protection) 제어 전략을 보호함
시스템 레시피(System Recipe) Telius로부터 시스템 레시피를 다운로드하여 이를 디스플레이하기 위해 선택 버튼을 클릭한다. 시스템 레시피 이름은 직접적일 수 있다. 시스템 레시피 이름은 컨텍스트 시스템 레시피 이름을 매칭함으로써 제어 전략을 트리거하는 데 사용된다.
선택(Select) 로드 포트 선택을 열고 이어서 시스템 레시피 다운로드 창을 연다.
이송 루트(Transfer Route) 선택된 시스템 레시피에 따라 시스템 레시피 루트를 디스플레이한다.
보여주기(Show) 모듈 노드 그래프 상에 시스템 레시피의 이송 루트를 보여준다.
모듈(Module) 챔버 버튼이 클릭될 때, 챔버 그래프 컬러가 핑크색으로 변하여 제어 챔버를 디스플레이한다.
계측 데이터 실패(Metrology Data Failure) 옵션들, 도구 레시피 사용, 웨이퍼를 프로세싱하지 않음 또는 도구 파라미터 설정에 따름 중 하나를 선택한다. 계측 데이터 실패 시의 조치를 말한다.
선택 실패(Selection Failure) 옵션들, 도구 레시피 사용, 웨이퍼를 프로세싱하지 않음 또는 도구 파라미터 설정에 따름 중 하나를 선택한다.
실패시 도구 정지(Tool Pause on Failure) PM 일시 정지 또는 시스템 일시 정지를 선택한다.
웨이퍼 컨텍스트(Wafer Contexts) 웨이퍼 컨텍스트를 디스플레이한다.
제어 전략(Control Strategy) 화면은 다수의 부가적인 구성 항목을 포함할 수 있다. 표 12는 제어 전략 화면 상의 부가의 구성 항목 중 어떤 예시적인 항목을 나타낸 것이다.
제어 전략 화면 부가 특징
컨텍스트 설명
로트ID(들)(LotID(s)) 로트 식별자
CJID(들)(CJID(s)) 제어 작업 식별자
PJID(들)(PJID(s)) 프로세스 작업 식별자
카세트 ID(들)(Cassette ID(s)) 카세트 식별자
캐리어 ID(들)(Carrier ID(s)) 캐리어 식별자
슬롯(들)(Slot(s)) 슬롯 번호
웨이퍼 유형(들)(Wafer Type(s))
스크라이빙된 웨이퍼 ID(들)(Scribed Wafer ID(s))
기판 ID(들)(Substrate ID(s))
웨이퍼 ID(들)(Wafer ID(s))
시작 시간(Start Time)
시작 시간(Start Time)
게다가, 제어 전략 화면은 버튼 항목 등의 다수의 선택 항목을 포함할 수 있다. 표 13은 제어 전략 화면 상의 어떤 버튼 항목의 일례를 나타낸 것이다.
제어 전략 버튼
버튼 설명
확인(OK) 제어 레시피를 데이터베이스에 저장하고 화면을 보기 모드로 설정한다. 제어 전략 구성은 이 때에 편집될 수 없다. 중복되는 제어 전략 이름이 발견되면, 메시지 상자 창이 열린다.
저장(Save) 제어 전략을 데이터베이스에 저장한다. 제어 전략 정보는 편집가능하다. 중복되는 제어 전략 이름이 발견되면, 메시지 상자 창이 열린다.
취소(Cancel) 변경없이 제어 전략 영역 창을 닫는다.
또한, 제어 전략 화면은 버튼 항목 등의 다수의 부가의 선택 항목을 포함할 수 있다. 표 14는 제어 전략 화면 상의 어떤 부가적인 버튼 항목의 예를 나타낸 것이다.
부가적인 제어 전략 버튼
버튼 설명
닫기(Close) 제어 전략 화면을 닫고 R2R 제어기 화면으로 복귀한다.
새로 만들기(New) 새로운 제어 전략을 생성한다. 제어 전략 이름 및 구성을 입력한다.
복사(Copy) 제어 전략을 선택하고 복사를 클릭한다. 디폴트로, 복사된 제어 전략은 보호되지 않으며 제어 전략 이름은 비어 있다.
편집(Edit) 사용자가 제어 전략을 보고 기존의 제어 전략 구성을 편집하기 위해 "편집"을 클릭한다. 보호가 오프로 되면, 구성은 편집가능하다.
삭제(Delete) 사용자는 제어 전략을 보고 기존의 제어 전략을 삭제하기 위해 삭제를 클릭할 수 있다. 제어 전략이 보호되어 있는 경우, 삭제 버튼은 기능하지 않는다.
이동(Move) 사용자는 제어 전략을 보고 사용자 지정된 목표 폴더 및 위치로 이동시키기 위해 이동을 클릭한다. 표준의 제어 전략은 시뮬레이션 폴더로 이동될 수 있다. 시뮬레이션 제어 전략은 프로세스 도구와의 시스템 레시피 동기화 정보를 갖지 않는 경우 표준의 폴더로 이동될 수 없다.
테스트(Test) 테스트 구성 버튼. 제어 전략 구성을 테스트하기 위해 어떤 이력 계측 데이터를 선택하기 위해 클릭한다. R2R 제어기가 도구에 연결되어 있지 않은 경우에만 기능한다.
제어 전략 내비게이터 패널은 제어 전략 화면의 좌측에 나타내어져 있으며, 2가지 유형의 폴더, 표준(Standard) 및 시뮬레이션(Simulation)이 있다. 표준 유형 폴더는 프로세스 도구와 함께 표준의 제어 전략(통상의 R2R 통합 제어로서 구성됨)을 포함한다. 시뮬레이션 유형 폴더는 시뮬레이션 제어 전략을 포함한다. 각각의 시뮬레이션 제어 전략은 어떤 시뮬레이션 제어 계획(들)과 연관되어 있다. 선택된 모델에 기초하여, 제어 계획은 레시피 변수를 조정하게 된다. 레시피 변수는 Ingenio 상에 로그되지만 프로세스 도구로 전송되지 않는다. 다수의 시뮬레이션 제어 전략이 동시에 실행될 수 있지만, 단지 하나의 표준 유형의 제어 계획만이 주어진 웨이퍼에 대해 실행될 수 있다. 표준의 제어 전략은 표준 폴더로부터 시뮬레이션 폴더로 이동될 수 있다. 시뮬레이션 제어 전략은 대응하는 시스템 레시피가 도구로부터 다운로드되는 것처럼 표준 폴더로 이동될 수 있다. 보호된 제어 전략은 제어 전략 이름 옆에 별 표시를 갖는다. 보호된 제어 전략만이 실행될 수 있다.
도 8에 도시된 바와 같은 제어 전략 화면을 사용하여, 사용자는 제어 전략 구성을 수행하고 기존의 제어 전략을 보며 새로운 제어 전략을 생성하고 기존의 제어 전략을 복사하며 기존의 제어 전략을 편집하고 또 기존의 제어 전략을 삭제할 수 있다.
도 9a 내지 도 9c는 본 발명의 실시예에 따른 제어 계획(Control Plan) 화면의 예를 나타낸 것이다. 이들 화면은 사용자로 하여금 프로세스 도구에 대한 통합 제어 정보를 구성하고 제어 프로세스 및 제어 실패 동작 정보는 물론 제어 알고리즘 정보를 입력할 수 있게 해주며, 간단한 빈 테이블(Bin Table)에서, 사용자는 비닝을 위해 각각의 제어 레시피와 연관된 트리밍 에칭량을 입력할 수 있다.
도 9a에서, 본 발명의 실시예에 따른 통합(Integration) 탭 페이지가 도시되어 있다.
제어 계획 화면은 다수의 구성 항목을 포함할 수 있다. 표 15는 제어 계획 화면 상의 구성 항목 중 어떤 예시적인 항목을 나타낸 것이다.
제어 계획 화면 특징
특징 설명
이름(Name) 제어 계획 이름
설명(Description) 제어 계획 설명
보호(Protection) 보호가 선택되고 확인(OK) 또는 저장이 클릭되면, 소프트웨어 로직은 구성을 검사한다. 구성이 실패하면, 메시지 상자 창이 열리고 실패의 원인을 알려준다. 단지 하나의 표준 제어 계획이 시스템 레시피와 매칭하는 컨텍스트에 의해 한꺼번에 트리거 될 수 있기 때문에, 소프트웨어 로직은 리스트의 상단에 위치하고 있는 제어 계획만을 실행한다. 구성은 보호가 선택 해제되어 있을 때 편집될 수 있다.
시스템 레시피(System Recipe)
모듈(Module)
공칭 레시피(Nominal Recipe)
데이터 체크박스(Data checkbox)
목표(Target) 사용자는 허용 오차를 갖는 목표 CD 값을 입력한다. 측정된 초기 제어 CD 평균과 함께 입력 목표 CD가 제어 레시피 선택에 대한 트리밍 에칭량을 계산하는 데 사용된다. 보호가 선택되어 있으면, 입력 목표 CD 허용오차는 알고리즘 정보 탭 상에 입력된 모든 트리밍 에칭량과 비교된다.
목표 계산 t = f(d,o)
소스 #
모델 선택 테이블
표 16은 제어 계획 화면 상의 어떤 부가적인 버튼 항목의 예를 나타낸 것이다.
제어 계획 화면 부가 버튼
버튼 설명
닫기(Close) 제어 계획 화면을 닫고 R2R 제어기 화면으로 복귀한다.
새로 만들기(New) 새로운 제어 계획을 생성한다. 제어 계획 이름 및 프로세스 도구와 조화되는 통합 정보, 제어 에러 동작을 갖는 제어 CD 정보, 및 각각의 제어 레시피가 보호되고 저장될 수 있기 전에 에칭량을 각각의 제어 레시피로 매핑하기 위한 알고리즘 정보를 입력한다.
복사(Copy) 제어 계획을 선택하고 복사를 클릭한다. 디폴트로, 복사된 제어 계획은 보호되지 않으며 제어 계획 이름은 비어 있다.
편집(Edit) 사용자는 제어 계획을 보고 기존의 제어 계획 구성을 편집하기 위해 "편집"을 클릭한다. 편집하기 전에 보호를 선택 해제한다. 보호가 오프로 되면, 구성은 편집가능하다.
삭제(Delete) 사용자는 제어 계획을 보고 기존의 제어 계획을 삭제하기 위해 삭제를 클릭한다. 보호가 오프이면, 삭제 버튼은 기능하지 않는다.
이동(Move) 사용자는 제어 계획을 보고 사용자 지정된 목표 폴더 및 위치로 이동시키기 위해 이동을 클릭한다. 표준 제어 계획은 시뮬레이션 폴더로 이동될 수 있다. 시뮬레이션 제어 계획은 프로세스 도구와의 시스템 레시피 동기화 정보가 없는 경우 표준 폴더로 이동될 수 없다.
테스트(Test) 구성 데이터를 테스트한다. 제어 계획 구성을 테스트하기 위해 어던 이력 계측 데이터를 선택하기 위해 클릭한다. 이는 연결 해제된 상태에서만 기능하다.
2가지 유형의 폴더, 표준 및 시뮬레이션을 포함하는 내비게이션 트리가 나타내어져 있다. 표준 유형 폴더는 프로세스 도구와 함께 표준 제어 계획(통상의 R2R 통합 제어로서 구성됨)을 포함한다. 시뮬레이션 유형 폴더는 시뮬레이션 제어 계획을 포함한다. 시뮬레이션 제어 전략의 경우, 프로세스 도구 상의 R2R 제어 설정이 인에이블되어 있는지는 중요하지 않다. 제어기(TL 및/또는 R2R)는 계측 데이터를 수신하여 프로세싱하고 제어 모델을 선택한다. 선택된 또는 계산된 제어 레시피는 로그되지만 프로세스 도구로 전송되지 않는다. 다수의 제어 계획이 동시에 트리거될 수 있다.
제어 계획 표시 항목은 제어 계획 이름을 위한 이름 필드, 제어 계획 설명을 위한 설명 필드, 및 보호 상자를 포함할 수 있다. 이 구성은 보호가 선택 해제되어 있을 때 편집될 수 있다.
제어 계획 구성 화면의 중앙에, 3개의 탭, 통합(Integration) 탭, 제어(Control) 탭 및 알고리즘(Algorithm) 탭이 있다.
또한, 제어 레시피 항목을 생성, 삭제, 보기 및 편집하기 위한 확인(OK) 버튼, 저장 버튼, 취소 버튼, 닫기 버튼, 새로 만들기 버튼, 복사 버튼, 편집 버튼, 및 삭제 버튼을 비롯한 다수의 버튼이 나타내어져 있다.
시스템 레시피 이름 필드 및 연관된 선택 버튼은 도구(Telius)로부터 시스템 레시피를 다운로드하고 이를 디스플레이하는 수단을 제공한다. 또한, 사용자는 시스템 레시피 이름을 직접 입력할 수 있다. 시스템 레시피 이름은 컨텍스트 시스템 레시피 이름을 매칭시킴으로써 제어 계획을 트리거하는 데 사용된다. 게다가, 선택 버튼은 로드 포트 선택 창을 열고, 이 창으로부터 사용자는 로드 포트를 선택하고 이어서 이용가능한 시스템 레시피의 리스트로부터 선택할 수 있다.
공칭 레시피 - 첫번째 필드는 선택된 시스템 레시피에 포함되어 있는 R2R 제어 챔버의 첫번째 방문 정보의 프로세스 레시피 이름을 디스플레이한다. 공칭 레시피 - 두번째 필드는 선택된 시스템 레시피에 포함되어 있는 R2R 제어 챔버의 두번째 방문 정보의 프로세스 레시피 이름을 디스플레이한다. 루트(Route) 필드는 선택된 시스템 레시피에 따른 시스템 레시피 루트를 디스플레이한다. 챔버 시퀀스 버튼은 제어 챔버를 보여준다.
R2R 제어 설정 정보가 도구로부터의 시스템 레시피에 포함되어 있는 경우, 제어 계획은 표준 폴더와 연관되어진다. 그 정보가 포함되어 있지 않은 경우, 제어 계획은 시뮬레이션 폴더와 연관되어지고 사용자는 챔버 시퀀스 노드 그래프에서 챔버를 선택함으로써 제어 챔버를 지정해야만 한다.
도 9b에는, 본 발명의 실시예에 따른 제어(Control) 탭 페이지가 도시되어 있다. 예를 들어, 제어 탭 페이지에는 3개의 부분, 제어 및 목표 CD(Control and Target CD), 계측 데이터 실패 시의 조치(Action on Metrology Data Failure) 및 레시피 선택 실패 시의 조치(Action on Recipe Selection Failure)가 있을 수 있다.
제어 탭 페이지는 CD 리스트 상자를 사용하여 제어될 수 있는 제어 CD 필드를 포함할 수 있다. 예를 들어, 사용자는 팀버 ODP(Timbre ODP) 구성을 참조하여 리스트로부터 제어 CD를 선택할 수 있고, 선택된 제어 CD에 대한 설명을 입력할 수 있다.
제어 CD 계산 방법을 선택하기 위한 2개의 라디오 버튼, 단계 평균(Step Average) 또는 웨이퍼 평균(Wafer Average)이 있다. 측정 단계 필드는 IM 측정 단계를 나타낸다. 단계 평균 라디오 버튼이 선택되면, 사용자는 IM 측정 단계를 지정해야만 한다. 웨이퍼 평균 라디오 버튼이 선택되면, 설명을 갖는 측정 단계가 디스에이블되고, "희미하게 된" 모드(grayed out mode)로 된다. 사용자가 측정 단계를 선택한 후에, 사용자는 측정 단계에 대한 설명을 입력할 수 있다.
목표 CD 필드는 사용자가 허용 오차를 갖는 목표 CD 값을 입력하는 수단을 제공한다. 입력 목표 CD는 측정된 초기 제어 CD 평균과 함께 제어 레시피 선택을 위한 트리밍 에칭량을 계산하는 데 사용된다. 보호가 선택되면, 입력 목표 CD 허용 오차는 알고리즘 탭 상에 입력된 모든 트리밍 양과 비교된다.
계측 데이터 실패가 일어나거나 변수 세트 선택 실패가 일어나면, 사용자는 이하의 옵션들 중 하나를 선택할 수 있다. 1) 도구 프로세스 레시피를 사용함(공칭 레시피). 소프트웨어 로직은 이 표시를 프로세스 도구로 전송하고 프로세스 도구는 도구 프로세스 레시피를 사용한다. 2) 프로세스 웨이퍼를 사용하지 않음(널 레시피(Null Recipe)). 소프트웨어 로직은 웨이퍼와 연관된 널 레시피 정보를 프로세스 도구로 전송하고 웨이퍼는 프로세싱되지 않고 챔버 내로 들어갔다 그 밖으로 나온다. 3) R2R 제어를 중단함(없음(None)).
도 9c에는, 본 발명의 실시예에 따른 알고리즘(Algorithm) 탭 페이지가 도시되어 있다. 예를 들어, 간단한 빈 알고리즘이 트리밍 에칭량에 기초하는 제어 레시피 선택 방법일 수 있다. 선택된 제어 레시피 설정이 프로세스 도구 상의 프로세스 레시피와 동일한 경우, 제어 변수의 이름은 디폴트로 "공칭(Nominal)"이며, 그 결과 그와 연관된 에칭량을 트리밍하는 동일한 도구 프로세스 레시피를 사용하게 될 수 있다.
알고리즘 탭 페이지 상에는 2개의 버튼이 있다. 빈(Bin) 버튼은 제어 레시피 및 트리밍 양의 연관성의 구성을 위해 비닝 테이블 창(Binning Table window)(도 10)을 여는 데 사용되고, 비우기(Clear) 버튼은 빈 테이블 설정을 비우는 데 사용될 수 있다.
비닝 테이블 창은 3 부분을 가질 수 있다. 빈 테이블 경계 입력은 사용자로 하여금 빈 테이블 경계를 입력할 수 있게 해준다. 레시피 범위 필터는 사용자로 하여금 선택된 레시피 범위와 연관된 모든 보호된 제어 레시피를 볼 수 있게 해준다. 사용자는 제어 레시피 선택 창을 열기 위해 제어 레시피 1 및 제어 레시피 2 셀을 클릭해야만 한다. 양쪽 방문을 위해 리스트로부터 선택한 후에, 각각의 디스플레이된 제어 레시피에 대해 트리밍 에칭량이 입력될 수 있다. 소프트웨어 로직은 양쪽 방문에 대한 총 에칭량을 계산한다.
제어 레시피 뷰어(Control Recipe Viewer)는 화면의 하단에 있다. 제어 레시피 뷰어는 사용자로 하여금 제어 레시피 정보 및 설명을 볼 수 있게 해준다. 제어 레시피 화면으로부터 입력된 정보에 기초하여 제어 레시피에 대한 설명이 디스플레이된다. 사용자가 제어 레시피 1 또는 제어 레시피 2의 셀을 선택하면, 제어 레시피 선택 창이 열리고 사용자로 하여금 제어 레시피를 선택할 수 있게 해준다. 디폴트로, 이름 "공칭(Nominal)" 및 "널(Null)"이 처음 2개의 셀에 나타난다. 여기서, 널은 이 선택된 방문에 대한 비프로세싱(non-processing)을 의미한다. 새로운 제어 레시피가 연관된 레시피 범위와 함께 제어 레시피 화면 상에 추가되면, 사용자는 제어 레시피 선택 창을 열기 위해 제어 레시피 셀을 클릭할 수 있다. 사용자는 이어서 제어 레시피 선택 창에서 새로운 제어 레시피를 선택한다.
비닝 테이블 구성이 완료되면, 소프트웨어 로직은 빈 이름을 디폴트로 순차적으로 제공하고, 빈 테이블의 트리밍 에칭량 입력의 수 및 트리밍 에칭량 경계에 따라 각각의 빈 경계를 계산한다. 마지막으로, 빈 테이블 하부 및 상부 경계는 알고리즘 탭 페이지 상에 디스플레이되고, 이하의 항목들은 빈 테이블에 열거된다. 빈(Bin) 필드는 빈(bin) 이름을 보여주고, 하부(Lower) 필드는는 빈 하부 경계를 보여주며, 상부(Upper) 필드는 빈 상부 경계를 보여주고, 에칭(Etch) 필드는 총 트리밍 에칭량을 보여주고, 에칭 1(Etch 1) 필드는 첫번째 방문 트리밍 에칭량을 보여주며, 에칭 2(Etch 2) 필드는 두번째 방문 트리밍 에칭량을 보여주고, 제어 레시피 1(Control Recipe 1) 필드는 첫번째 방문 제어 레시피 이름을 보여주며, 제어 레시피 2(Control Recipe 2) 필드는 두번째 방문 제어 레시피 이름을 보여준다.
도 11에, 본 발명의 실시예에 따른 제어 상태 화면의 예가 도시되어 있다. 이 화면은 사용자로 하여금 선택된 R2R 제어 계획 및 제어 웨이퍼 상태 정보를 볼 수 있게 해준다.
제어 상태 화면은 다수의 구성 항목을 포함할 수 있다. 표 17은 제어 상태 화면 상의 구성 항목 중 어떤 예시적인 항목을 나타낸 것이다.
제어 상태 화면 특징
표시 항목 설명
제어 계획 이름(Control Plan Name) 제어 계획 이름
설명(Description) 제어 계획 설명
시스템 레시피(System Recipe) 시스템 레시피 이름
공칭 레시피 - 첫번째(Nominal Recipe -1st) 챔버에의 첫번째 방문을 위한 제어 기본 참조 프로세스 레시피
공칭 레시피 - 두번째(Nominal Recipe - 2nd) 챔버에의 두번째 방문을 위한 제어 기본 참조 프로세스 레시피
허용 오차를 갖는 목표 CD(Target CD) 제어 계획에 대해 구성된 허용 오차를 갖는 목표 CD 값. 단위는 나노미터이다.
이송 루트(Transfer Route) 시스템 레시피 루트
챔버 시퀀스 노드 그래프 사용된 계측 데이터 및 제어 챔버에 대해 구분가능하다.
제어 상태 화면은 다수의 부가의 구성 항목을 포함할 수 있다. 표 18은 제어 상태 화면 상의 부가의 구성 항목 중 어떤 예시적인 항목을 나타낸 것이다.
표시 항목 설명
모드(Mode) 운전 모드(Run). 표준(Standard) 또는 시뮬레이션(Simulation) 또는 테스트(Test)
CJID 제어 작업 ID
PJID 프로세스 작업 ID
슬롯 ID(Slot ID) FOUP 슬롯 ID
웨이퍼 ID(Wafer ID) 웨이퍼 식별자는 디스플레이 우선순위에 따라 디스플레이된다. 스크라이빙된 웨이퍼 id가 있는 경우, 이는 디스플레이된다. 그렇지 않은 경우, 호스트로부터의 기판 웨이퍼 id가 디스플레이된다.
초기 CD(Init. ID) 사이트 제어 CD 측정 평균의 초기 CD
에칭(Etch) 제어 계획에 구성된 총 에칭량
에칭 1(Etch 1) 제어 계획에 구성된 첫번째 제어 방문 또는 챔버의 에칭량
에칭 2(Etch 2) 제어 계획에 구성된 두번째 제어 방문 또는 챔버의 에칭량
제어 레시피 1(Control Recipe 1) 제어 변수는 첫번째 제어 방문 또는 챔버에 대한 이름을 설정함
제어 레시피 2(Control Recipe 2) 제어 변수는 두번째 제어 방문 또는 챔버에 대한 이름을 설정함
결과(Result) 제어 웨이퍼에 대한 결과. 4개의 값이 있을 수 있다. ·선택됨 - 제어 레시피가 성공적으로 선택됨 ·선택 에러 - 제어 레시피 선택 실패 ·링크 에러 - Telius-Ingenio 통신 실패 ·데이터 에러 - 계측 데이터 에러
게다가, 제어 상태 화면은 버튼 항목 등의 다수의 선택 항목을 포함할 수 있다. 표 19는 제어 상태 화면 상의 어떤 버튼 항목 중 예시적인 항목을 나타낸 것이다.
제어 상태 화면 버튼
버튼 설명
닫기(Close) 제어 상태 화면에서 R2R 제어기 화면으로 전환한다.
선택(Select) 제어 상태 디스플레이 선택 창을 연다.
도 12에, 본 발명의 실시예에 따른 상태 화면이 도시되어 있다. 예시된 실시예에서, COR 모듈, PHT 모듈 및 ODP 모듈은 한 그룹으로 도시되어 있다.
각각의 프로세스 모듈에 대한 데이터를 디스플레이하기 위해 서브패널이 사용될 수 있다. 현재 프로세스 모듈에 있는 웨이퍼에 관한 정보는 PM, 운전(Run) ID 및 계획(Plan) 필드에 디스플레이될 수 있다. 예를 들어, PM은 프로세스 모듈 이름일 수 있고, 운전 ID는 현재의 웨이퍼에 대한 레시피의 ID일 수 있으며, 계획(Plan)은 현재 웨이퍼에 대해 실행되는 데이터 수집 계획의 이름일 수 있다.
사용자는 COR 모듈, PHT 모듈 및/또는 ODP 모듈이 정확한 데이터 수집 계획을 사용하고 있도록 보장하기 위해 도 12에 도시된 바와 같은 상태 화면을 볼 수 있다. 예를 들어, 사용자는 적절한 계측 데이터가 수집되고 있음을 확인할 수 있다.
도 13에, 본 발명의 실시예에 따른 구성 화면이 도시되어 있다. 예시된 실시예에서, COR 모듈, PHT 모듈 및 ODP 모듈이 도시되어 있다. 내비게이션 트리는 각각의 모듈과 연관된 센서 및 유지보수 카운터(maintenance counter)를 보여준다. 게다가, 각각의 모듈과 연관된 데이터 수집 계획 및 전략도 역시 보여준다. 또한, 각각의 모듈에 대한 모듈 일시정지 구성이 나타내어져 있다. 사용자는 분석 계획 및 전략을 사용하여 일시정지 동작을 구성할 수 있다.
COR, PHT 및 ODP 챔버는 시스템의 설치 동안에 구성될 수 있고, 챔버 구성은 구성 화면으로부터 액세스가능한 GUI 화면을 사용하여 변경될 수 있다. 예를 들어, 드롭-다운 리스트가 사용될 수 있다.
도 14에, 본 발명의 실시예에 따른 구성 화면이 도시되어 있다. 예시된 실시예에서, COR 모듈, PHT 모듈 및 ODP 모듈이 나타내어져 있다. 내비게이션 트리는 각각의 모듈과 연관된 센서 및 유지보수 카운터(Maintenance counters)를 보여준다. 게다가, 각각의 모듈과 연관된 데이터 수집 계획 및 전략도 역시 보여준다. 또한, 각각의 모듈에 대한 모듈 일시정지 구성이 나타내어져 있다. 사용자는 분석 계획 및 전략을 사용하여 일시정지 동작을 구성할 수 있다.
R2R 제어 계획을 위한 컨텍스트 매칭은 데이터 수집 계획 및 분석 전략에 이용가능한 옵션들 전부를 포함할 수 있다.
제어 계획 컨텍스트 매칭을 설정하기 위한 GUI가 제공될 수 있다. 통상보다 높은 보안 레벨을 갖는 사용자에 대해 제어 계획 컨텍스트 정의가 가능하게 될 수 있다.
제어 계획은 일반적으로 프로세스 모듈 및 프로세스 레시피 결합에 관계되어 있다. 도구가 다수의 시스템 레시피로 하여금 동일한 프로세스 레시피를 포함할 수 있게 해주기 때문에, R2R은 다수의 제어 전략으로 하여금 제어 계획을 공유할 수 있게 해줄 수 있다.
서로 다른 프로세스 모듈 레시피에 대해 서로 다른 프로세스 모듈 레시피 한계가 있을 수 있다. 따라서, 각각의 제어 계획은 R2R 제어기에 의해 도구로 전송될 레시피 수정을 위해 사용될 레시피 제약을 설정할 수 있을 필요가 있다.
제어 계획은 제어 모델이 사용될 최소 및 최대 범위를 지정할 수 있다. 제어 모듈 범위는 중첩될 수 있다. 최소 및/또는 최대 범위가 입력되지 않으면, 누락된 범위는 무제한이다.
R2R 제어기를 사용하는 한가지 방법은 시스템 레시피가 도구 상에 생성되어 있을 때 도구(Telius) 레시피에 링크되어 있는 제어 전략의 생성을 필요로 한다. 사용자는 각각의 모듈에 대한 데이터 수집 계획 및 분석 계획을 입력할 수 있다. 사용자는 지정된 챔버가 제어될 제어 계획(어느 챔버 데이터를 사용할지, 분석 알고리즘)을 입력할 수 있다.
COR, PHT, ODP 및 버퍼 챔버의 모듈 유형은 데이터베이스에 저장될 수 있다. 따라서, 사용자는 설치 후에 COR, PHT, ODP, 및 버퍼 챔버에 대한 모듈 인스턴스를 구성할 수 있다. 예를 들어, 시스템의 일부인 모듈 인스턴스 구성 화면이 사용될 수 있다. 게다가, 데이터 관리자 화면을 사용하여 COR, PHT, ODP 및 버퍼 챔버에 대해 전략 및 계획이 생성될 수 있다. 예를 들어, 이들 모듈 인스턴스가 모듈 인스턴스 화면 상에 설정되어 있을 때, 사용자는 COR, PHT, ODP 및 버퍼 챔버에 대한 데이터 수집 전략 및 분석 전략을 생성할 수 있다. COR, PHT, ODP, 및 버퍼 챔버에 대해 차트 화면도 역시 이용가능하다.
데이터 관리 시스템은 COR 및 PHT 챔버 상에서 만들어진 추적 로그 파일 및 프로세스 로그 파일을 수집한다. DC 계획 상에서 선택되는 추적 로그 파일은 데이터베이스에 저장되어지고, 사용자는 분석 계획을 위해 DC 계획 상에서 선택되는 데이터를 사용할 수 있으며, 사용자는 차트화를 위해 DC 계획 상에서 선택되는 데이터를 사용할 수 있다. 게다가, GUI 화면은 운전 시에 COR 및 PHT 프로세스에 관한 필요한 정보를 디스플레이하기 위해 사용될 수 있다. 사용자는 설정되어 있는 경우 COR 및/또는 PHT 챔버를 일시정지시키기 위해 모듈 일시정지 특징을 사용할 수 있다.
TL 제어기는 COR 챔버 파라미터에 대한 SPC 경보를 검출할 때 또는 PHT 챔버 파라미터에 대한 SPC 경보를 검출할 때 모듈 일시정지를 수행할 수 있다. 모듈 일시정지는 개별적인 챔버에 대한 분석 전략 및 분석 계획을 사용하여 구성될 수 있다.
도 15는 본 발명의 실시예에 따른 프로세싱 시스템의 개략 단면도이다. 예시된 실시예에서, 기판의 화학적 처리 및 열적 처리를 수행하는 프로세싱 시스템(1200)이 제공되어 있다. 프로세싱 시스템(1200)은 열적 처리 시스템(1210) 및 열적 처리 시스템(1210)에 연결되어 있는 화학적 처리 시스템(1220)을 포함한다. 열적 처리 시스템(1210)은 온도 제어될 수 있는 열적 처리 챔버(1211)를 포함한다. 화학적 처리 시스템(1220)은 온도 제어될 수 있는 화학적 처리 챔버(1221)를 포함한다. 열적 처리 챔버(1211) 및 화학적 처리 챔버(1221)는 열적 절연 어셈블리(1230)를 사용하여 서로 열적으로 절연되어 있을 수 있으며, 또 이하에 보다 상세히 기술하게 될 게이트 밸브 어셈블리(1296)를 사용하여 서로 진공 절연되어 있을 수 있다.
도 15 및 도 16에 도시한 바와 같이, 화학적 처리 시스템(1220)은 화학적 처리 챔버(1221)로부터 실질적으로 열적으로 절연되도록 구성되어 있고 또 기판(1242)을 지지하도록 구성되어 있는 온도 제어 기판 홀더(1240), 화학적 처리 챔버(1221)에 연결되어 화학적 처리 챔버(1221)을 배기시키는 진공 펌핑 시스템(1250), 및 화학적 처리 챔버(1221) 내의 프로세스 공간(1262) 내로 프로세스 가스를 유입시키는 가스 분배 시스템(1260)을 더 포함한다.
도 15 및 도 17에 도시되어 있는 바와 같이, 열적 처리 시스템(1210)은 열적 처리 챔버(1211) 내에 탑재되어, 열적 처리 챔버(1211)와 실질적으로 열적으로 절연되도록 구성되어 있고 또 기판(1242')을 지지하도록 구성되어 있는 온도 제어 기판 홀더(1270), 열적 처리 챔버(1211)를 배기시키는 진공 펌핑 시스템(1280), 및 열적 처리 챔버(1211)에 연결되어 있는 기판 리프터 어셈블리(1290)를 더 포함한다. 리프터 어셈블리(1290)는 보유 평면(실선)과 기판 홀더(점선) 또는 이들 사이에 위치한 이송 평면 사이에서 기판(1242")을 수직으로 이송시킬 수 있다. 열적 처리 챔버(1211)는 상부 어셈블리(1284)를 더 포함할 수 있다.
게다가, 열적 처리 챔버(1211), 화학적 처리 챔버(1221), 및 열적 절연 어셈블리(1230)는 공통 개구부(1294)를 정의하며, 이 개구부를 통해 기판이 이송될 수 있다. 프로세싱 동안에, 공통 개구부(1294)는 2개의 챔버(1211, 1221)에서의 독립적인 프로세싱을 가능하게 해주기 위해 게이트 밸드 어셈블리(1296)를 사용하여 밀봉 폐쇄될 수 있다. 게다가, 이송 개구부(1298)는 이송 시스템과의 기판 교환을 가능하게 해주기 위해 열적 처리 챔버(1211)에 형성될 수 있다. 예를 들어, 열적 처리 챔버(1221)를 이송 시스템(도시 생략)으로부터 열적으로 절연시키기 위해 제2 열적 절연 어셈블리(1231)가 구현될 수 있다. 개구부(1298)가 열적 처리 챔버(1211)의 일부로서 도시되어 있지만, 이송 개구부(1298)는 열적 처리 챔버(1211)가 아니라 화학적 처리 챔버(1221)에 형성될 수 있거나, 이송 개구부(1298)는 화학적 처리 챔버(1221) 및 열적 처리 챔버(1211) 둘다에 형성될 수 있다.
도 15 및 도 16에 도시된 바와 같이, 화학적 처리 시스템(1220)은 기판 홀더(1240) 및 기판(1242)의 열적 제어 및 프로세싱을 가능하게 해주는 기판 홀더 어셈블리(1244)를 포함한다. 기판 홀더(1240) 및 기판 홀더 어셈블리(1244)는 기판(1242)을 기판 홀더(1240)에 전기적으로(또는 기계적으로) 클램핑하기 위해 정전 클램핑 시스템(또는 기계적 클램핑 시스템)을 포함할 수 있다. 게다가, 기판 홀더(1240)는 예를 들어 열을 받아서 열을 열 교환기 시스템(도시 생략)으로 전달할 수 있는, 또는 가열할 때는 열을 열 교환기 시스템으로부터 전달할 수 있는 다중-구역 온도 제어 시스템을 더 포함할 수 있다. 게다가, 열 전달 가스는 기판(1242)과 기판 홀더(1240) 사이의 가스-갭 열 전도(gas-gap thermal conductance)를 향상시키기 위해 예를 들어 배면 가스 시스템을 통해 기판(1242)의 배면으로 전달될 수 있다. 예를 들어, 기판(1242)의 배면으로 공급되는 열 전달 가스는 헬륨, 아르곤, 크세논, 크립톤 등의 불활성 가스, CF4, C4F8, C5F8, C4F6, 기타 등등의 프로세스 가스, 또는 산소, 질소 또는 수소 등의 다른 가스를 포함할 수 있다. 이러한 시스템은 높은 온도에서 또는 낮은 온도에서 기판의 온도 제어가 요구될 때 이용될 수 있다. 예를 들어, 배면 가스 시스템은 2-구역(중심-가장자리) 시스템 등의 다중-구역 분배 시스템을 포함할 수 있으며, 배면 가스 갭 압력은 기판(1242)의 중심과 가장자리 사이에서 독립적으로 변화될 수 있다. 다른 실시예들에서, 다중-구역 온도 제어 시스템은 저항 가열 요소 또는 열전(thermo-electric) 히터/냉각기 등의 가열/냉각 요소를 포함할 수 있다. 예시적인 열전 요소는 Advanced Thermoelectric, Model ST-127-1.4-8.5M(72W의 최대 열 전달 능력이 가능한 40 mm × 40 mm × 3.4 mm 열전 소자)으로부터 상업적으로 이용가능한 것이다. 또한, 부가의 가열/냉각 요소가 화학적 처리챔버(1221)의 챔버 벽에 위치될 수 있다.
기판 홀더(1240)는 기판 홀더(1240)를 화학적 처리 챔버(1221)에 연결시키는 챔버 메이팅 어셈블리(chamber mating assembly)(도시 생략), 절연 어셈블리(도시 생략), 및 온도 제어 어셈블리(도시 생략)를 포함할 수 있다. 챔버 메이팅 어셈블리 및 온도 제어 어셈블리는 예를 들어 알루미늄, 스테인레스 강, 니켈, 기타 등등의 전기적 및 열적 도전 물질로 제조될 수 있다. 절연 수단은 예를 들어 수정, 알루미나, 테플론, 기타 등등의 비교적 낮은 열 도전성을 갖는 내열성 물질로 제조될 수 있다.
기판 홀더(1240)는 하나 이상의 컴포넌트 간에 부가의 열적 절연을 제공하기 위해 열적 절연 갭(thermal insulation gap)(도시 생략)을 더 포함할 수 있다. 예를 들어, 열적 절연 갭은 충전(fill)되거나 배기(evacuate)될 수 있다.
기판 홀더(1240)는 기판 홀더의 상부 표면 및 프로세싱 시스템의 이송 평면으로/으로부터 수직으로 기판을 이송시키기 위해 3개 이상의 리프트 핀을 상승 또는 하강시킬 수 있는 리프트 핀 어셈블리(도시 생략)를 더 포함할 수 있다.
온도 제어 기판 홀더(1240)의 온도는 열전쌍(thermocouple)(예를 들어, K-유형 열전쌍, Pt 센서, 기타 등등) 등의 온도 감지 장치(도시 생략)를 사용하여 모니터링 될 수 있다. 게다가, 제어기는 기판 홀더(1240)의 온도를 제어하기 위해 온도 측정을 기판 홀더 어셈블리(1244)로의 피드백으로서 이용할 수 있다. 예를 들어, 유체 유량, 유체 온도, 열 전달 가스 유형, 열 전달 가스 압력, 클램핑 힘, 저항성 히터 요소 전류 또는 전압, 열전 장치 전류 또는 극성, 기타 등등 중 적어도 하나가 기판 홀더(1240)의 온도 변화에 영향을 주기 위해 조정될 수 있다.
다시 도 15 및 도 16을 참조하면, 화학적 처리 시스템(1220)은 가스 분배 시스템(1260)을 포함한다. 가스 공급 시스템(도시 생략)은 가스 분배 시스템(1260)에 연결될 수 있다. 일 실시예에서, 도 18에 도시한 바와 같이, 적어도 2개의 가스를 포함하는 프로세스 가스를 분배하는 가스 분배 시스템(1260)은 하나 이상의 컴포넌트(1424, 1426, 1428)를 갖는 가스 분배 어셈블리(1422), 가스 분배 어셈블리(1422)에 연결되어 있고 또 제1 가스를 화학적 처리 챔버(1221)의 프로세스 공간에 연결하도록 구성되어 있는 제1 가스 분배 플레이트(1430), 및 제1 가스 분배 플레이트(1430)에 연결되어 있고 또 제2 가스를 화학적 처리 챔버(1221)의 프로세스 공간에 연결하도록 구성되어 있는 제2 가스 분배 플레이트(1432)를 포함한다. 제1 가스 분배 플레이트(1430)는 가스 분배 어셈블리(1422)에 연결될 때 제1 가스 분배 플리넘(1440)을 형성한다. 게다가, 제2 가스 분배 플레이트(1432)는 제1 가스 분배 플레이트(1430)에 연결될 때 제2 가스 분배 플리넘(1442)을 형성한다. 도시되어 있지는 않지만, 가스 분배 플리넘(1440, 1442)은 하나 이상의 가스 분배 배플 플레이트(gas distribution baffle plate)를 포함할 수 있다. 제2 가스 분배 플레이트(1432)는 제1 가스 분배 플레이트(1430) 내에 형성된 하나 이상의 통로(passage)(1446)의 어레이에 연결되어 그와 일치하는 하나 이상의 구멍(orifice)(1444)의 제1 어레이, 및 하나 이상의 구멍(1448)의 제2 어레이를 더 포함한다. 하나 이상의 구멍(1444)의 제1 어레이는 하나 이상의 통로(1446)의 어레이와 관련하여 제1 가스를 제1 가스 분배 플리넘(1440)으로부터 화학적 처리 챔버(1221)의 프로세스 공간으로 분배하도록 구성되어 있다. 하나 이상의 구멍(1448)의 제2 어레이는 제2 가스를 제2 가스 분배 플리넘(1442)으로부터 화학적 처리 챔버(1221)의 프로세스 공간으로 분배하도록 구성되어 있다. 프로세스 가스는 예를 들어 NH3, HF, H2, O2, CO, CO2, Ar, He, 기타 등등을 포함할 수 있다. 각각의 구멍(1444, 1448)은 직경 및 길이를 포함하며, 직경은 대략 0.1 mm 내지 대략 10cm 범위일 수 있으며, 길이는 대략 0.5mm 내지 대략 5cm 범위일 수 있다. 이러한 배열의 결과, 제1 가스 및 제2 가스는 프로세스 공간에서를 제외하고는 어떠한 상호작용도 없이 프로세스 공간으로 독립적으로 유입된다.
제1 가스는 가스 분배 어셈블리(1422) 내에 형성된 제1 가스 공급 통로(도시 생략)를 통해 제1 가스 분배 플리넘(1440)에 연결될 수 있다. 게다가, 제2 가스는 가스 분배 어셈블리(1422) 내에 형성된 제2 가스 공급 통로(도시 생략)를 통해 제2 가스 분배 플리넘(1442)에 연결될 수 있다.
다시 도 15 및 도 16을 참조하면, 화학적 처리 시스템(1220)은 제어된 온도로 유지되는 온도 제어 화학적 처리 챔버(1221)를 더 포함한다. 예를 들어, 벽 가열 요소(1266)는 벽 온도 제어 유닛(1268)에 연결되어 있을 수 있으며, 벽 가열 요소(1266)는 화학적 처리 챔버(1221)에 연결되도록 구성될 수 있다. 이 가열 요소는 예를 들어 텅스텐, 니켈-크롬 합금, 알루미늄-철 합금, 알루미늄 질화물, 기타의 필라멘트 등의 저항성 히터 요소를 포함할 수 있다. 전류가 이 필라멘트를 통해 흐를 때, 전력은 열로서 소실되고, 따라서 벽 온도 제어 유닛(1268)은 예를 들어 제어가능 DC 전원을 포함할 수 있다. 예를 들어, 벽 가열 요소(1266)는 적어도 하나의 Watlow(미국 60510 일리노이즈 바타비아 킹스랜드 드라이브 1310 소재)로부터 상업적으로 입수가능한 적어도 하나의 Firerod 카트리지 히터를 포함할 수 있다. 냉각 요소도 역시 화학적 처리 챔버(1221)에서 이용될 수 있다. 화학적 처리 챔버(1221)의 온도는 열전쌍(예를 들어, K-유형 열전쌍, Pt 센서, 기타 등등) 등의 온도 감지 장치를 사용하여 모니터링될 수 있다. 게다가, 제어기는 화학적 처리 챔버(1221)의 온도를 제어하기 위해 온도 측정을 벽 온도 제어 유닛(1268)으로의 피드백으로서 이용할 수 있다.
다시 도 16을 참조하면, 화학적 처리 시스템(1220)은 임의의 선택된 온도로 유지될 수 있는 온도 제어 가스 분배 시스템(1260)을 더 포함할 수 있다. 예를 들어, 가스 분배 가열 요소(1267)는 가스 분배 시스템 온도 제어 유닛(1269)에 연결될 수 있고, 가스 분배 가열 요소(1267)는 가스 분배 시스템(1260)에 연결되도록 구성될 수 있다. 이 가열 요소는 예를 들어 텅스텐, 니켈-크롬 합금, 알루미늄-철 합금, 알루미늄 질화물, 기타의 필라멘트 등의 저항성 히터 요소를 포함할 수 있다. 전류가 필라멘트를 통해 흐를 때, 전력은 열로서 소실되고, 따라서 가스 분배 시스템 온도 제어 유닛(1269)은 예를 들어 제어가능 DC 전원을 포함할 수 있다. 예를 들어, 가스 분배 가열 요소(1267)는 1400W(또는 5W/in2의 전력 밀도)가 가능한 듀얼-존 실리콘 고무 히터(dual-zone silicon rubber heater)(1.0 mm 두께)를 포함할 수 있다. 가스 분배 시스템(1260)의 온도는 열전쌍(예를 들어, K-유형 열전쌍, Pt 센서, 기타 등등) 등의 온도-감지 장치를 사용하여 모니터링될 수 있다. 게다가, 제어기는 가스 분배 시스템(1260)의 온도를 제어하기 위해 온도 측정을 가스 분배 시스템 온도 제어 유닛(1269)으로의 피드백으로서 이용할 수 있다. 다른 대안으로서 또는 그에 부가하여, 냉각 요소가 본 실시예들 중 임의의 것에 이용될 수 있다.
다시 도 15 및 도 16을 참조하면, 진공 펌핑 시스템(1250)은 진공 펌프(1252) 및 챔버 압력을 쓰로틀링하는 게이트 밸브(1254)를 포함할 수 있다. 진공 펌프(1252)는 최대 초당 5000 리터(및 그 이상)의 펌핑 속도가 가능한 터보-분자 진공 펌프(turbo-molecular vacuum pump, TMP)를 포함할 수 있다. 예를 들어, TMP는 Seiko STP-A803 진공 펌프 또는 Ebara ET1310W 진공 펌프일 수 있다. TMP는 일반적으로 50 mTorr 미만인 저압 프로세싱에 유용하다. 고압(즉, 100 mTorr 이상) 또는 낮은 처리율 프로세싱(low throughput processing)(즉, 가스 흐름 없음)의 경우, 기계적 부스터 펌프(mechanical booster pump) 및 드라이 러핑 펌프(dry roughing pump)가 사용될 수 있다.
다시 도 16을 참조하면, 화학적 처리 시스템(1220)은 화학적 처리 시스템(1220)으로 입력을 전달 및 활성화하기에 충분한 제어 전압을 발생할 수 있고 또 온도 및 압력 감지 장치 등의 화학적 처리 시스템(1220)으로부터의 출력을 모니터링할 수 있는, 마이크로프로세서, 메모리 및 디지털 I/O 포트를 갖는 제어기(1235)를 더 포함할 수 있다. 게다가, 제어기(1235)는 기판 홀더 어셈블리(1244), 가스 분배 시스템(1260), 진공 펌핑 시스템(1250), 게이트 밸브 어셈블리(1296), 벽 온도 제어 유닛(1268), 및 가스 분배 시스템 온도 제어 유닛(1269)에 연결될 수 있고 또 이들과 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은 프로세스 레시피에 따라 화학적 처리 시스템(1220)의 상기한 컴포넌트들로의 입력을 활성화시키는 데 이용될 수 있다.
대체 실시예에서, 화학적 처리 시스템(1220)은 광학적 뷰포트(optical viewport)(도시 생략) 및 적어도 하나의 압력 감지 장치(도시 생략)를 더 포함할 수 있다.
도 15 및 도 17에 기술되어 있는 바와 같이, 열적 처리 시스템(1210)은 온도 제어 기판 홀더(1270)를 더 포함한다. 기판 홀더(1270)는 열 장벽(thermal barrier)(1274)을 사용하여 열적 처리 챔버(1211)로부터 열적으로 절연된 받침대(pedestal)(1272)을 포함한다. 예를 들어, 기판 홀더(1270)는 알루미늄, 스테인레스강 또는 니켈로 제조될 수 있으며, 열 장벽(1274)은 테플론, 알루미나 또는 수정 등의 열 절연체로 제조될 수 있다. 기판 홀더(1270)는 그 안에 내장된 가열 요소(1276) 및 그에 연결된 기판 홀더 온도 제어 유닛(1278)을 더 포함한다. 가열 요소(1276)는 예를 들어 텅스텐, 니켈-크롬 합금, 알루미늄-철 합금, 알루미늄 질화물, 기타의 필라멘트 등의 저항성 히터 요소를 포함할 수 있다. 전류가 필라멘트를 통해 흐를 때, 전력은 열로서 소실되고, 따라서 기판 홀더 온도 제어 유닛(1278)은 예를 들어 제어가능 DC 전원을 포함할 수 있다. 다른 대안으로서, 가열된 기판 홀더(1270)는 예를 들어 400 내지 450℃의 최대 동작 온도가 가능한 Watlow(미국 60510 일리노이즈 바타비아 킹스랜드 드라이브 1310 소재)로부터 상업적으로 입수가능한 주물 히터(cast-in heater) 또는 역시 Watlow로부터 상업적으로 입수가능한 알루미늄 질화물 물질을 포함하며 300℃ 정도로 높은 동작 온도 및 최대 23.25 W/cm2의 전력 밀도가 가능한 필름 히터(film heater)일 수 있다. 다른 대안으로서, 냉각 요소는 기판 홀더(1270)에 포함되어 있을 수 있다.
기판 홀더(1270)의 온도는 열전쌍(예를 들어, K-유형 열전쌍) 등의 온도-감지 장치를 사용하여 모니터링될 수 있다. 게다가, 제어기는 기판 홀더(1270)의 온도를 제어하기 위해 온도 측정을 기판 홀더 온도 제어 유닛(1278)으로의 피드백으로서 이용할 수 있다.
게다가, 기판 온도는 Advanced Energies, Inc.(미국 콜로라도주 포트 콜린스 샤프 포인트 드라이브 1625 소재)로부터 상업적으로 입수가능한 광 파이버 온도계, 모델 번호 OR2000F(50 내지 2000℃의 측정 및 ± 1.5℃의 정확도가 가능함), 또는 2002년 7월 2일자로 출원된 계류 중에 있는 미국 특허 출원 제10/168,544호에 기술되어 있는 밴드-엣지 온도 측정 시스템(band-edge temperature measurement system) 등의 온도-감지 장치를 사용하여 모니터링될 수 있으며, 이들은 여기에 인용함으로써 그 전체 내용이 본 명세서에 포함된다.
다시 도 17을 참조하면, 열적 처리 시스템(1210)은 선택된 온도로 유지되는 온도 제어 열적 처리 챔버(1211)를 더 포함한다. 예를 들어, 열적 벽 가열 요소(1283)는 열적 벽 온도 제어 유닛(1281)에 연결될 수 있으며, 열적 벽 가열 요소(1283)는 열적 처리 챔버(1211)에 연결되도록 구성될 수 있다. 가열 요소는 예를 들어 텅스텐, 니켈-크롬 합금, 알루미늄-철 합금, 알루미늄 질화물, 기타의 필라멘트 등의 저항성 히터 요소를 포함할 수 있다. 전류가 필라멘트를 통해 흐를 때, 전력은 열로서 소실되고, 따라서 열적 벽 온도 제어 유닛(1281)은 예를 들어 제어가능 DC 전원을 포함할 수 있다. 예를 들어, 열적 벽 가열 요소(1283)는 Watlow(미국 60510 일리노이주 바타비아 킹스랜드 드라이브 1310 소재)로부터 상업적으로 입수가능한 적어도 하나의 Firerod 카트리지 히터를 포함할 수 있다. 다른 대안으로서 또는 그에 부가하여, 냉각 요소는 열적 처리 챔버(1211)에서 이용될 수 있다. 열적 처리 챔버(1211)의 온도는 열전쌍(예를 들어, K-유형 열전쌍, Pt 센서, 기타 등등) 등의 온도-감지 장치를 사용하여 모니터링될 수 있다. 게다가, 제어기는 열적 처리 챔버(1211)의 온도를 제어하기 위해 온도 측정을 열적 벽 온도 제어 유닛(1281)으로의 피드백으로서 이용할 수 있다.
여전히 도 15 및 도 17을 참조하면, 열적 처리 시스템(1210)은 상부 어셈블리(1284)를 더 포함한다. 상부 어셈블리(1284)는 예를 들어 열적 처리 챔버(1211)로 정화 가스(purge gas), 프로세스 가스(process gas) 또는 세정 가스(cleaning gas)를 유입시키기 위한 가스 주입 시스템을 포함할 수 있다.
다시 도 17을 참조하면, 열적 처리 시스템(1210)은 선택된 온도로 유지될 수 있는 온도 제어 상부 어셈블리(1284)를 더 포함할 수 있다. 예를 들어, 상부 어셈블리 가열 요소(1285)는 상부 어셈블리 온도 제어 유닛(1286)에 연결될 수 있고, 상부 어셈블리 가열 요소(1285)는 상부 어셈블리(1284)의 온도를 제어하도록 구성될 수 있다.
가열 요소는 예를 들어 텅스텐, 니켈-크롬 합금, 알루미늄-철 합금, 알루미늄 질화물, 기타의 필라멘트 등의 저항성 히터 요소를 포함할 수 있다. 저항성 가열 요소를 제조하기 위해 상업적으로 입수가능한 물질의 예는 미국 코네티컷주 베텔 소재의 Kanthal Corporation에 의해 생산되는 금속 합금에 대한 등록된 상표명인 Kanthal, Nikrothal, Akrothal을 포함한다. Kanthal 계열은 페라이트 합금(ferritic alloy)(FeCrAl)을 포함하고, Nikrothal 계열은 오스테나이트 합금(austenitic alloy)(NiCr, NiCrFe)을 포함한다. 전류가 필라멘트를 통해 흐를 때, 전력은 열로서 소실되고, 따라서 상부 어셈블리 온도 제어 유닛(1286)은 예를 들어 제어가능 DC 전원을 포함할 수 있다. 예를 들어, 상부 어셈블리 가열 요소(1285)는 1400W(또는 5W/in2의 전력 밀도)가 가능한 듀얼-존 실리콘 고무 히터(dual-zone silicon rubber heater)(1.0 mm 두께)를 포함할 수 있다. 상부 어셈블리(1284)의 온도는 열전쌍(예를 들어, K-유형 열전쌍, Pt 센서, 기타 등등) 등의 온도-감지 장치를 사용하여 모니터링될 수 있다. 게다가, 제어기는 상부 어셈블리(1284)의 온도를 제어하기 위해 온도 측정을 상부 어셈블리 온도 제어 유닛(1286)으로의 피드백으로서 이용할 수 있다. 대체 실시예에서, 상부 어셈블리(1284)는 텅스텐 할로겐 램프의 어레이 등의 방사 히터(radiant heater)를 포함할 수 있다. 상부 어셈블리(1284)는 그에 부가하여 또는 다른 대안으로서 냉각 요소를 포함할 수 있다.
다시 도 15 및 도 17을 참조하면, 열적 처리 시스템(1210)은 기판 리프터 어셈블리(1290)를 더 포함한다. 기판 리프터 어셈블리(1290)는 기판 홀더(1270)의 상부 표면으로 기판(1242')을 하강시키는 것은 물론 기판 홀더(1270)의 상부 표면으로부터 보유 평면(holding plane)으로 또는 이들 사이에 있는 이송 평면(transfer plane)으로 기판(1242")을 상승시키도록 구성되어 있다. 이송 평면에서, 기판(1242")은 기판을 화학적 및 열적 처리 챔버(1221, 1211) 내로 및 그 밖으로 이송시키는 데 이용되는 이송 시스템과 교환될 수 있다. 보유 평면에서, 기판(1242")은 다른 기판이 이송 시스템과 화학적 및 열적 처리 챔버(1221, 1211) 간에 교환되는 동안에 냉각될 수 있다.
여전히 도 15 및 도 17을 참조하면, 열적 처리 시스템(1210)은 진공 펌핑 시스템(1280)을 더 포함한다. 진공 펌핑 시스템(1280)은 진공 펌프, 및 게이트 밸브 또는 버터플라이 밸브(butterfly valve) 등의 쓰로틀 밸브를 포함할 수 있다. 진공 펌프는 최대 초당 5000 리터(및 그 이상)를 펌핑할 수 있는 터보-분자 진공 펌프(TMP)를 포함할 수 있다. TMP는 일반적으로 50 mTorr 미만인 저압 프로세싱에 유용하다. 고압 프로세싱(즉, 100 mTorr 이상)의 경우, 기계적 부스터 펌프 및 드라이 러핑 펌프가 사용될 수 있다.
다시 도 17을 참조하면, 열적 처리 시스템(1210)은 열적 처리 시스템(1210)으로의 입력을 전달 및 활성화하는 것은 물론 열적 처리 시스템(1210)으로부터의 출력을 모니터링하기에 충분한 제어 전압을 발생할 수 있는, 마이크로프로세서, 메모리 및 디지털 I/O 포트를 갖는 제어기(1275)를 더 포함할 수 있다. 게다가, 제어기(1275)는 기판 홀더 온도 제어 유닛(1278), 상부 어셈블리 온도 제어 유닛(1286), 상부 어셈블리(1284), 열적 벽 온도 제어 유닛(1281), 진공 펌핑 시스템(1280), 및 기판 리프터 어셈블리(1290)에 연결될 수 있고 또 이들과 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은 프로세스 레시피에 따라 열적 처리 시스템(1210)의 상기한 컴포넌트로의 입력을 활성화하는 데 이용될 수 있다. 제어기(1275)의 일례는 미국 텍사스주 오스틴 소재의 Dell Corporation으로부터 입수가능한 DELL PRECISION WORKSTATION 610™이다.
대체 실시예에서, 제어기(1235, 1275)는 동일한 제어기일 수 있다.
게다가, 열적 처리 시스템(1210')은 기판이 보유 평면에 위치하고 있는지 여부를 식별하기 위해 기판 검출 시스템(도시 생략)을 더 포함한다. 이 기판 검출 시스템은 예를 들어 Keyence(일본 오사카에 본사를 둠) 디지털 레이저 센서를 포함할 수 있다.
이상에서 본 발명의 어떤 실시예들에 대해서만 상세히 기술하였지만, 당업자라면 본 발명의 새로운 개시 내용 및 이점을 그다지 벗어나지 않고 실시예들에서 많은 수정이 가능함을 잘 알 것이다. 따라서, 모든 이러한 수정은 본 발명의 범위 내에 포함되는 것으로 보아야 한다.

Claims (53)

  1. 화학적 산화물 제거(chemical oxide removal, COR)에 의해 기판을 프로세싱하는 방법에 있어서,
    상기 기판에 대한 원하는 상태를 결정하는 단계로서, 출력 상태는 적어도 하나의 목표 임계 치수(critical dimension, CD)를 포하는 것인, 상기 기판에 대한 원하는 상태를 결정하는 단계;
    상기 기판에 대한 프로세스전(pre-process) 계측 데이터를 수신하는 단계로서, 상기 프로세스전 계측 데이터는 상기 웨이퍼에 대한 입력 상태를 정의하고 프로세스전 CD 데이터를 포함하는 것인, 상기 기판에 대한 프로세스전 계측 데이터를 수신하는 단계;
    상기 입력 상태를 상기 원하는 상태와 비교함으로써 프로세스 레시피(process recipe)를 결정하는 단계; 및
    상기 기판 상의 노출된 표면층들을 화학적으로 변화시키고 이어서 상기 화학적으로 변화된 표면층들을 열적으로 처리함으로써, 상기 프로세스 레시피를 사용하여 상기 기판을 프로세싱하는 단계
    를 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  2. 제1항에 있어서,
    상기 기판에 대한 프로세스후(post-process) 계측 데이터를 수신하는 단계로 서, 상기 프로세스후 계측 데이터는 출력 상태를 정의하고 프로세싱된 기판에 대한 CD 데이터를 포함하는 것인, 상기 기판에 대한 프로세스후 계측 데이터를 수신하는 단계;
    상기 원하는 상태가 달성되었는지를 결정하는 단계;
    상기 원하는 상태가 달성되지 않은 경우 새로운 프로세스 레시피를 결정하는 단계; 및
    상기 원하는 상태가 달성된 경우 상기 기판을 이송하는 단계
    를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  3. 제1항에 있어서, 상기 프로세스전 계측 데이터는 ODP(Optical Digital Profiling, 광학 디지털 프로파일링) 데이터를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  4. 제1항에 있어서, 상기 프로세스후 계측 데이터는 ODP(Optical Digital Profiling, 광학 디지털 프로파일링) 데이터를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  5. 제4항에 있어서, 상기 프로세스후 계측 데이터는 SEM 데이터를 데이터를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  6. 제1항에 있어서, 상기 프로세스전 계측 데이터는 적어도 하나의 제어될 CD를 포함하고,
    상기 프로세스 레시피는 상기 적어도 하나의 제어될 CD를 상기 목표 CD와 비교함으로써 결정되는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  7. 제6항에 있어서, 상기 적어도 하나의 제어될 CD는 상기 목표 CD보다 더 크며,
    상기 프로세싱은 트리밍 프로세스를 수행하는 단계를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  8. 제7항에 있어서, 상기 트리밍 프로세스는,
    COR 모듈에 대한 화학적 산화물 제거(chemical oxide removal, COR) 프로세스 레시피를 실행하는 단계로서, 적어도 하나의 노출된 표면 상에는 고체 반응 생성물이 형성되는 것인, 상기 화학적 산화물 제거 프로세스 레시피를 실행하는 단계; 및
    상기 고체 반응 생성물을 기화시키고 그에 따라 상기 화학적으로 처리된 노출된 표면층을 트리밍함으로써 PHT 모듈에 대한 사후 가열 처리(post head treatment, PHT) 프로세스 레시피를 실행하는 단계를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  9. 제8항에 있어서, 적어도 하나의 제어될 CD가 상기 목표 CD와 대략 같게 될 때까지, 상기 COR 프로세스 레시피 실행 단계 및 상기 PHT 프로세스 레시피 실행 단계를 반복하는 단계를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  10. 제9항에 있어서,
    프로세스후 계측 데이터를 수신하는 단계로서, 상기 프로세스후 계측 데이터는 출력 상태를 정의하고 프로세싱된 기판에 대한 측정된 CD 데이터를 포함하는 것인, 상기 프로세스후 계측 데이터를 수신하는 단계;
    상기 측정된 CD가 상기 목표 CD와 대략 같은지를 결정하는 단계;
    상기 측정된 CD가 상기 목표 CD와 대략 같지 않을 때 상기 COR 프로세스 레시피 실행 단계 및 상기 PHT 프로세스 레시피 실행 단계를 반복하는 단계; 및
    상기 측정된 CD가 상기 목표 CD와 대략 같을 때 상기 실행하는 단계들을 중단하는 단계
    를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  11. 제7항에 있어서, 트리밍 양을 결정하는 단계를 더 포함하며,
    상기 트리밍 프로세스는,
    COR 모듈에 대한 화학적 산화물 제거(COR) 프로세스 레시피를 실행하는 단계로서, 기판 상의 노출된 표면은 프로세스 가스를 사용하여 화학적으로 처리되고, 상기 트리밍 양과 대략 같은 두께를 갖는 고체 반응 생성물이 적어도 하나의 노출된 표면 상에 형성되는 것인, 상기 COR 모듈에 대한 화학적 산화물 제거(COR) 프로세스 레시피를 실행하는 단계; 및
    상기 고체 반응 생성물을 기화시키고 그에 따라 상기 트리밍 양만큼 상기 화학적으로 처리된 노출된 표면 중 적어도 하나를 트리밍함으로써 PHT 모듈에 대한 사후 열 처리(PHT) 프로세스 레시피를 실행하는 단계
    를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  12. 제11항에 있어서,
    다수의 사전-승인된 제어 레시피(pre-qualified control recipe)를 검사하는 단계로서, 각각의 제어 레시피는 사전 결정된 트리밍 값을 갖는 것인, 상기 다수의 사전-승인된 제어 레시피를 검사하는 단계;
    상기 프로세스전 CD 데이터 및 상기 목표 CD 간의 차이와 대략 같은 미리 정해진 트리밍 값을 갖는 상기 제어 레시피를 선택하는 단계
    를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  13. 제11항에 있어서,
    비닝 테이블(binning table)을 생성하는 단계로서, 각각의 빈(bin)은 사전 결정된 트리밍 값을 포함하는 것인, 상기 비닝 테이블을 생성하는 단계;
    상기 프로세스전 CD 데이터와 상기 목표 CD 간의 차이와 대략 같은 미리 정 해진 트리밍 값을 갖는 상기 빈을 선택하는 단계; 및
    상기 빈과 연관된 상기 사전 승인된 제어 레시피를 상기 프로세스 레시피로서 선택하는 단계
    를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  14. 제11항에 있어서,
    다수의 레시피를 포함하는 룩업 테이블을 생성하는 단계; 및
    상기 프로세스 레시피를 결정하기 위해 테이블 탐색을 수행하는 단계
    를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  15. 제8항에 있어서, 상기 COR 프로세스 레시피를 실행하는 단계는,
    화학적 처리 챔버를 포함하는 모듈 내로 상기 기판을 이송하는 단계;
    상기 화학적 처리 챔버 내에 탑재된 온도 제어 기판 홀더(temperature controlled substrate holder) 상에 상기 기판을 배치하는 단계;
    상기 화학적 처리 챔버에 결합되어 있는 진공 펌핑 시스템을 사용하여 상기 챔버 압력을 변경하는 단계;
    상기 화학적 처리 챔버에 결합되어 있고 또 프로세스 가스를 상기 화학적 처리 챔버 내로 유입시키도록 구성되어 있는 가스 분배 시스템을 사용하여 상기 프로세스 가스를 제공하는 단계; 및
    상기 프로세스 레시피에 따라 상기 COR 모듈, 상기 온도 제어 기판 홀더, 상 기 진공 펌핑 시스템 및 상기 가스 분배 시스템을 제어하는 단계
    를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  16. 제8항에 있어서, 상기 PHT 프로세스 레시피를 실행하는 단계는,
    열적 처리 챔버를 포함하는 모듈 내로 상기 기판을 이송하는 단계;
    상기 열적 처리 챔버 내에 탑재된 온도 제어 기판 홀더 상에 상기 기판을 배치하는 단계;
    상기 열적 처리 챔버에 결합되어 있는 온도 제어 상부 어셈블리를 사용하여 상기 챔버 온도를 변경하는 단계;
    상기 열적 처리 챔버에 결합되어 있는 진공 펌핑 시스템을 사용하여 상기 챔버 압력을 변경하는 단계; 및
    상기 프로세스 레시피에 따라 상기 PHT 모듈, 상기 진공 펌핑 시스템, 온도 제어 시스템 및 상기 온도 제어 기판 홀더를 제어하는 단계
    를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  17. 제8항에 있어서, 상기 노출된 표면은 하드 마스크 물질을 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  18. 제8항에 있어서, 상기 노출된 표면은 산화된 TERA 물질을 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  19. 제15항에 있어서, 상기 프로세스 가스는 불소-함유 가스 및 질소-함유 가스를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  20. 제19항에 있어서, 상기 프로세스 가스는 HF 및 NH3를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  21. 제8항에 있어서, 상기 프로세스 가스는 프로세싱 공간에 독립적으로 유입되는 제1 가스 및 제2 가스를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  22. 제15항에 있어서, 상기 화학적 처리 챔버 내의 상기 온도 제어 기판 홀더의 온도는 대략 10℃ 내지 대략 50℃ 범위에 있는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  23. 제15항에 있어서, 상기 화학적 처리 챔버 내의 상기 온도 제어 기판 홀더 상에 탑재된 상기 기판의 온도는 대략 10℃ 내지 대략 50℃ 범위에 있는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  24. 제15항에 있어서, 상기 화학적 처리 챔버 압력은 대략 1 mTorr 내지 대략 100 mTorr의 범위에 있는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  25. 제15항에 있어서, 상기 가스 분배 시스템에서의 상기 프로세스 가스의 온도를 대략 30℃ 내지 대략 100℃의 범위 내로 제어하는 단계를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  26. 제15항에 있어서, 화학적 처리 챔버 벽의 온도를 대략 30℃ 내지 대략 100℃의 범위 내로 제어하는 단계를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  27. 제16항에 있어서, 상기 열적 처리 챔버 내의 상기 온도 제어 기판 홀더의 온도는 대략 10℃ 내지 대략 50℃ 범위에 있는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  28. 제16항에 있어서, 상기 열적 처리 챔버 내의 상기 온도 제어 기판 홀더 상에 탑재된 상기 기판의 온도는 대략 10℃ 내지 대략 50℃ 범위에 있는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  29. 제16항에 있어서, 상기 열적 처리 챔버 압력은 대략 1 mTorr 내지 대략 100 mTorr의 범위에 있는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  30. 제16항에 있어서, 상기 열적 처리 챔버의 온도는 대략 10℃ 내지 대략 50℃ 범위에 있는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  31. 제16항에 있어서, 상기 기판을 제1 시간 동안에 상기 온도 제어 상부 어셈블리로부터 제1 거리에 배치하는 단계; 및
    상기 기판을 제2 시간 동안에 상기 온도 제어 상부 어셈블리로부터 제2 거리에 배치하는 단계
    를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  32. 제16항에 있어서, 열적 처리 챔버 벽의 온도를 대략 30℃ 내지 대략 100℃의 범위 내로 제어하는 단계를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  33. 제1항에 있어서, 상기 프로세스전 계측 데이터는 적어도 하나의 고립된 피처(isolated feature)에 대한 고립된 CD 데이터 및 적어도 하나의 내포된 피처(nested feature)에 대한 내포된 CD 데이터를 포함하며,
    상기 프로세스 레시피는 상기 고립된 CD 데이터 및 상기 내포된 CD 데이터를 상기 목표 CD와 비교함으로써 결정되는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  34. 제33항에 있어서, 상기 고립된 CD 데이터와 상기 목표 CD 데이터 간의 차이에 기초하여 제1 트리밍 프로세스를 수행하는 단계; 및
    상기 내포된 CD 데이터와 상기 목표 CD 데이터 간의 차이에 기초하여 제2 트리밍 프로세스를 수행하는 단계
    를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  35. 제33항에 있어서, 제1 피처에 대한 CD 데이터와 상기 목표 CD 데이터 간의 차이에 기초하여 제1 델타를 결정하는 단계;
    제2 피처에 대한 CD 데이터와 상기 목표 CD 데이터 간의 차이에 기초하여 제2 델타를 결정하는 단계; 및
    상기 제1 델타와 상기 제2 델타 간의 차이에 기초하여 트리밍 프로세스를 수행하는 단계
    를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  36. 제33항에 있어서, 상기 프로세스전 계측 데이터는 GOF(goodness-of-fit, 적합도) 데이터 및 깊이 데이터를 포함하는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  37. 제1항에 있어서,
    상기 기판에 대한 프로세스후 계측 데이터를 수신하는 단계로서, 상기 프로세스후 계측 데이터는 출력 상태를 정의하고 프로세싱된 기판에 대한 CD 데이터를 포함하는 것인, 상기 기판에 대한 프로세스후 계측 데이터를 수신하는 단계;
    상기 프로세스 특성 및 프로세스 모델에 기초하여 상기 웨이퍼에 대한 예측된 상태를 계산하는 단계;
    상기 출력 상태를 상기 예측된 상태와 비교함으로써 상기 예측된 상태가 달성되었는지를 결정하는 단계; 및
    상기 예측된 상태가 달성되지 않았을 때 프로세스 모델 오프셋을 계산하는 단계
    를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  38. 제1항에 있어서, 상기 프로세스 레시피는 제어 전략 및 제어 계획을 실행함으로써 결정되는 것인, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  39. 제8항에 있어서, 상기 기판을 상기 COR 모듈로부터 상기 PHT 모듈로 이송하는 단계를 더 포함하는, 화학적 산화물 제거에 의해 기판을 프로세싱하는 방법.
  40. 기판을 처리하는 프로세싱 시스템에 있어서,
    상기 기판 상의 노출된 표면층을 화학적으로 변화시키는 화학적 산화물 제거 (COR) 모듈, 상기 기판 상의 상기 화학적으로 변화된 표면층을 열적으로 처리하는 사후 열 처리(PHT) 모듈, 및 상기 PHT 모듈과 상기 COR 모듈 사이에 결합되어 있는 절연 어셈블리(isolation assembly)를 포함하는 프로세싱 서브시스템;
    상기 프로세싱 서브시스템에 결합되어, 상기 기판에 대한 입력 상태를 결정하는 프로세스전 계측 데이터를 제공하는 제1 IMM(integrated metrology module, 내장된 계측 모듈); 및
    상기 프로세싱 서브시스템 및 상기 제1 IMM에 결합되어 있는 제어 장치를 포함하며,
    상기 제어 장치는 상기 웨이퍼를 상기 입력 상태에서 원하는 상태로 변경하기 위한 프로세스 레시피를 결정하고 이 프로세스 레시피를 실행하는 것인, 기판을 처리하는 프로세싱 시스템.
  41. 제40항에 있어서, 상기 COR 모듈은 온도 제어 화학적 처리 챔버, 상기 화학적 처리 챔버 내에 탑재되어 있고 또 상기 화학적 처리 챔버로부터 실질적으로 열적으로 절연되도록 구성되어 있는 온도 제어 기판 홀더, 상기 화학적 처리 챔버에 결합되어 있는 진공 펌핑 시스템, 및 하나 이상의 프로세스 가스를 상기 화학적 처리 챔버 내로 유입시키는 온도 제어 가스 분배 시스템을 더 포함하는 것인, 기판을 처리하는 프로세싱 시스템.
  42. 제40항에 있어서, 상기 PHT 모듈은 온도 제어 열적 처리 챔버, 상기 열적 처 리 챔버 내에 탑재되어 있고 또 상기 열적 처리 챔버로부터 실질적으로 열적으로 절연되도록 구성되어 있는 온도 제어 기판 홀더, 및 상기 열적 처리 챔버에 결합되어 있는 진공 펌핑 시스템을 더 포함하는 것인, 기판을 처리하는 프로세싱 시스템.
  43. 제40항에 있어서, 상기 제어 수단은 화학적 처리 챔버 온도, 화학적 처리 가스 분배 시스템 온도, 화학적 처리 기판 홀더 온도, 화학적 처리 기판 온도, 화학적 처리 프로세싱 압력, 화학적 처리 가스 유량, 열적 처리 챔버 온도, 열적 처리 기판 홀더 온도, 열적 처리 기판 온도, 및 열적 처리 프로세싱 압력 중 적어도 하나를 제어하는 수단을 더 포함하는 것인, 기판을 처리하는 프로세싱 시스템.
  44. 제40항에 있어서, 상기 절연 어셈블리는 열적 절연 어셈블리, 게이트 밸브 어셈블리, 및 이송 시스템 중 적어도 하나를 포함하는 것인, 기판을 처리하는 프로세싱 시스템.
  45. 제41항에 있어서, 상기 온도 제어 화학적 처리 챔버는 벽 가열 요소(wall heating element)를 포함하는 것인, 기판을 처리하는 프로세싱 시스템.
  46. 제41항에 있어서, 상기 온도 제어 가스 분배 시스템은 적어도 하나의 가스 분배 플레이트를 포함하며,
    상기 가스 분배 플레이트는 하나 이상의 가스 주입 구멍(gas injection orifice)을 포함하는 것인, 기판을 처리하는 프로세싱 시스템.
  47. 제41항에 있어서, 상기 화학적 처리 챔버 내의 상기 온도 제어 기판 홀더는 정전 클램핑 시스템, 배면 가스 공급 시스템(back-side gas supply system) 및 하나 이상의 온도 제어 요소 중 적어도 하나를 포함하는 것인, 기판을 처리하는 프로세싱 시스템.
  48. 제41항에 있어서, 상기 화학적 처리 챔버 내의 상기 온도 제어 기판 홀더는 하나 이상의 온도 제어 요소를 포함하는 것인, 기판을 처리하는 프로세싱 시스템.
  49. 제41항에 있어서, 상기 가스 분배 시스템은,
    하나 이상의 구멍(orifice)의 제1 어레이 및 하나 이상의 구멍의 제2 어레이를 갖는 제1 가스 분배 플레이트(plate) 및 제1 가스 분배 플리넘(plenum)으로서, 상기 제1 가스 분배 플레이트 내의 하나 이상의 구멍의 상기 제1 어레이를 통해 상기 제1 가스를 상기 프로세스 공간에 결합시키는 제1 가스 분배 플리넘 및 제1 가스 분배 플레이트; 및
    그 안에 통로(passage)를 갖는 제2 가스 분배 플레이트 및 제2 가스 분배 플리넘으로서, 상기 제2 가스 분배 플레이트 내의 상기 통로 및 상기 제1 가스 분배 플레이트 내의 하나 이상의 구멍의 상기 제2 어레이를 통해 상기 제2 가스를 상기 프로세스 공간에 결합시키는 제2 가스 분배 플리넘 및 제2 가스 분배 플레이트
    를 포함하는 것인, 기판을 처리하는 프로세싱 시스템.
  50. 제49항에 있어서, 상기 제1 가스 및 상기 제2 가스는 상기 프로세스 공간으로 독립적으로 유입되는 것인, 기판을 처리하는 프로세싱 시스템.
  51. 제42항에 있어서, 상기 PHT 모듈은 상기 열적 처리 챔버에 결합되어, 이송 평면과 상기 기판 홀더 사이에서 상기 기판을 수직으로 이송시키는 기판 리프터 어셈블리(substrate lifter assembly)를 더 포함하는 것인, 기판을 처리하는 프로세싱 시스템.
  52. 제40항에 있어서, 상기 프로세싱 서브시스템은 제조 시스템에 결합되어 있는 것인, 기판을 처리하는 프로세싱 시스템.
  53. 제40항에 있어서, 상기 제어 수단은 또한 상기 원하는 상태가 달성되었는지를 결정하는 것인, 기판을 처리하는 프로세싱 시스템.
KR1020067008420A 2003-12-17 2004-11-03 하드 마스크 트리밍에 의한 기판 프로세싱 방법 및 기판 처리 프로세싱 시스템 KR101176664B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/736,983 2003-12-17
US10/736,983 US7877161B2 (en) 2003-03-17 2003-12-17 Method and system for performing a chemical oxide removal process
PCT/US2004/036498 WO2005062344A1 (en) 2003-12-17 2004-11-03 Method of operating a system for chemical oxide removal

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020117016777A Division KR101240149B1 (ko) 2003-12-17 2004-11-03 화학적 산화물 제거에 의한 기판 프로세싱 방법 및 기판 처리 프로세싱 시스템

Publications (2)

Publication Number Publication Date
KR20060113688A true KR20060113688A (ko) 2006-11-02
KR101176664B1 KR101176664B1 (ko) 2012-08-23

Family

ID=34710472

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020067008420A KR101176664B1 (ko) 2003-12-17 2004-11-03 하드 마스크 트리밍에 의한 기판 프로세싱 방법 및 기판 처리 프로세싱 시스템
KR1020117016777A KR101240149B1 (ko) 2003-12-17 2004-11-03 화학적 산화물 제거에 의한 기판 프로세싱 방법 및 기판 처리 프로세싱 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117016777A KR101240149B1 (ko) 2003-12-17 2004-11-03 화학적 산화물 제거에 의한 기판 프로세싱 방법 및 기판 처리 프로세싱 시스템

Country Status (5)

Country Link
US (2) US7877161B2 (ko)
JP (1) JP4723513B2 (ko)
KR (2) KR101176664B1 (ko)
CN (1) CN1961405B (ko)
WO (1) WO2005062344A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200017343A (ko) * 2018-08-08 2020-02-18 도쿄엘렉트론가부시키가이샤 산소 함유 피처리체의 처리 방법 및 처리 장치

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20050004780A1 (en) * 2003-07-03 2005-01-06 Taiwan Semiconductor Manufacturing Co., Ltd Virtual assistant for semiconductor tool maintenance
US7077903B2 (en) * 2003-11-10 2006-07-18 International Business Machines Corporation Etch selectivity enhancement for tunable etch resistant anti-reflective layer
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US6909934B1 (en) * 2004-01-05 2005-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Efficient method of dynamic formulation of chamber selections for multiple chamber tools
US20050218114A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US20080281438A1 (en) * 2004-04-23 2008-11-13 Model Predictive Systems, Inc. Critical dimension estimation
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7209798B2 (en) * 2004-09-20 2007-04-24 Tokyo Electron Limited Iso/nested cascading trim control with model feedback updates
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
CN100449709C (zh) * 2005-02-14 2009-01-07 东京毅力科创株式会社 基板处理方法、清洗方法、电子设备的制造方法和程序
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
JP4843285B2 (ja) * 2005-02-14 2011-12-21 東京エレクトロン株式会社 電子デバイスの製造方法及びプログラム
US7622392B2 (en) * 2005-02-18 2009-11-24 Tokyo Electron Limited Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
US7333876B2 (en) * 2005-03-01 2008-02-19 Isotec International, Inc, Systems and methods for providing electronic quality control in a process for applying a polyurethane to a substrate
US7394067B1 (en) * 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
KR101192676B1 (ko) * 2006-01-27 2012-10-19 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
KR100929944B1 (ko) * 2006-02-07 2009-12-04 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 제어 장치 및 기판 처리 장치의 제어 프로그램을 기록한 기억 매체
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7312161B2 (en) * 2006-05-05 2007-12-25 Fsi International, Inc. Advanced process control for low variation treatment in immersion processing
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US8682466B2 (en) * 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US7713758B2 (en) * 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US7899637B2 (en) * 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
JP5248902B2 (ja) 2007-10-11 2013-07-31 東京エレクトロン株式会社 基板処理方法
JP5484363B2 (ja) * 2007-10-11 2014-05-07 東京エレクトロン株式会社 基板処理方法
US7888267B2 (en) * 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
JP2009267111A (ja) * 2008-04-25 2009-11-12 Tokyo Electron Ltd 半導体デバイスの製造方法、製造装置、コンピュータプログラム、及びコンピュータ可読記憶媒体
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
CN102105312B (zh) * 2008-07-31 2014-06-11 东京毅力科创株式会社 用于化学处置和热处置的高产量处理系统及操作方法
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US20100211202A1 (en) * 2009-02-13 2010-08-19 Hermes Microvision, Inc. Method and machine for examining wafers
US9768082B2 (en) 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
JP5629098B2 (ja) * 2010-01-20 2014-11-19 東京エレクトロン株式会社 シリコン基板上のパターン修復方法
US8527081B2 (en) * 2010-08-31 2013-09-03 Applied Materials, Inc. Method and apparatus for automated validation of semiconductor process recipes
GB2487716B (en) * 2011-01-24 2015-06-03 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US8415253B2 (en) 2011-03-30 2013-04-09 International Business Machinees Corporation Low-temperature in-situ removal of oxide from a silicon surface during CMOS epitaxial processing
US8987104B2 (en) 2011-05-16 2015-03-24 Globalfoundries Inc. Method of forming spacers that provide enhanced protection for gate electrode structures
US20130297061A1 (en) * 2012-05-03 2013-11-07 National Taiwan University Method and computer-aided design system of manufacturing an optical system
US9727049B2 (en) * 2012-09-04 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Qualitative fault detection and classification system for tool condition monitoring and associated methods
JP6177513B2 (ja) * 2012-09-28 2017-08-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6086862B2 (ja) 2013-08-30 2017-03-01 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
US9798750B2 (en) * 2013-10-14 2017-10-24 Schneider Electric Software, Llc Parameter download verification in industrial control system
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
JP6230954B2 (ja) 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
CN104013600A (zh) * 2014-06-05 2014-09-03 暨南大学 一种包载补骨脂素的纳米结构脂质载体制剂及其制备方法
TWI571908B (zh) * 2014-08-15 2017-02-21 力晶科技股份有限公司 製程控制方法與製程控制系統
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US20160365253A1 (en) * 2015-06-09 2016-12-15 Macronix International Co., Ltd. System and method for chemical mechanical planarization process prediction and optimization
US10290553B2 (en) * 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
WO2017136306A1 (en) * 2016-02-01 2017-08-10 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
CN107093568B (zh) * 2016-02-18 2021-04-09 北大方正集团有限公司 一种晶元在线监测方法及装置
JP6546867B2 (ja) * 2016-03-10 2019-07-17 東京エレクトロン株式会社 処理プロセスを調整する方法
JP6537992B2 (ja) * 2016-03-30 2019-07-03 東京エレクトロン株式会社 基板処理装置、基板処理装置の制御方法、及び基板処理システム
JP6667354B2 (ja) * 2016-04-15 2020-03-18 東京エレクトロン株式会社 基板処理方法および基板処理装置
US10607851B2 (en) 2017-08-25 2020-03-31 Micron Technology, Inc. Vapor-etch cyclic process
CN110095802B (zh) * 2018-01-31 2022-07-29 中国辐射防护研究院 一种模拟研究放射性固体废物处置过程中氢气产生的方法
WO2019182959A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Platform and method of operating for integrated end-to-end gate contact process
EP3647873A1 (en) * 2018-11-02 2020-05-06 ASML Netherlands B.V. Method to characterize post-processing data in terms of individual contributions from processing stations
JP7453853B2 (ja) * 2020-05-27 2024-03-21 株式会社日立製作所 処理条件決定システムおよび処理条件探索方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
TW204411B (ko) * 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6165805A (en) 1998-10-29 2000-12-26 Advanced Micro Devices, Inc. Scan tool recipe server
GB2352532B (en) 1999-06-28 2003-09-10 Hyundai Electronics Ind Semiconductor factory automation system and method for resetting process recipe by employing trace file
US6415193B1 (en) * 1999-07-08 2002-07-02 Fabcentric, Inc. Recipe editor for editing and creating process recipes with parameter-level semiconductor-manufacturing equipment
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
WO2002004887A1 (en) 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6646284B2 (en) * 2000-12-12 2003-11-11 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method of manufacturing the same
US6864041B2 (en) * 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US20040071888A1 (en) * 2002-05-30 2004-04-15 Symyx Technologies, Inc. Apparatus and method of research for creating and testing thin films
US7042564B2 (en) * 2002-08-08 2006-05-09 Applied Materials, Israel, Ltd. Wafer inspection methods and an optical inspection tool
US6903023B2 (en) * 2002-09-16 2005-06-07 International Business Machines Corporation In-situ plasma etch for TERA hard mask materials
US7214274B2 (en) 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
JP4833512B2 (ja) 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
US7060626B2 (en) * 2003-06-25 2006-06-13 International Business Machines Corporation Multi-run selective pattern and etch wafer process
US7328418B2 (en) * 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200017343A (ko) * 2018-08-08 2020-02-18 도쿄엘렉트론가부시키가이샤 산소 함유 피처리체의 처리 방법 및 처리 장치

Also Published As

Publication number Publication date
WO2005062344A1 (en) 2005-07-07
KR20110099321A (ko) 2011-09-07
US20110307089A1 (en) 2011-12-15
US7877161B2 (en) 2011-01-25
US8175736B2 (en) 2012-05-08
KR101240149B1 (ko) 2013-03-11
US20040185583A1 (en) 2004-09-23
JP2007515074A (ja) 2007-06-07
CN1961405A (zh) 2007-05-09
JP4723513B2 (ja) 2011-07-13
KR101176664B1 (ko) 2012-08-23
CN1961405B (zh) 2010-12-22

Similar Documents

Publication Publication Date Title
KR101176664B1 (ko) 하드 마스크 트리밍에 의한 기판 프로세싱 방법 및 기판 처리 프로세싱 시스템
KR101186694B1 (ko) 기판 처리 방법
TWI393169B (zh) 施行晶圓均勻度控制之動態量測取樣
US7906032B2 (en) Method for conditioning a process chamber
KR101158950B1 (ko) 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법
US7158851B2 (en) Feedforward, feedback wafer to wafer control method for an etch process
TWI417754B (zh) 利用多層多輸入多輸出模型以產生金屬閘極結構之方法
US7967995B2 (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8501499B2 (en) Adaptive recipe selector
KR101200657B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
US7502709B2 (en) Dynamic metrology sampling for a dual damascene process
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190730

Year of fee payment: 8