CN1561535A - 通用模块化晶片输送系统 - Google Patents

通用模块化晶片输送系统 Download PDF

Info

Publication number
CN1561535A
CN1561535A CNA028193121A CN02819312A CN1561535A CN 1561535 A CN1561535 A CN 1561535A CN A028193121 A CNA028193121 A CN A028193121A CN 02819312 A CN02819312 A CN 02819312A CN 1561535 A CN1561535 A CN 1561535A
Authority
CN
China
Prior art keywords
wafer
shuttle
wafer transport
container
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028193121A
Other languages
English (en)
Other versions
CN1288714C (zh
Inventor
安东尼·C·博诺拉
理查德·H·古尔德
罗杰·G·海纳
迈克尔·克罗拉克
杰里·斯皮斯尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Azenta Inc
Original Assignee
Asyst Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asyst Technologies Inc filed Critical Asyst Technologies Inc
Publication of CN1561535A publication Critical patent/CN1561535A/zh
Application granted granted Critical
Publication of CN1288714C publication Critical patent/CN1288714C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

本发明涉及一种用于在隔离环境内、在多个室之间输送单个晶片的晶片输送系统。在一个实施例中,一个晶片由一个晶片穿梭件输送,晶片穿梭件在一个晶片输送容器内运行。晶片输送容器内部与晶片加工设备周围的大气环境相隔离。这样,单个晶片可以在整个晶片加工设备中输送,无需使整个设备保持一种洁净室环境。晶片穿梭件可以采用各种技术、例如(但不限于)磁悬浮或气垫技术进行推进。晶片穿梭件也可以同时输送多个晶片。输送容器内部也可以是真空的、充气的或充入过滤空气。

Description

通用模块化晶片输送系统
优先权
本申请要求2001年8月31日提交的、题为《通用模块化加工接口系统》的临时申请的优先权,申请号为60/316722,该申请在这里作为参考文献。
技术领域
本发明一般涉及一种在加工工具之间输送晶片的结构方法。特别是,本发明涉及一种在隔离或常压环境中输送单个晶片或晶片包的晶片输送系统。
背景技术
因为一个损坏的晶片可以造成重大的经济损失,所以必须在输送半导体晶片中倍加小心。半导体晶片必须保持在基本没有微粒污染的洁净的室内环境中,以保持晶片上涂敷物的纯度。洁净的室内环境的要求对半导体晶片的输送有一些制约。
为了防止额外的污染,半导体晶片在整个加工设备中输送时通常保持在密封的输送容器、例如SMIF容器中,尽量不暴露于加工机器的外部环境。加工设备通常组织成多个加工间中,每个加工间包括几台加工机器。一个容器中的多个晶片在一台或多台机器上处理之后,晶片容器离开加工间,输送到下一个加工间。这样,在加工设备中基本有两个输送回路:晶片容器在加工间之间输送的加工间之间的回路,及晶片容器在一个单个加工间的加工机器之间输送的加工间的内部回路。
在半导体加工领域,加工设备通常组织成多个加工间18,每个加工间18包括几台加工机器16。图1示出一个加工间18的一个实施例,加工间18具有多个加工机器16,加工机器16包括(但不限于)用于在晶片上涂敷薄膜的设备、用于在各个阶段清理和/或修整晶片的设备等等。公知的是,一台加工机器16的入口往往包括一个装载口22。一旦一个容器12置于装载口22上,装载口22自动将容器12前送到加工机器16,这样,晶片可以在一种保护环境中从输送容器或其它容器取出。这种传统输送系统可以与不包括一个装载口22的加工工位16一起使用。
各种输送系统已经被用于沿加工设备的加工间之间的回路、从加工间到加工间输送晶片容器。因为加工设备的加工间之间的回路中输送量的缘故,加工间之间的输送通常借助高架输送系统进行。晶片容器被输送到一个往往称为“储料器”的遥控储藏室,储料器接纳晶片容器,自动将晶片容器输送到加工间的内部回路。在一些系统中,加工间之间的输送系统与加工间内输送系统连接,在系统之间进行直接输送。但是,只有在加工间的内部回路中使用兼容的高架输送系统,才能进行直接输送。
在加工间内,输送容器必须从机器运送到机器,输送到晶片可以由机器从输送容器卸载进行加工的位置。机器入口往往配有一个装载口,可以在一种保护环境中自动取出晶片。将输送容器输送到装载口,比在加工间之间的传送机和加工间之间输送晶片容器,要求对晶片容器进行更精确的控制。
例如,许多系统依赖工人使用小车从装载口到装载口输送晶片容器。工人可以手动将晶片容器举升到装载口。工人也可以操纵一个手动连接件或其它举升装置,将晶片容器输送到装载口,待加工完成后,将晶片容器放回到车上。然后工人将小车运送到下一台机器进行加工。靠人工将晶片容器从机器输送到机器,费时且效率不高。经常,工人会不在现场以将装有新晶片的容器定位在装载口,机器则处于待机状态,从而减少了机器的工作时间,降低加工厂的总效率。此外,必须注意确保举升装置与装载口完全对准,跌落晶片容器或使晶片容器剧烈颠簸可能损坏晶片。最好配置一个在机器之间自动输送晶片容器的装置。
另一种加工间内的输送系统依靠自动导向车在机器之间输送晶片容器,并将晶片容器输送到装载口。使用自动导向车,减少了加工间对工人的需要,可以提高晶片容器输送通过加工间的速度。但是,加工间的大小限制可以在一个单个加工间运行的自动导向车的数量,使机器处于备用状态,等待自动导向车取走装有已加工晶片的容器,并将装有新晶片的容器放在输送间。最好配置一种自动系统,可以用于将晶片容器快速输送到加工机器以及从加工机器快速取走晶片容器,无需使机器处于备用状态。
高架单轨系统也被用于沿加工间内回路输送晶片容器。Bonora等人的转让给Asyst技术公司的题为《具有整合的输送车和导向装置的输送系统》的美国专利US6308818记载了这种系统,这里作为参考文献。高架单轨系统50的一个实施例如图2所示。高架单轨系统50包括一个传送机14、以及多个用于在设备前端模块(EFEM)之间对SMIF容器12进行导向的导向装置56。传送机14也可以包括一个或多个支路,作为通到加工间18的其它区域的捷径,临时从传送机主回路取走晶片容器,而不中断主回路上的输送流。传送机14的构形可以进行较大的改变,根据特定加工设备的制约条件而定。
图3示出传统传送机14的一个实施例。传送机包括一对导轨32、34,用于沿传送机路径传送输送容器12。驱动轨32沿导轨32、34推进输送容器12,并有选择地对输送容器12进行导向。推进输送容器12的推进功率通过驱动轨32供给。电力可以通过传统方法供给驱动轨32。电力也可以通过一根电源母线供给驱动轨32。导轨34是一个用于支承输送容器12的惰轨或支承轨,输送容器12沿传送机路径输送时保持成水平方向。或者,与驱动轨32相对的导轨34可以在输送容器12沿传送机系统14输送时对输送容器12进行导向。
可以使用升降机或类似装置将输送容器12下放到加工机器的装载口上。为了将输送容器从单轨连续输送到机器,输送容器必须与装载口精确对准,有控制地下放到装载口上,这样,输送容器的摆动减至最低程度。加工后,输送容器升起,输送到下一台机器。反复升降输送容器是很复杂的。
上述所有输送系统都要求晶片在一种隔离的容器或SMIF容器中进行输送,确保晶片不被有害的微粒污染。每次一批晶片输送到一个新的加工工具,容器必须在开容器前与加工工具的前端形成密封。同样,当一批晶片加工完成放回到容器时,容器的门必须复位,容器才可以输送到下一个加工工具。
当晶片在一个容器内输送时,一批晶片必须在整个加工过程中放在同一个容器内。每次一个晶片必须加以检查或到达下一个加工工具,容器门必须移开,自动装置才能从SMIF容器取出一个晶片。同样,自动装置必须将晶片放回到SMIF容器中,SMIF容器必须加以密封和充气,SMIF容器才能继续输送到下一个加工工具。这是一项非常费时的任务。
许多挑战来自于使用一种输送SMIF容器的输送系统。对于一小批晶片来说,自动装置往往需要快速周转。这些晶片不必通过晶片加工设备的所有加工工位。不能在小批量之前通过SMIF容器,就不能加速小批量的加工。必须在SMIF容器内输送的晶片不能随意进行发送。
同高效快速材料输送有联系的人与机械控制和安全问题是确定300毫米晶片形成的材料处理系统的主要问题。自动材料处理系统必须具有可以接受的投资回报,必须与所有在线生产设备直接接口。300毫米设备尺寸的增大,必须加大占地面积。提供晶片高储存密度、短导程和安装时间、通过加工和检查设备的结合更好利用占地面积的解决方案必须加以开发。
最好将加工间之间和加工间之内的输送结合到一个统一的系统中。这种系统提供一种直接的、或工具至工具的输送系统。晶片的加工量会增加。工具至工具的输送系统必须设计成可以适应对工厂的可扩张性、灵活性和可量测性的要求。
无需SMIF容器而在一种密封环境中输送单个晶片将具有多个优越性。首先,系统的输送量可以大大提高。不用SMIF容器,制造者可以随意发送晶片,加快一个晶片的加工时间,将测量工位结合到加工工序中。小批量的晶片易于加工,甚至可以通过加工工序进行加速。本发明具有这些优越性。
发明内容
本发明的一个方面是提供一种晶片输送系统,这种输送系统在一个隔离环境中输送单个晶片。
本发明的另一个方面是提供一种晶片输送系统,这种输送系统通过一个穿梭件输送晶片。在一个实施例中,一个晶片穿梭件可以将至少一个晶片输送到一个特定的加工工位,在这里晶片装载到加工工位中。
本发明的另一个方面是提供一种晶片输送系统,这种输送系统输送一个晶片包内的晶片,进一步缩小必须保持在100级环境下的洁净室的大小。在一个实施例中,晶片包在一种隔离的环境内储存晶片,晶片包由一个穿梭装置从工具输送到工具。
本发明的另一个方面是提供一种晶片输送系统,这种输送系统至少局部由一个框架或构架进行滑动安装。在一个实施例中,晶片输送系统可以进行竖直调整,固定到一个支承晶片输送系统的框架上。
本发明的另一个方面是提供一种晶片输送系统,这种输送系统使晶片以洁净的方式进入一个加工工位和从加工工位取出。
本发明的另一个方面是提供一种晶片输送系统,这种输送系统包括一个晶片穿梭件,用于将一个晶片输送到一个特定加工工位和从加工工位输送出去。在一个实施例中,晶片穿梭件支承一个晶片,使得晶片穿梭件到达一个加工工位入口时可以转动,并将晶片输送到加工工位中。
本发明的另一个方面是提供一种晶片输送系统,这种输送系统提供一种“构造块”组件,可以根据加工工位和/或晶片加工设备的具体要求进行配置。
本发明的另一个方面是提供一种晶片输送系统,这种输送系统可以高密度储存晶片和/或缓冲。
本发明的另一个方面是提供一种晶片输送系统,这种输送系统具有一个回路,使空穿梭件可以高速返回到输送系统的开始位置。在一个实施例中,输送系统包括一个在晶片下载到加工工位内之后、一个空穿梭件可以沿其运行的单独回路。
本发明的另一个方面是提供一种晶片输送系统,这种输送系统可以同时在一种隔离环境中输送多个穿梭件。在一个实施例中,晶片输送系统具有多个转位工位,一个穿梭件可以在这里转换到另一条轨道。
本发明的另一个方面是提供一种晶片输送系统,这种输送系统包括一个无源稳定晶片穿梭件。在一个实施例中,晶片穿梭件由一个没有运动部件的磁悬浮系统驱动。如果系统断电,穿梭件由位于穿梭件底部的永久磁铁加以支承。
本发明的另一个方面是提供一种控制系统,用于使晶片穿梭件精确定位在晶片转位位置。在一个实施例中,晶片穿梭件接近晶片转位位置时,一个伺服控制装置取代磁悬浮系统,控制晶片穿梭件的运行。在一个实施例中,一个光学识别系统辅助磁悬浮系统使晶片穿梭件精确定位。
本发明的另一个方面是提供一种晶片输送系统,其中,晶片穿梭件由位于晶片输送容器之外的一个推进装置进行驱动。在一个实施例中,一个磁性推进装置位于晶片输送容器之外。磁性推进装置沿晶片输送容器的底部运行,驱动位于晶片输送容器内的晶片穿梭件。
附图说明
图1是用于整个晶片制造设备中输送晶片的传统输送机系统的平面图;
图2是传统的自动材料处理系统的一个实施例的立体图;
图3是根据图2所示的自动材料处理系统、用于输送SMIF容器的传统输送机系统的立体图;
图4是本发明一个实施例的平面图;
图5是本发明晶片输送容器的第一实施例的立体图;
图6A至6E:图6A是本发明一个实施例的正视图,示出将一个晶片竖直输送到一个加工工具中的一个晶片输送机构;图6B是本发明一个实施例的正视图,示出位于晶片输送容器内的一个晶片输送机构;图6C是本发明一个实施例的正视图,示出位于一个加工工具内的一个晶片输送机构;图6D是本发明一个实施例的正视图,示出位于一个过渡室内的一个晶片输送机构;图6E是本发明一个实施例的正视图,示出位于晶片输送容器内的、从顶部夹持晶片的一个晶片输送机构;
图7是本发明一个实施例的正视图,示出用于沿晶片输送容器推进晶片穿梭件的一个磁悬浮系统的一个实施例;
图8A-8B:图8A是一个磁悬浮晶片处理机的一个实施例的平面图;图8B是图8A所示的处于工作中的晶片处理机的平面图;
图9是具有一个分叉真空区的晶片输送容器的一个实施例的正视剖面图;
图10是本发明一个永久磁铁装置的示意图;
图11A至11C:图11A是一个双层侧向支承磁悬浮输送系统的一个实施例的平面图;图11B是图11A所示的双层侧向支承磁悬浮输送系统的正视剖面图;图11C是图11B所示的截面C的剖面图;
图12A-12B:图12A是本发明另一个实施例的平面图;图12B是一个晶片处理机的一个实施例的平面图,示出晶片处理机的运动范围。
具体实施方式
晶片输送系统100是一种构造工具至工具的晶片输送系统的结构途径。如下所进一步描述的那样,根据一个最佳实施例,晶片输送系统100在一个隔离环境中输送单个晶片。在其它实施例中,晶片输送系统100可以在加工工位之间输送多个晶片、一包晶片或一个晶片盒。
图4示出晶片输送系统100的第一实施例。一般来说,晶片输送系统100设计成起初从一个EFEM 22、然后在室16之间输送一个晶片13,不使晶片13返回到EFEM 22,直至加工工序完成。晶片输送容器102提供一种执行所有这些任务的隔离的洁净环境。如图4所示,根据第一实施例,晶片输送容器102包括至少一个晶片输送管104以及一个固定到每个室16上的过渡室108。如果晶片输送容器102由一个单个晶片输送管104构成,那么,晶片输送管104包括一个与每个室开口17对齐的开口105。
在一个最佳实施例中,晶片输送系统是模块化的,建立一种“构造块”概念或结构方法,以建造一种晶片可以通过的隔离环境。在一个最佳实施例中,晶片输送容器102是模块化的。因此,晶片输送管104和过渡室108是通用的构造块。
为了建立“构造块”结构,位于晶片输送管104的每一端和过渡室108的安装面最好是标准的通用安装面。这样,晶片输送容器102(例如晶片输送管104和过渡室108)可以彼此固定并形成密封。显然,晶片输送容器102可以具有许多其它结构。
室16可以包括晶片加工设备所需的任何类型的加工工位。例如,一个室16可以包括一个检查工具、一个加工工具、一个测量工具、一个对齐工具或一个储料机。在本发明范围内,室16可以包括其它一些加工工位。一个室16也可以作为一个晶片输送室,在不同的晶片输送容器102之间输送晶片(例如,一个晶片可以在两个单独的晶片输送系统100之间进行输送)。与图4所示相比,晶片输送系统100包括的室可多可少。
一个过渡室108安装在每个室16和晶片输送容器102之间。晶片输送容器102、过渡室108和室16固定在一起,形成一个密封系统,通过该系统,晶片可以在EFEM 22和每个室16之间进行输送。过渡室108最好装有至少一个隔离阀30。隔离阀30关闭时,在晶片输送容器102和室16内保持一种隔离的洁净环境。
过渡室108作为一种通过容器,将室16连接到晶片输送容器102上。过渡室108的一个作用是使室16的内部晶片输送容器102的内部隔离开。如图4所示,过渡室108具有一个室安装面118和一个晶片输送容器安装面120。安装面118和120形成与其所安装的表面形成密封。
隔离阀30最好偏置在关闭位置。当一个晶片在一个室16和一个穿梭件112之间输送时,隔离阀30必须开启,使一个晶片通过。保持两个单独的环境具有优越性。例如,如果共用两个环境(例如一个室16的内部和一个晶片输送容器102的内部),那么,整个系统必须关闭,而一个室进行维护保养或修理。同样,室16的内部可以仍处于隔离状态,而一部分晶片输送容器102进行更换或修理。保持两个单独的环境,可以防止室16内的微粒进入晶片输送容器102,或者防止晶片输送容器102内的微粒进入室16。
在一个实施例中,过渡室108可以包括一个晶片输送机构(如后所述),用于在一个室16和一个晶片穿梭件112之间输送一个晶片。在该实施例中,过渡室108最好包括两个隔离阀(见图6D)。
晶片输送系统100可以配有多个晶片穿梭件112。如图4所示,晶片输送系统100包括至少两个晶片穿梭件——穿梭件112a和穿梭件112b。正如后面将要详述的那样,例如,晶片穿梭件112主要在室16之间或者在一个室16和一个EFEM 22之间输送晶片。如果有多个晶片穿梭件同时在一个晶片输送容器102内运行,则晶片输送容器102要包括多个导轨,以便晶片穿梭件可以相互通过,沿不同方向运行。导轨系统将在后面予以详述。
在一个最佳实施例中,所有模块组件固定在一起,形成密封。一个密封的晶片输送容器102将提供一种与晶片加工设备的周围大气条件隔离的环境。如上所述,必须保持一种洁净的环境,尽量减少可能与一个晶片13接触的微粒。整个晶片加工设备保持一种洁净的环境,非常昂贵,而且没有必要。与一种SMIF容器类似的是,晶片输送容器102的内部容积是整个晶片加工设备的一小部分。较小的空间容积易于保持一种洁净的环境。
可以在密封的晶片输送容器102内形成和保持不同类型的环境。例如,可以存在(但不限于)真空、氮气、过滤空气以及其它气体的环境。晶片输送容器102必须具备某种方法保持和调节晶片输送容器102内的环境。在一个实施例中,每个晶片输送管104包括一条输入/输出线116(见图5)。如果晶片输送容器102是真空的,那么,输入/输出线116提供一个保持真空的封闭系统。如果晶片输送容器102充以一种气体、例如氮气,则输入/输出线116提供一个保持气体压力的封闭系统。这些封闭系统要求配置一个监控和调节环境的遥控监控系统。监控和调节真空或气体环境的系统是公知的,无需进一步描述。只要传感器影响晶片输送机构112的运行,传感器可以安装在晶片输送容器102内的任何位置。
图5示出线性输送系统100的第二实施例。在该实施例中,晶片输送容器102的组件包括一个晶片输送管104、一个晶片输送室106和一个过渡室108。如图5所示,晶片输送容器102的每个组件具有矩形横截面。在本发明范围内,每个组件可以具有其它横截面构形,例如(但不限于)圆形、方形或卵形。如图所示,每个晶片输送管104具有线性截面或结构。晶片输送管104可以是非线性的。例如,晶片输送管104也可以具有曲线通道或结构。
不管采用什么外形或材料,每个晶片输送管104最好是具有两个开口端的一个中空容器。晶片输送管104的两端最好具有相同的安装面105。在一个实施例中,安装面105是一个固定到晶片输送室106的一侧上的凸缘。安装面105也可以是其它结构,并且用其它方法(例如焊接)安装到晶片输送室106上。
晶片输送室106最好具有多个开口110。每个开口110必须大得足以使一个穿梭件112在支承一个晶片13的同时无阻碍地通过开口110。不必使用所有开口110,视晶片输送容器102的构形而定。因此,晶片输送室106是模块化的,使制造者能够定制晶片输送容器102的设计。例如,如图5所示,开口110a(未示出)、110b(未示出)和110c用于在室16a和16b之间输送一个晶片。开口110d未封闭,仅是为了示出开口110c。在这种构形中,开口110d通常具有一个板固定到凸缘105上,形成密封,以防空气进入晶片输送容器102内。如果具有多个开口110的晶片输送室106使晶片输送室106变成一个“中枢”,那么,可以由一个单个结构产生多个路径。如图5所示,晶片输送室包括四个开口。在本发明范围内,每个晶片输送室106可以包括或多或少的开口。
晶片输送室106可以包括一个能够在穿梭件112和室16之间输送一个晶片的晶片输送机构。每个晶片输送室106配有两个晶片输送机构安装面114。图5所示的装配好的晶片输送容器102示出,安装面114位于晶片输送室106的顶部表面上。在该实施例中,晶片输送机构从顶部夹持晶片13。晶片输送机构可以包括任何类型的公知自动装置,无需作进一步描述。晶片输送室106也可以包括位于底部表面上的安装面114。在这种构形中,一个自动装置从底部表面夹持晶片13。
在一个最佳实施例中,一个单个晶片由一个晶片穿梭件112输送通过晶片输送容器102。穿梭件112可以以几种不同的方法支承晶片13。例如,晶片穿梭件可以包括多个晶片支承件121,由位于晶片13的底部表面上的一个边缘禁止区支承晶片13。另一个方法是,穿梭件112可以用一个真空夹支承晶片。支承一个晶片13的其它方法是公知的,可以结合到穿梭件112中。
如图5所示,穿梭件112支承和输送一个单个晶片13。穿梭件112可以同时输送多个晶片,输送一个晶片包(如后所述),或者输送一个晶片容器。穿梭件112可以沿竖直或水平方向支承一个或多个晶片13。
图6A-6E示出晶片输送系统100的几种构形。图6A-6E并非用于穷举地列出可能的构形。
图6A示出在穿梭件112和一个室16之间输送一个晶片的一个竖直举升输送系统。在该实施例中,室16位于晶片输送容器102之上,由一个过渡室28分开。当穿梭件112接近室16时,晶片13定位在一个竖直举升机构250之上。一个隔离阀30开启后,竖直举升机构250夹持晶片13,将晶片13置于室16中。隔离阀30最好在晶片13加工时予以关闭,以便在室16和晶片输送容器102之间保持单独的环境。当晶片加工完成时,隔离阀30开启,使竖直举升机构250从室16取回晶片13,将晶片13放回到穿梭件112上。然后,晶片13可以输送到另一个室16。
图6B示出晶片输送系统100的另一个实施例。在该实施例中,室16和晶片输送系统100定向成晶片13可以在室16和晶片输送容器102之间以基本呈水平移动的方式进行输送。过渡室28位于室16和晶片输送容器102之间。当穿梭件112靠近室16完全停下时,一个隔离阀30开启。一个位于晶片输送容器102内的水平输送机构250夹持晶片13,将晶片13置于室16内。晶片13置于室16中之后,隔离阀30关闭,水平输送机构250缩回到晶片输送容器102中。当晶片加工完成时,水平输送机构250从室16取回晶片13,将晶片13放回到穿梭件112上。
图6C示出晶片输送系统100的另一个实施例。在该实施例中,一个晶片输送机构250位于室16内。当穿梭件112完全停止时,一个隔离阀30开启,使晶片输送机构250取回晶片13,将晶片13置于室16内。操作类似于图6B所示的实施例。
图6D示出晶片输送系统100的另一个实施例。其中,晶片输送机构250位于过渡室108内。晶片13以类似于图6B-6C所示的实施例中的方法在室16和晶片输送容器102之间进行输送。但是,晶片输送机构250位于过渡室28内。晶片输送机构250可以通过沿禁止区的边缘夹持晶片13,或者可以配有一个重力保持机构。
在该实施例中,一个第一隔离阀30a位于室16附近,一个第二隔离阀30b位于晶片输送容器102附近。操作中,一个晶片穿梭件112将一个晶片13输送到第二隔离阀30b附近。然后,第二隔离阀30b开启,晶片输送机构将晶片13从晶片穿梭件112输送到过渡室108中。然后,第二隔离阀30b关闭。此时,过渡室108最好抽成真空或充以洁净气体,以确保过渡室108内环境洁净,没有污染微粒。然后,第一隔离阀30a开启,晶片输送机构将晶片13输送到室16中。然后,第二隔离阀30b关闭。晶片输送机构250返回其备用位置、完全位于过渡室108内之后,第一隔离阀30a关闭。在本发明范围内,可以采用其它晶片部件固定到过渡室108上,例如(但不限于)一个储料机。
图6E示出一个晶片输送机构250,这是一个顶部夹持输送机构。该实施例从顶部夹持晶片13。从顶部夹持一个晶片的技术是公知的。例如,Zhang等人的题为《晶片穿梭件系统》的美国专利US5947802提出一种用于将一个半导体晶片从一个第一位置移动到一个第二位置的水平方向输送机构。
在这里提出的任何实施例中,穿梭件112都可以以几种不同的方法推进通过晶片输送容器102。线性输送系统150的一个最佳实施例是一种磁悬浮系统。磁悬浮系统采用磁铁使穿梭件112悬浮,磁铁可以位于晶片输送容器102之内或之外。
磁悬浮系统比采用钢轮钢轨的传统输送系统具有一些优越性。因为磁悬浮物体不接触导轨,所以磁悬浮系统克服轮式车辆的主要局限性:由于要保持导轨精确校准,以免高速运行时的过度振动和导轨损坏,因此成本高。由于磁悬浮车不接触导轨,因此还具有其它优越性:快速加速和制动;爬坡能力强;降低噪音;节能。
在一个实施例中,穿梭件112包括一个线性驱动件152和两个导向件154。
图9示出磁悬浮系统的另一个实施例。在该实施例中,晶片输送容器202是一种分叉容器。晶片输送容器202包括一个上室204和一个下室206。晶片13在上室204内运行。下室206由一个壁205与上室204分开。由于下述一些原因,壁205最好是一个薄障。例如,上室204内的环境可以是真空环境、下室206最好是一定程度的真空,以减小对壁205的压力。
在一个实施例中,晶片穿梭件212包括晶片支承件214,晶片支承件214位于一个悬臂末端操纵装置支承件230上。晶片支承件214最好沿晶片13底部表面上的一个禁止区支承晶片13。穿梭件212还包括永久磁铁,这些永久磁铁位于穿梭件212的底部上。穿梭件212的其它构形均在本发明的范围内。
一个驱动机构220在下室206内运行。在一个实施例中,动机构220包括一个主体221,沿线性轴承座224运行。一个旋转驱动件226安装在主体221上。一个磁铁支承平台227固定在旋转驱动件226上。磁铁支承平台227支承磁铁228。因为磁铁228与穿梭件212上的永久磁铁216相斥,所以穿梭件212进行悬浮。这样,壁205必须薄得足以使永久磁铁216和磁铁228可以形成磁偶合。
穿梭件212由驱动机构220从下面悬浮。与许多传统磁悬浮系统不同的是,该实施例不需要外部移动车。驱动机构220而是由固定线圈件222采用变化电控输入进行举升和推进。除了旋转驱动件226之外,磁悬浮系统没有微粒产生,也没有运动部件。
在分叉的晶片输送容器202构形中,磁悬浮系统提供穿梭件212的无源稳定支承件,即没有悬浮所需的控制反馈。位于穿梭件212上的永久磁铁216同位于穿梭件212上的永久磁铁228彼此磁性相斥。因此,穿梭件212由于同极的相斥力而被举升,但是保持在局部最小磁通密度槽中。这使磁悬浮系统安全可靠,易于精确控制穿梭件212。如果晶片输送系统200断电,穿梭件将慢速停止,仍保持悬浮在壁205之上。可以在具有永久磁铁的构形中使用控制反馈和有源电磁铁,以提高穿梭件悬浮的稳固性,更好地控制穿梭件的定位。
旋转驱动件226可以沿顺时针方向或反时针方向转动。图10是穿梭件212的永久磁铁216和驱动机构220的永久磁铁228之间磁偶合的一个实施例的平面图,穿梭件212的永久磁铁216和驱动机构220的永久磁铁228始终由壁205保持分开。穿梭件212的每个永久磁铁216的中心最好位于一个圆C内。圆C由一条基本通过三个永久磁铁228的中心的假想连续线加以限定。因此,当旋转驱动件226顺时针方向转动时(见图10),磁铁216和228之间的磁偶合使穿梭件212沿顺时针方向转动。
悬臂末端操纵装置支承件230使穿梭件212将一个晶片13插入到一个室16中,并且从一个室取一个晶片13。穿梭件212的线性移动和转动必须加以协调,不使穿梭件212或晶片13与晶片输送容器202接触。图8B示出具有一个悬臂末端操纵装置支承件230的穿梭件212的移动情况。
图5-7示出磁悬浮系统和穿梭件的另一个实施例。在该实施例中,穿梭件系统使用线性驱动电机,位置和速度传感器装置位于晶片输送容器102内。穿梭件112在组件、晶片锁紧机械、工具传送机构和储料零件方面灵活减少。如图7所示,线性感应电机222和位置传感器224在线性驱动部件206a、206b和磁导向部件208a、208b之间保持稳定的分开距离。穿梭件112在晶片输送容器102内沿轨道定速运行时,使通过每个悬浮线圈220的电流交流,继续不断地改变线圈磁极性。每个磁铁通过,电流使每个悬浮线圈220改变其极性(N至S:S至N)。当穿梭件的磁铁调整成N-S或S-N时,结果是向前吸引。当穿梭件的磁铁调整成N-N或S-S时,结果是向前排斥。为使穿梭件112加速或减速,就加大或减小供给线性感应电机222的电功率。这改变磁波在穿梭件112下运行的速度。系统使穿梭件112在气垫上运行。因此,穿梭件112不会由于同导轨摩擦而受限制。穿梭件112也最好用轻型材料制成。
线性输送系统200包括多个交流电磁列,交流电磁列包括交流电磁铁。磁铁排斥穿梭件线性驱动部件和导向部件206a、208a。这种交流电磁磁铁列作为一种线性电机。在线性电机两侧是用于从电机顶部表面测定晶片悬浮高度的高度传感器,还有用于测定穿梭件的输送速度的位置传感器。改变的磁通量强制涡流电流流通,对穿梭件112施加作用力。在隔离的环境中,磁悬浮系统不产生任何微粒,不污染输送中的晶片。
图11A-11C示出一种双层侧向支承磁悬浮穿梭输送系统300。一种竖直分层输送隧道的优越性是提供穿梭件312的双向运行。竖直层叠梭轨304节省占地空间,提供晶片按规定路线输送的多功能能力。导轨竖直层叠,还可以使穿梭件312在层间运行,以免积聚在一个晶片输送容器302的端部,可以有效地按规定路线输送晶片。晶片可以随意输送到测量工位或检查工位,测量工位或检查工位根据不同的设计(小批量)调节加工工序的变化。配置一个穿梭件312可以运行的导轨,可以使数量大的晶片分路。
与图9所示的实施例类似的是,图11A-11C所示的该实施例包括无源稳定侧向磁铁轨304,如果系统断电,会使穿梭件312保持在晶片输送容器302内的一个预定竖直层。推进线圈314在停车条件下短路,即使穿梭件312快速运行,也可以对穿梭件312进行无源动态制动,使之安全停车。在真空中可以无微粒产生或无故障地进行极其快速的晶片输送。
图11B示出一种包括一个第一磁铁轨304a和一个第二磁铁轨304b的晶片输送容器302。导轨竖直“层叠”,间隔成穿梭件312a所载的一个晶片13在穿梭件312b从旁边通过时不受其影响。一个晶片输送容器302可以具有任意数量的磁铁轨304。每个磁铁轨304必须与一个相邻的磁铁轨304间隔成穿梭件312不堵塞一个通过的穿梭件312的通路。实际上,一个单个晶片输送容器302内的竖直层叠导轨形成一个高速通道系统,穿梭件312可以在整个晶片加工设备中沿其运行。穿梭件312可以具有任意构形,在基本呈水平或竖直的方向上支承一个晶片,包括前述的穿梭件212。
例如,埋置磁铁轨304可使一个简单波纹管式举升机构(未示出)在磁铁轨304a和304b之间升降一个穿梭件312。这种系统在动态晶片输送时产生导轨冲击。可以采用开路支承和推进,无需复杂昂贵的位置反馈机构。穿梭件312在室16之间运行时不要求精确运行。
但是,一个晶片穿梭件312在晶片输送容器302内晶片输送工位的精确位置是必须的。例如,当一个晶片穿梭件312在一个室16附近停止时,晶片13的位置和室16内一个自动装置臂必须配合成自动装置臂可以夹持晶片,将晶片输送到室16中。为此,有几种方法。例如,销定位必要时可以使一个穿梭件312精确定位在工位处,或者可以在工位使用局部闭路控制。
在晶片输送系统300的一个最佳实施例中,输送穿梭件312不使用晶片输送容器302的顶部表面303和底部表面301。而是顶部表面303和底部表面301可以由无干涉臂或穿梭件竖直举升机构占据。
图12A-12B示出一种双轴无干涉臂(hand-off arm)400的一个实施例,当穿梭件312停止时,双轴无干涉臂400可以位于穿梭件312之下。操作中,双轴无干涉臂400可以竖直移动,从一个穿梭件312举升一个晶片13,进行转动而将晶片13置于一个室16中。图12A示出一个晶片穿梭件的另一个实施例。晶片穿梭件412的形状使得无干涉臂400可以向上通过穿梭件412的主体407,夹持晶片,以及从穿梭件412取晶片。无干涉臂400也可以将一个晶片13置于穿梭件412上。操作中,无干涉臂400向下将晶片13放回到晶片支承件413上,并继续运行通过穿梭件412的主体407,直至无干涉臂400到达备用位置。备用位置位于穿梭件412之下,这样,当无干涉臂400处于备用位置时,一个穿梭件412可以从无干涉臂400上面通过。
如图11B所示,侧向磁铁轨304最好安装到晶片输送容器302的内壁中。在本发明范围内,侧向磁铁轨304可以安装在晶片输送容器302内。
在一个最佳实施例中,穿梭件312包括举升磁铁322和推进磁铁324。举升磁铁322与磁铁轨304形成磁偶合,穿梭件312因而被悬浮。推进磁铁324位于晶片输送容器302内的推进线圈314附近。晶片穿梭件312由推进线圈314产生的磁力推进通过晶片输送容器302。例如,一个三相线性电机可以在推进线圈314中产生磁力。
晶片输送系统300可以包括晶片转位工位(未示出),这些转位工位用于不同的磁铁轨304之间的竖直输送穿梭件312上。开路或闭路举升机构(未示出)可以使穿梭件312在磁铁轨304之间进行竖直运行。
上述的任何晶片输送系统最好包括一个检查工位,当晶片13运行通过晶片输送系统时读取晶片13的字母数字编码或条形码。多数传统储料系统要求晶片在晶片的边缘上具有字母数字编码或条形码。为了读取字母数字编码或条形码,晶片必须转动,以发现与字母数字编码或条形码具有公知关系的标记。该过程要求晶片停在晶片输送系统内。例如,一种读取晶片上标记的方法公开于美国专利US5831738,其名称为《观测半导体晶片上识别标记的装置和方法》,其发明人是Hine,这里作为参考文献。
最好不要使晶片13停在晶片输送容器102内就能读取识别标记。在一个最佳实施例中,一个检查工位包括一个传感器装置,识别晶片输送系统100内特定路线上和储存点的晶片。例如,识别标记可以置于晶片背面的中心线上。晶片可以使用一种先进的标记方法,无需预先校准即可识别,有必要使用现在的以字母数字编码为基础的视野计。另一种方法是,一种雪花标记可以置于晶片背面中央。这种标记可以从任何角度读取,具有高可读性,无需使穿梭件112停止。
以上关于本发明最佳实施例的描述并非唯一的,并非用于使本发明限于所述的实施例。显然,许多改动和变化对本领域技术人员来说是显而易见的。实施例的选择和描述是为了更好地说明本发明的原理及其实际应用,从而使本领域技术人员理解本发明各种实施例、以及适于特殊用途的各种改进。本发明的范围由以下的权利要求书加以限定。

Claims (4)

1.一种晶片输送系统,用于在加工工位之间输送晶片,这种输送系统包括:
一个晶片输送装置;
一个晶片输送容器,具有多个导轨,所述晶片输送装置可以沿导轨运行;
一个过渡室,位于加工工具和所述晶片输送容器之间,包括一个隔离阀;
一个驱动装置,用于控制所述晶片输送装置沿所述导轨的运动。
2.根据权利要求1所述的晶片输送系统,其特征在于,所述导轨是磁导轨。
3.根据权利要求1所述的晶片输送系统,其特征在于,所述晶片输送装置包括一个举升磁铁和一个推进磁铁。
4.根据权利要求1所述的晶片输送系统,其特征在于,所述举升磁铁与所述磁导轨形成磁偶合。
CNB028193121A 2001-08-31 2002-09-03 通用模块化晶片输送系统 Expired - Fee Related CN1288714C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US31672201P 2001-08-31 2001-08-31
US60/316,722 2001-08-31

Publications (2)

Publication Number Publication Date
CN1561535A true CN1561535A (zh) 2005-01-05
CN1288714C CN1288714C (zh) 2006-12-06

Family

ID=23230354

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2007100079329A Expired - Lifetime CN1996552B (zh) 2001-08-31 2002-08-30 晶片机
CNA2007100079333A Pending CN1996553A (zh) 2001-08-31 2002-08-30 用于半导体材料处理系统的一体化机架
CNB028193121A Expired - Fee Related CN1288714C (zh) 2001-08-31 2002-09-03 通用模块化晶片输送系统

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN2007100079329A Expired - Lifetime CN1996552B (zh) 2001-08-31 2002-08-30 晶片机
CNA2007100079333A Pending CN1996553A (zh) 2001-08-31 2002-08-30 用于半导体材料处理系统的一体化机架

Country Status (6)

Country Link
US (3) US7293950B2 (zh)
JP (1) JP2005508085A (zh)
KR (1) KR20050026371A (zh)
CN (3) CN1996552B (zh)
TW (1) TWI272665B (zh)
WO (1) WO2003038869A2 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102569016A (zh) * 2010-12-28 2012-07-11 株式会社日立高新技术 真空处理装置
CN103238379A (zh) * 2010-10-11 2013-08-07 Ekra自动化系统有限公司 特别用于处理和/或检查基底的加工机
CN104894527A (zh) * 2015-06-11 2015-09-09 科瑞自动化技术(苏州)有限公司 硬盘盘片真空溅射镀膜夹持工装
CN106103011A (zh) * 2014-01-17 2016-11-09 布鲁克斯自动化公司 衬底运输设备
CN106915609A (zh) * 2015-11-17 2017-07-04 株式会社大福 容器输送设备
CN112654426A (zh) * 2018-07-17 2021-04-13 鲍施+施特勒贝尔机械伊尔斯霍芬有限两合公司 运输系统
CN112736000A (zh) * 2020-12-31 2021-04-30 上海广川科技有限公司 一种真空传送装置及传送方法
CN113707585A (zh) * 2021-08-23 2021-11-26 上海引万光电科技有限公司 一种磁悬浮式衬底传送腔及传送方法
CN114025926A (zh) * 2019-02-14 2022-02-08 柿子技术公司 模块化材料处理机器人平台
CN115315795A (zh) * 2020-03-20 2022-11-08 应用材料公司 基板传送系统和基板传送系统的使用方法

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6918731B2 (en) 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7575406B2 (en) 2002-07-22 2009-08-18 Brooks Automation, Inc. Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
FR2844258B1 (fr) * 2002-09-06 2005-06-03 Recif Sa Systeme de transport et stockage de conteneurs de plaques de semi-conducteur, et mecanisme de transfert
FR2851946B1 (fr) * 2003-03-05 2005-05-27 Airbus France Dispositif pour le deplacement d'une machine
JP4648190B2 (ja) * 2003-03-28 2011-03-09 平田機工株式会社 基板搬送システム
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8267632B2 (en) * 2003-11-10 2012-09-18 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8313277B2 (en) * 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
JP4122521B2 (ja) * 2005-01-17 2008-07-23 村田機械株式会社 天井走行車システム
US9457442B2 (en) * 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US9339900B2 (en) * 2005-08-18 2016-05-17 Futrfab, Inc. Apparatus to support a cleanspace fabricator
WO2006136187A1 (de) * 2005-06-22 2006-12-28 Applied Materials Gmbh & Co. Kg Vorrichtung für die sukzessive beschichtung mehrerer substrate
KR20070008192A (ko) * 2005-07-13 2007-01-17 삼성전자주식회사 평판 표시 장치 제조용 반송 시스템
US7826924B2 (en) * 2006-05-10 2010-11-02 Vinpower, Inc. Standalone intelligent autoloader with modularization architectures and self-adaptive motion control ability for mass optical disks duplication
US8398355B2 (en) 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7925380B2 (en) * 2006-07-19 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated transportation control for wafer fabrication facility
US8293066B2 (en) 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
DE102006054846C5 (de) * 2006-11-20 2012-05-03 Permatecs Gmbh Produktionsanlage zur Herstellung von Solarzellen im Inline-Verfahren, sowie Verfahren zur Integration eines Batch-Prozesses in eine mehrspurige Inline-Produktionsanlage für Solarzellen
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US20090022572A1 (en) * 2007-07-19 2009-01-22 Thomas Pass Cluster tool with a linear source
US20090065995A1 (en) * 2007-09-11 2009-03-12 Atmel Corporation Ambidexturous Shuttle Spoon
JP5247094B2 (ja) * 2007-09-14 2013-07-24 インテヴァック インコーポレイテッド 基板処理システム
JP5330721B2 (ja) * 2007-10-23 2013-10-30 オルボテック エルティ ソラー,エルエルシー 処理装置および処理方法
JP5419384B2 (ja) * 2008-05-20 2014-02-19 東京エレクトロン株式会社 真空処理装置
EP2304803A1 (en) * 2008-06-11 2011-04-06 Solar Implant Technologies Inc. Solar cell fabrication using implantation
KR101305265B1 (ko) * 2008-07-23 2013-09-06 가부시키가이샤 다이후쿠 물품 반송 설비에 있어서의 학습 장치 및 학습 방법
JP5470770B2 (ja) * 2008-08-07 2014-04-16 シンフォニアテクノロジー株式会社 真空処理装置
DE502008002888D1 (de) * 2008-09-09 2011-04-28 Siemens Ag Transfervorrichtung mit dynamisch veränderbaren Antriebsbereichen
EP2187433B1 (en) * 2008-11-12 2018-10-24 Intevac, Inc. Apparatus and method for transporting and processing substrates
CN101740439B (zh) * 2008-11-14 2014-05-07 布鲁克斯自动技术公司 用于传输和处理衬底的装置和方法
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US8317934B2 (en) * 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
DE102009038756A1 (de) * 2009-05-28 2010-12-09 Semilev Gmbh Vorrichtung zur partikelfreien Handhabung von Substraten
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
EP2293321A1 (en) * 2009-09-08 2011-03-09 Applied Materials, Inc. Mechanical modularity chambers
TWI436831B (zh) 2009-12-10 2014-05-11 Orbotech Lt Solar Llc 真空處理裝置之噴灑頭總成
TWI377162B (en) * 2010-04-19 2012-11-21 Gudeng Prec Industral Co Ltd Reticle pod
TWI451521B (zh) * 2010-06-21 2014-09-01 Semes Co Ltd 基板處理設備及基板處理方法
SG180034A1 (en) * 2010-10-14 2012-05-30 Rokko Ventures Pte Ltd System and method for offloading ic units
CN102054910B (zh) * 2010-11-19 2013-07-31 理想能源设备(上海)有限公司 Led芯片工艺集成系统及其处理方法
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9837294B2 (en) 2011-09-16 2017-12-05 Persimmon Technologies Corporation Wafer transport system
SG10201508582WA (en) * 2011-11-08 2015-11-27 Intevac Inc Substrate processing system and method
CN104094394A (zh) * 2012-02-08 2014-10-08 应用材料公司 用于分散的基板的具有蜂巢式结构的动态负载锁定
US20130218518A1 (en) * 2012-02-21 2013-08-22 International Business Machines Corporation Automated, three dimensional mappable environmental sampling system and methods of use
JP2014036025A (ja) * 2012-08-07 2014-02-24 Hitachi High-Technologies Corp 真空処理装置または真空処理装置の運転方法
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US20140119858A1 (en) * 2012-10-31 2014-05-01 Sandisk 3D Llc Semiconductor Device Manufacturing Line
MY178951A (en) 2012-12-19 2020-10-23 Intevac Inc Grid for plasma ion implant
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
TWI560125B (en) 2013-10-15 2016-12-01 Inotera Memories Inc Overhead hoist transport system
CN103523555A (zh) * 2013-10-31 2014-01-22 京东方科技集团股份有限公司 一种基板反转装置
JP6215785B2 (ja) * 2014-06-30 2017-10-18 ファナック株式会社 ワーク搬送システム
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
EP3016136B1 (en) * 2014-10-27 2021-07-21 Robert Bosch GmbH Transport system with magnetically driven transport elements and according transportation method
EP3029723B1 (en) * 2014-12-05 2020-09-16 Robert Bosch GmbH Transport device and transport method for transporting a fragile object
TWI732285B (zh) 2015-01-23 2021-07-01 美商應用材料股份有限公司 半導體處理設備
WO2016131190A1 (en) * 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
AT517532B1 (de) * 2015-07-28 2017-06-15 Bernecker + Rainer Industrie-Elektronik Ges M B H Aufnahme
US20170194181A1 (en) * 2016-01-04 2017-07-06 Micron Technology, Inc. Overhead traveling vehicle, transportation system with the same, and method of operating the same
CN105483619B (zh) * 2016-01-26 2018-01-02 京东方科技集团股份有限公司 移动靶镀膜装置及镀膜方法
US9633878B1 (en) * 2016-04-21 2017-04-25 Texas Instuments Incorporated Conveyor apparatus and method for transporting leadframe
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10014201B1 (en) 2016-12-16 2018-07-03 Solarcity Corporation Magnetic wafer gripper
WO2019208337A1 (ja) * 2018-04-27 2019-10-31 東京エレクトロン株式会社 基板処理システム、および基板処理方法
KR101892129B1 (ko) * 2018-05-25 2018-10-04 주식회사 디엠티 진공 챔버 이송 장치
CN109192687B (zh) * 2018-08-16 2022-05-27 北京华卓精科科技股份有限公司 一种传输硅片的机械装置
CN112840447A (zh) * 2018-10-04 2021-05-25 应用材料公司 运输系统
JP7261000B2 (ja) * 2018-12-03 2023-04-19 キヤノン株式会社 容器、処理装置、異物除去方法、および物品の製造方法
WO2020126040A1 (en) * 2018-12-21 2020-06-25 Applied Materials, Inc. Magnetic levitation system, carrier for a magnetic levitation system, vacuum system, and method of transporting a carrier
WO2020147929A1 (en) * 2019-01-15 2020-07-23 Applied Materials, Inc. Transport system for transporting a carrier, vacuum processing system and method for transportation of a carrier
KR20220010710A (ko) 2019-02-14 2022-01-26 퍼시몬 테크놀로지스 코포레이션 기계적으로 안내되는 자재 취급 로봇
EP3699953A1 (en) * 2019-02-21 2020-08-26 FEI Company Transport apparatus and method for transferring a sample between two devices, and system for sample manipulation
KR102211252B1 (ko) * 2019-06-26 2021-02-04 세메스 주식회사 기판 처리 장치
US20220346294A1 (en) * 2019-09-20 2022-10-27 Fuji Corporation Storage
US11049740B1 (en) * 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
US11476139B2 (en) 2020-02-20 2022-10-18 Brooks Automation Us, Llc Substrate process apparatus
US11521870B2 (en) 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
DE102020212223A1 (de) * 2020-09-29 2022-03-31 Robert Bosch Gesellschaft mit beschränkter Haftung Berührungslose Beförderungsvorrichtung
JP2022142568A (ja) * 2021-03-16 2022-09-30 東京エレクトロン株式会社 基板を処理する装置及び基板を搬送する方法
DE102021202915A1 (de) * 2021-03-25 2022-09-29 Robert Bosch Gesellschaft mit beschränkter Haftung Berührungslose Beförderungsvorrichtung
US20230085667A1 (en) * 2021-09-22 2023-03-23 Applied Materials, Inc. Substrate transfer systems and methods of use thereof
DE102021211428A1 (de) 2021-10-11 2023-04-13 Robert Bosch Gesellschaft mit beschränkter Haftung Anlage zur Durchführung von Arbeitsprozessen an Werkstücken oder dgl.

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4604020A (en) * 1984-03-26 1986-08-05 Nanometrics Incorporated Integrated circuit wafer handling system
JPS6162739A (ja) * 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
US4624617A (en) * 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
US4826360A (en) * 1986-03-10 1989-05-02 Shimizu Construction Co., Ltd. Transfer system in a clean room
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4779538A (en) * 1986-12-26 1988-10-25 Shunsuke Fujiwara Levitation-propulsion mechanism for inductive repulsion type magnetically levitated railway
US4805761A (en) * 1987-07-14 1989-02-21 Totsch John W Magnetic conveyor system for transporting wafers
US4913059A (en) * 1988-02-25 1990-04-03 Railway Technical Research Institute Levitation, propulsion and guidance mechanism for inductive repulsion-type magnetically levitated railway
JPH0496204A (ja) * 1990-08-06 1992-03-27 Toshiba Corp 超電導磁気浮上車の地上コイル
US5180048A (en) * 1990-10-12 1993-01-19 Mitsubishi Jukogyo Kabushiki Kaisha Magnetic levitating transportation system
US20020040657A1 (en) * 1990-10-23 2002-04-11 Kent Davey Pulsed linear induction motors for Maglev applications
US5215420A (en) * 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
JP2867194B2 (ja) 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JP3030160B2 (ja) 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
KR100230694B1 (ko) * 1992-05-18 1999-11-15 다카시마 히로시 기판세정처리장치
KR940006241A (ko) 1992-06-05 1994-03-23 이노우에 아키라 기판이재장치 및 이재방법
JPH07508617A (ja) * 1992-06-26 1995-09-21 マティリアルズ リサーチ コーポレイション ウエハ処理工程ラインのための輸送装置
US5641054A (en) * 1992-07-07 1997-06-24 Ebara Corporation Magnetic levitation conveyor apparatus
JP3251654B2 (ja) * 1992-08-25 2002-01-28 株式会社東芝 磁気力により物体を浮上及び案内するシステム
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
DE69636880T2 (de) * 1995-12-28 2007-11-15 Taiyo Nippon Sanso Corporation Verfahren und Anordnung zum Transport von Substratscheiben
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
TW317644B (zh) * 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
US6091498A (en) 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
TW376542B (en) 1997-03-04 1999-12-11 Canon Kk Exposure unit, exposure system and device manufacturing method
WO1999028952A2 (en) 1997-11-28 1999-06-10 Fortrend Engineering Corporation Wafer-mapping load port interface
JPH11220001A (ja) 1998-01-30 1999-08-10 Hitachi Ltd 半導体基板処理装置におけるロードポート及びロードポート搬送台車
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US7269475B1 (en) 1998-03-02 2007-09-11 Xerox Corporation Distributed control system with global contraints for controlling object motion with smart matter
US6039316A (en) 1998-03-02 2000-03-21 Xerox Corporation Multi-hierarchical control system for controlling object motion with smart matter
FR2778496B1 (fr) 1998-05-05 2002-04-19 Recif Sa Procede et dispositif de changement de position d'une plaque de semi-conducteur
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6220808B1 (en) 1998-07-13 2001-04-24 Asyst Technologies, Inc. Ergonomic, variable size, bottom opening system compatible with a vertical interface
US6281516B1 (en) 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
JP2000286318A (ja) * 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
JP2000294615A (ja) * 1999-04-09 2000-10-20 Miyagi Oki Electric Co Ltd 搬送システムおよび搬送方法
JP4230642B2 (ja) * 1999-07-08 2009-02-25 株式会社荏原製作所 基板搬送治具及び基板搬送装置
JP2001031211A (ja) 1999-07-26 2001-02-06 Murata Mach Ltd 搬送システム
AU6763000A (en) 1999-08-11 2001-03-05 Multilevel Metals, Inc. Load lock system for foups
US6305500B1 (en) 1999-08-25 2001-10-23 Maxtor Corporation Material delivery system for clean room-like environments
US6354781B1 (en) * 1999-11-01 2002-03-12 Chartered Semiconductor Manufacturing Company Semiconductor manufacturing system
US6298685B1 (en) * 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6271606B1 (en) * 1999-12-23 2001-08-07 Nikon Corporation Driving motors attached to a stage that are magnetically coupled through a chamber
JP2002026108A (ja) * 2000-07-12 2002-01-25 Tokyo Electron Ltd 被処理体の移載機構、処理システム及び移載機構の使用方法
US6629503B2 (en) * 2001-06-29 2003-10-07 The Regents Of The University Of California Inductrack configuration
US6824613B2 (en) * 2002-05-30 2004-11-30 Ebara Corporation Substrate processing apparatus
JP4767641B2 (ja) * 2005-09-27 2011-09-07 大日本スクリーン製造株式会社 基板処理装置および基板搬送方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103238379A (zh) * 2010-10-11 2013-08-07 Ekra自动化系统有限公司 特别用于处理和/或检查基底的加工机
CN102569016A (zh) * 2010-12-28 2012-07-11 株式会社日立高新技术 真空处理装置
CN102569016B (zh) * 2010-12-28 2015-04-15 株式会社日立高新技术 真空处理装置
CN106103011A (zh) * 2014-01-17 2016-11-09 布鲁克斯自动化公司 衬底运输设备
US11273558B2 (en) 2014-01-17 2022-03-15 Brooks Automation Us, Llc Substrate transport apparatus
CN104894527A (zh) * 2015-06-11 2015-09-09 科瑞自动化技术(苏州)有限公司 硬盘盘片真空溅射镀膜夹持工装
CN106915609B (zh) * 2015-11-17 2020-11-20 株式会社大福 容器输送设备
CN106915609A (zh) * 2015-11-17 2017-07-04 株式会社大福 容器输送设备
CN112654426A (zh) * 2018-07-17 2021-04-13 鲍施+施特勒贝尔机械伊尔斯霍芬有限两合公司 运输系统
CN112654426B (zh) * 2018-07-17 2022-11-01 鲍施+施特勒贝尔机械伊尔斯霍芬有限两合公司 运输系统
CN114025926A (zh) * 2019-02-14 2022-02-08 柿子技术公司 模块化材料处理机器人平台
CN115315795A (zh) * 2020-03-20 2022-11-08 应用材料公司 基板传送系统和基板传送系统的使用方法
CN115315795B (zh) * 2020-03-20 2024-04-05 应用材料公司 基板传送系统和基板传送系统的使用方法
CN112736000A (zh) * 2020-12-31 2021-04-30 上海广川科技有限公司 一种真空传送装置及传送方法
CN113707585A (zh) * 2021-08-23 2021-11-26 上海引万光电科技有限公司 一种磁悬浮式衬底传送腔及传送方法

Also Published As

Publication number Publication date
CN1996552A (zh) 2007-07-11
US7293950B2 (en) 2007-11-13
US8851817B2 (en) 2014-10-07
WO2003038869A2 (en) 2003-05-08
CN1288714C (zh) 2006-12-06
US7841820B2 (en) 2010-11-30
WO2003038869A9 (en) 2004-02-26
US20120213614A1 (en) 2012-08-23
CN1996553A (zh) 2007-07-11
US20080101892A1 (en) 2008-05-01
WO2003038869A3 (en) 2003-11-27
CN1996552B (zh) 2012-09-05
US20030129045A1 (en) 2003-07-10
TWI272665B (en) 2007-02-01
JP2005508085A (ja) 2005-03-24
KR20050026371A (ko) 2005-03-15

Similar Documents

Publication Publication Date Title
CN1288714C (zh) 通用模块化晶片输送系统
JP7405699B2 (ja) 半導体被加工物処理システム
CN101578700B (zh) 容量减少的载物台,传送,装载端口,缓冲系统
CN1118428C (zh) 一体式的隔舱内部输送、存放及运送装置
KR100563457B1 (ko) 분기궤도를 구비한 반송설비
US8267634B2 (en) Reduced capacity carrier, transport, load port, buffer system
JP6896027B2 (ja) 半導体部品処理システム
WO2006046580A1 (ja) 搬送システム、基板処理装置、及び搬送方法
CN101048861A (zh) 基于升降机的工具装载和缓冲系统
CN1156389C (zh) 在接口容器和分配盒间传送工件载运器的传送机构和方法
KR20190009371A (ko) 반송 시스템
US7806648B2 (en) Transportation system and transportation method
TWI408092B (zh) Processing equipment
CN101356636B (zh) 减小容量的载运器,输送工具,装载端口和缓冲器系统
JP2005136294A (ja) 移載装置
TWI557838B (zh) 容量縮小之承載具、運輸機、裝載埠、緩衝系統
CN210285929U (zh) 上料定位机械手
JP2001225948A (ja) ワーク受け渡し装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
EE01 Entry into force of recordation of patent licensing contract

Assignee: Asyst Technologies

Assignor: Asyst Technologies Inc.

Contract fulfillment period: 2009.8.6 to 2022.9.2 contract change

Contract record no.: 2009990001283

Denomination of invention: Universal modular wafer transport system

Granted publication date: 20061206

License type: General permission

Record date: 20091124

LIC Patent licence contract for exploitation submitted for record

Free format text: COMMON LICENSE; TIME LIMIT OF IMPLEMENTING CONTACT: 2009.8.6 TO 2022.9.2; CHANGE OF CONTRACT

Name of requester: MURATA AUTOMATIC MACHINERY CO., LTD.

Effective date: 20091124

ASS Succession or assignment of patent right

Owner name: CROSSIN AUTOMATION CORPORATION

Free format text: FORMER OWNER: ASYST TECHNOLOGIES INC.

Effective date: 20120201

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20120201

Address after: American California

Patentee after: Crossing Automation, Inc.

Address before: American California

Patentee before: Asyst Technologies Inc.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20061206

Termination date: 20120903