CN1507008A - 半导体制造方法及设备 - Google Patents
半导体制造方法及设备 Download PDFInfo
- Publication number
- CN1507008A CN1507008A CNA031549497A CN03154949A CN1507008A CN 1507008 A CN1507008 A CN 1507008A CN A031549497 A CNA031549497 A CN A031549497A CN 03154949 A CN03154949 A CN 03154949A CN 1507008 A CN1507008 A CN 1507008A
- Authority
- CN
- China
- Prior art keywords
- cor
- layer
- chamber
- teos
- reaction products
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 95
- 239000004065 semiconductor Substances 0.000 title claims description 20
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims abstract description 79
- 230000008569 process Effects 0.000 claims abstract description 54
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims abstract description 13
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 123
- 238000006243 chemical reaction Methods 0.000 claims description 63
- 235000012431 wafers Nutrition 0.000 claims description 59
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 54
- 239000000377 silicon dioxide Substances 0.000 claims description 54
- 238000005530 etching Methods 0.000 claims description 49
- 238000005516 engineering process Methods 0.000 claims description 39
- 239000000047 product Substances 0.000 claims description 30
- 239000000463 material Substances 0.000 claims description 29
- 229910021529 ammonia Inorganic materials 0.000 claims description 27
- 239000007787 solid Substances 0.000 claims description 26
- 238000001179 sorption measurement Methods 0.000 claims description 25
- 239000007795 chemical reaction product Substances 0.000 claims description 23
- 239000000203 mixture Substances 0.000 claims description 17
- 230000003647 oxidation Effects 0.000 claims description 15
- 238000007254 oxidation reaction Methods 0.000 claims description 15
- 238000012545 processing Methods 0.000 claims description 15
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 15
- 230000008021 deposition Effects 0.000 claims description 14
- 239000000126 substance Substances 0.000 claims description 12
- 238000010438 heat treatment Methods 0.000 claims description 8
- 230000015572 biosynthetic process Effects 0.000 claims description 6
- 238000004519 manufacturing process Methods 0.000 claims description 5
- 239000012298 atmosphere Substances 0.000 claims description 4
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical group Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 claims description 3
- VIKNJXKGJWUCNN-XGXHKTLJSA-N norethisterone Chemical compound O=C1CC[C@@H]2[C@H]3CC[C@](C)([C@](CC4)(O)C#C)[C@@H]4[C@@H]3CCC2=C1 VIKNJXKGJWUCNN-XGXHKTLJSA-N 0.000 claims description 3
- 229910000077 silane Inorganic materials 0.000 claims description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 2
- 238000000354 decomposition reaction Methods 0.000 claims description 2
- 230000008676 import Effects 0.000 claims 2
- 239000011521 glass Substances 0.000 abstract description 4
- 238000010292 electrical insulation Methods 0.000 abstract 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 67
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 63
- 229910052710 silicon Inorganic materials 0.000 description 57
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 47
- 239000010703 silicon Substances 0.000 description 47
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 34
- 229920005591 polysilicon Polymers 0.000 description 34
- 239000010408 film Substances 0.000 description 29
- 239000007789 gas Substances 0.000 description 22
- 230000002950 deficient Effects 0.000 description 18
- 239000000758 substrate Substances 0.000 description 18
- 238000012546 transfer Methods 0.000 description 16
- 239000000376 reactant Substances 0.000 description 15
- 238000001704 evaporation Methods 0.000 description 13
- 230000008020 evaporation Effects 0.000 description 13
- 239000000243 solution Substances 0.000 description 13
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 13
- 230000005540 biological transmission Effects 0.000 description 12
- 238000000151 deposition Methods 0.000 description 11
- 238000002955 isolation Methods 0.000 description 11
- 235000012239 silicon dioxide Nutrition 0.000 description 11
- 239000012808 vapor phase Substances 0.000 description 11
- 239000007864 aqueous solution Substances 0.000 description 8
- 230000003628 erosive effect Effects 0.000 description 8
- 238000004140 cleaning Methods 0.000 description 7
- 230000007797 corrosion Effects 0.000 description 6
- 238000005260 corrosion Methods 0.000 description 6
- 230000036571 hydration Effects 0.000 description 6
- 238000006703 hydration reaction Methods 0.000 description 6
- 238000000407 epitaxy Methods 0.000 description 5
- 230000008595 infiltration Effects 0.000 description 5
- 238000001764 infiltration Methods 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 239000012071 phase Substances 0.000 description 5
- 238000001039 wet etching Methods 0.000 description 5
- 241000277307 Esox Species 0.000 description 4
- 238000000137 annealing Methods 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 230000006378 damage Effects 0.000 description 4
- 238000003795 desorption Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- 239000002253 acid Substances 0.000 description 3
- 230000009286 beneficial effect Effects 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 239000002421 finishing Substances 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910000831 Steel Inorganic materials 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 238000003780 insertion Methods 0.000 description 2
- 230000037431 insertion Effects 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 238000012797 qualification Methods 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 239000010959 steel Substances 0.000 description 2
- 230000002195 synergetic effect Effects 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 238000003631 wet chemical etching Methods 0.000 description 2
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 238000010923 batch production Methods 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000003197 catalytic effect Effects 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 230000003749 cleanliness Effects 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- -1 hexafluorosilicic acid ammonia Chemical compound 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000007373 indentation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002576 ketones Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- LXPCOISGJFXEJE-UHFFFAOYSA-N oxifentorex Chemical compound C=1C=CC=CC=1C[N+](C)([O-])C(C)CC1=CC=CC=C1 LXPCOISGJFXEJE-UHFFFAOYSA-N 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 230000000505 pernicious effect Effects 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- 239000012265 solid product Substances 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 238000001771 vacuum deposition Methods 0.000 description 1
- 238000011179 visual inspection Methods 0.000 description 1
- 239000002912 waste gas Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66234—Bipolar junction transistors [BJT]
- H01L29/66242—Heterojunction transistors [HBT]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8248—Combination of bipolar and field-effect technology
- H01L21/8249—Bipolar and MOS technology
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Ceramic Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Bipolar Transistors (AREA)
Abstract
Description
Claims (20)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/316,211 | 2002-12-10 | ||
US10/316,211 US6858532B2 (en) | 2002-12-10 | 2002-12-10 | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1507008A true CN1507008A (zh) | 2004-06-23 |
CN1291450C CN1291450C (zh) | 2006-12-20 |
Family
ID=32468851
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB031549497A Expired - Fee Related CN1291450C (zh) | 2002-12-10 | 2003-08-25 | 半导体制造方法及设备 |
Country Status (3)
Country | Link |
---|---|
US (1) | US6858532B2 (zh) |
JP (1) | JP4009243B2 (zh) |
CN (1) | CN1291450C (zh) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101208802B (zh) * | 2005-06-27 | 2011-09-07 | Nxp股份有限公司 | 双极晶体管及其制造方法 |
CN103650145A (zh) * | 2011-07-06 | 2014-03-19 | 国际商业机器公司 | 具有连接内部和外部基极的链路区域的双极结型晶体管 |
CN103730357A (zh) * | 2014-01-07 | 2014-04-16 | 上海华虹宏力半导体制造有限公司 | Npn晶体管及形成方法 |
CN104733283A (zh) * | 2013-12-23 | 2015-06-24 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件表面预清洁方法 |
CN105097506A (zh) * | 2014-04-29 | 2015-11-25 | 无锡华润上华半导体有限公司 | 多晶硅发射极垂直npn晶体管的制造方法 |
Families Citing this family (140)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4833512B2 (ja) * | 2003-06-24 | 2011-12-07 | 東京エレクトロン株式会社 | 被処理体処理装置、被処理体処理方法及び被処理体搬送方法 |
US20050218113A1 (en) * | 2004-03-30 | 2005-10-06 | Tokyo Electron Limited | Method and system for adjusting a chemical oxide removal process using partial pressure |
US20050227494A1 (en) * | 2004-03-30 | 2005-10-13 | Tokyo Electron Limited | Processing system and method for treating a substrate |
KR100525300B1 (ko) * | 2003-12-23 | 2005-11-02 | 동부아남반도체 주식회사 | 소자분리막 형성 방법 |
US7214978B2 (en) * | 2004-02-27 | 2007-05-08 | Micron Technology, Inc. | Semiconductor fabrication that includes surface tension control |
US20050218114A1 (en) * | 2004-03-30 | 2005-10-06 | Tokyo Electron Limited | Method and system for performing a chemical oxide removal process |
US7144785B2 (en) * | 2004-11-01 | 2006-12-05 | Advanced Micro Devices, Inc. | Method of forming isolation trench with spacer formation |
JP4843285B2 (ja) * | 2005-02-14 | 2011-12-21 | 東京エレクトロン株式会社 | 電子デバイスの製造方法及びプログラム |
JP4895256B2 (ja) * | 2005-02-23 | 2012-03-14 | 東京エレクトロン株式会社 | 基板の表面処理方法 |
JP2007056336A (ja) * | 2005-08-25 | 2007-03-08 | Tokyo Electron Ltd | 基板処理装置,基板処理装置の基板搬送方法,プログラム,プログラムを記録した記録媒体 |
JP4762998B2 (ja) * | 2005-10-27 | 2011-08-31 | 東京エレクトロン株式会社 | 処理方法及び記録媒体 |
JP4890025B2 (ja) * | 2005-12-28 | 2012-03-07 | 東京エレクトロン株式会社 | エッチング方法及び記録媒体 |
JP4911980B2 (ja) * | 2006-02-02 | 2012-04-04 | 東京エレクトロン株式会社 | 減圧処理装置 |
US7494545B2 (en) * | 2006-02-03 | 2009-02-24 | Applied Materials, Inc. | Epitaxial deposition process and apparatus |
US7795148B2 (en) * | 2006-03-28 | 2010-09-14 | Tokyo Electron Limited | Method for removing damaged dielectric material |
JP2007266455A (ja) * | 2006-03-29 | 2007-10-11 | Tokyo Electron Ltd | 基板処理装置、基板処理方法及び記憶媒体 |
US7384835B2 (en) * | 2006-05-25 | 2008-06-10 | International Business Machines Corporation | Metal oxide field effect transistor with a sharp halo and a method of forming the transistor |
US7888745B2 (en) * | 2006-06-21 | 2011-02-15 | International Business Machines Corporation | Bipolar transistor with dual shallow trench isolation and low base resistance |
JP4913485B2 (ja) * | 2006-06-29 | 2012-04-11 | 東京エレクトロン株式会社 | エッチング方法及び記録媒体 |
US7416989B1 (en) * | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
JP5259125B2 (ja) * | 2006-08-24 | 2013-08-07 | 富士通セミコンダクター株式会社 | 基板処理方法、半導体装置の製造方法、基板処理装置および記録媒体 |
US20080078743A1 (en) * | 2006-09-28 | 2008-04-03 | Munoz Andres F | Elevated temperature chemical oxide removal module and process |
DE102006046790B4 (de) * | 2006-10-02 | 2014-01-02 | Infineon Technologies Ag | Integriertes Bauelement und Verfahren zum Trennen einer elektrisch leitfähigen Verbindung |
JP5260861B2 (ja) * | 2006-11-29 | 2013-08-14 | 東京エレクトロン株式会社 | キャパシタ電極の製造方法と製造システムおよび記録媒体 |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US7939422B2 (en) * | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
JP5105866B2 (ja) * | 2006-12-28 | 2012-12-26 | 東京エレクトロン株式会社 | キャパシタ電極の製造方法、エッチング方法およびエッチングシステム、ならびに記憶媒体 |
US7786016B2 (en) * | 2007-01-11 | 2010-08-31 | Micron Technology, Inc. | Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide |
US7977249B1 (en) | 2007-03-07 | 2011-07-12 | Novellus Systems, Inc. | Methods for removing silicon nitride and other materials during fabrication of contacts |
US20100151677A1 (en) * | 2007-04-12 | 2010-06-17 | Freescale Semiconductor, Inc. | Etch method in the manufacture of a semiconductor device |
US8187486B1 (en) | 2007-12-13 | 2012-05-29 | Novellus Systems, Inc. | Modulating etch selectivity and etch rate of silicon nitride thin films |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US20110129954A1 (en) * | 2008-08-01 | 2011-06-02 | Oerlikon Solar Ag, Truebbach | Method for manufacturing a photovoltaic cell structure |
US7981763B1 (en) | 2008-08-15 | 2011-07-19 | Novellus Systems, Inc. | Atomic layer removal for high aspect ratio gapfill |
US8058179B1 (en) * | 2008-12-23 | 2011-11-15 | Novellus Systems, Inc. | Atomic layer removal process with higher etch amount |
US8482101B2 (en) * | 2009-06-22 | 2013-07-09 | International Business Machines Corporation | Bipolar transistor structure and method including emitter-base interface impurity |
US8511281B2 (en) * | 2009-07-10 | 2013-08-20 | Tula Technology, Inc. | Skip fire engine control |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
KR20120111738A (ko) * | 2009-12-30 | 2012-10-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장 |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
JP2013517616A (ja) * | 2010-01-06 | 2013-05-16 | アプライド マテリアルズ インコーポレイテッド | 酸化物ライナを使用する流動可能な誘電体 |
CN102714156A (zh) | 2010-01-07 | 2012-10-03 | 应用材料公司 | 自由基成分cvd的原位臭氧固化 |
CN102844848A (zh) | 2010-03-05 | 2012-12-26 | 应用材料公司 | 通过自由基成分化学气相沉积的共形层 |
US8435902B2 (en) * | 2010-03-17 | 2013-05-07 | Applied Materials, Inc. | Invertable pattern loading with dry etch |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
JP5661523B2 (ja) * | 2011-03-18 | 2015-01-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8569158B2 (en) | 2011-03-31 | 2013-10-29 | Tokyo Electron Limited | Method for forming ultra-shallow doping regions by solid phase diffusion |
US8580664B2 (en) | 2011-03-31 | 2013-11-12 | Tokyo Electron Limited | Method for forming ultra-shallow boron doping regions by solid phase diffusion |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
CN102305691B (zh) * | 2011-05-23 | 2013-01-02 | 厦门保圣复材科技有限公司 | 球拍球杆重量平衡测试方法与系统 |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
TWI674625B (zh) * | 2011-10-05 | 2019-10-11 | 應用材料股份有限公司 | 原位羥化裝置 |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US20140073106A1 (en) | 2012-09-12 | 2014-03-13 | International Business Machines Corporation | Lateral bipolar transistor and cmos hybrid technology |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9472453B2 (en) | 2014-03-13 | 2016-10-18 | Qualcomm Incorporated | Systems and methods of forming a reduced capacitance device |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9337310B2 (en) | 2014-05-05 | 2016-05-10 | Globalfoundries Inc. | Low leakage, high frequency devices |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US10050115B2 (en) | 2014-12-30 | 2018-08-14 | Globalfoundries Inc. | Tapered gate oxide in LDMOS devices |
US9431268B2 (en) | 2015-01-05 | 2016-08-30 | Lam Research Corporation | Isotropic atomic layer etch for silicon and germanium oxides |
US9425041B2 (en) | 2015-01-06 | 2016-08-23 | Lam Research Corporation | Isotropic atomic layer etch for silicon oxides using no activation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9899224B2 (en) | 2015-03-03 | 2018-02-20 | Tokyo Electron Limited | Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions |
US9722057B2 (en) * | 2015-06-23 | 2017-08-01 | Global Foundries Inc. | Bipolar junction transistors with a buried dielectric region in the active device region |
US9564341B1 (en) | 2015-08-04 | 2017-02-07 | Applied Materials, Inc. | Gas-phase silicon oxide selective etch |
WO2019226341A1 (en) | 2018-05-25 | 2019-11-28 | Lam Research Corporation | Thermal atomic layer etch with rapid temperature cycling |
KR20210019121A (ko) | 2018-07-09 | 2021-02-19 | 램 리써치 코포레이션 | 전자 여기 원자 층 에칭 |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4917556A (en) | 1986-04-28 | 1990-04-17 | Varian Associates, Inc. | Modular wafer transport and processing system |
US5024570A (en) | 1988-09-14 | 1991-06-18 | Fujitsu Limited | Continuous semiconductor substrate processing system |
US5076205A (en) | 1989-01-06 | 1991-12-31 | General Signal Corporation | Modular vapor processor system |
US5223443A (en) | 1992-02-19 | 1993-06-29 | Integrated Device Technology, Inc. | Method for determining wafer cleanliness |
US5282925A (en) | 1992-11-09 | 1994-02-01 | International Business Machines Corporation | Device and method for accurate etching and removal of thin film |
JPH1036970A (ja) | 1996-07-22 | 1998-02-10 | Nissin Electric Co Ltd | 薄膜気相成長装置 |
US6090683A (en) | 1997-06-16 | 2000-07-18 | Micron Technology, Inc. | Method of etching thermally grown oxide substantially selectively relative to deposited oxide |
US5980770A (en) | 1998-04-16 | 1999-11-09 | Siemens Aktiengesellschaft | Removal of post-RIE polymer on Al/Cu metal line |
US6620729B1 (en) * | 2001-09-14 | 2003-09-16 | Lsi Logic Corporation | Ion beam dual damascene process |
-
2002
- 2002-12-10 US US10/316,211 patent/US6858532B2/en not_active Expired - Fee Related
-
2003
- 2003-08-25 CN CNB031549497A patent/CN1291450C/zh not_active Expired - Fee Related
- 2003-11-10 JP JP2003380487A patent/JP4009243B2/ja not_active Expired - Fee Related
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101208802B (zh) * | 2005-06-27 | 2011-09-07 | Nxp股份有限公司 | 双极晶体管及其制造方法 |
CN103650145A (zh) * | 2011-07-06 | 2014-03-19 | 国际商业机器公司 | 具有连接内部和外部基极的链路区域的双极结型晶体管 |
CN103650145B (zh) * | 2011-07-06 | 2016-07-20 | 国际商业机器公司 | 具有连接内部和外部基极的链路区域的双极结型晶体管 |
CN104733283A (zh) * | 2013-12-23 | 2015-06-24 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件表面预清洁方法 |
CN103730357A (zh) * | 2014-01-07 | 2014-04-16 | 上海华虹宏力半导体制造有限公司 | Npn晶体管及形成方法 |
CN105097506A (zh) * | 2014-04-29 | 2015-11-25 | 无锡华润上华半导体有限公司 | 多晶硅发射极垂直npn晶体管的制造方法 |
CN105097506B (zh) * | 2014-04-29 | 2018-11-27 | 无锡华润上华科技有限公司 | 多晶硅发射极垂直npn晶体管的制造方法 |
Also Published As
Publication number | Publication date |
---|---|
JP4009243B2 (ja) | 2007-11-14 |
US6858532B2 (en) | 2005-02-22 |
US20040110354A1 (en) | 2004-06-10 |
JP2004193575A (ja) | 2004-07-08 |
CN1291450C (zh) | 2006-12-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1291450C (zh) | 半导体制造方法及设备 | |
US8318605B2 (en) | Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere | |
US9530898B2 (en) | Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof | |
US7682983B2 (en) | Manufacturing method of electronic device with resist ashing | |
CN1208823C (zh) | 浅沟隔离半导体及其制造 | |
CN1750234A (zh) | 形成半导体器件精细图形的方法及用其形成接触的方法 | |
CN1779944A (zh) | 浅沟槽隔离结构及形成浅沟槽隔离结构的方法 | |
TW201316405A (zh) | 雙重圖案化蝕刻製程 | |
CN1540757A (zh) | 具应变通道的互补式金氧半导体及其制作方法 | |
CN1893055A (zh) | 使用固相外延的半导体器件及其制造方法 | |
CN1825543A (zh) | 半导体衬底的原位净化方法和半导体器件制造方法 | |
CN1503336A (zh) | 金属氧化物半导体场效应晶体管器件的制作方法 | |
US10790133B2 (en) | Precleaning apparatus and substrate processing system | |
CN1893016A (zh) | 使用固相外延法形成半导体器件接触的方法 | |
CN1490845A (zh) | 半导体器件及其制造方法 | |
US9177816B2 (en) | Deposit removal method | |
CN1249795C (zh) | 半导体装置的制造方法 | |
US6908853B2 (en) | Method of fabricating a semiconductor device having reduced contact resistance | |
US10879111B1 (en) | Dielectric plugs | |
US20050211375A1 (en) | Method of manufacturing a semiconductor device | |
CN1062679C (zh) | 形成半导体器件的元件隔离膜的方法 | |
US20070022941A1 (en) | Method of forming a layer and method of manufacturing a semiconductor device using the same | |
CN1770406A (zh) | 半导体装置的制造方法 | |
US20130095665A1 (en) | Systems and methods for processing substrates | |
US7026250B2 (en) | Method for reducing contact resistance of a semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
TR01 | Transfer of patent right | ||
TR01 | Transfer of patent right |
Effective date of registration: 20171128 Address after: Grand Cayman, Cayman Islands Patentee after: GLOBALFOUNDRIES INC. Address before: American New York Patentee before: Core USA second LLC Effective date of registration: 20171128 Address after: American New York Patentee after: Core USA second LLC Address before: American New York Patentee before: International Business Machines Corp. |
|
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20061220 Termination date: 20190825 |