JP2004193575A - 半導体プロセスおよびこれに関連する装置 - Google Patents

半導体プロセスおよびこれに関連する装置 Download PDF

Info

Publication number
JP2004193575A
JP2004193575A JP2003380487A JP2003380487A JP2004193575A JP 2004193575 A JP2004193575 A JP 2004193575A JP 2003380487 A JP2003380487 A JP 2003380487A JP 2003380487 A JP2003380487 A JP 2003380487A JP 2004193575 A JP2004193575 A JP 2004193575A
Authority
JP
Japan
Prior art keywords
cor
chamber
silicon oxide
layer
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003380487A
Other languages
English (en)
Other versions
JP4009243B2 (ja
Inventor
Wesley C Natzle
ウェズリー・シー・ナツル
David C Ahlgren
デイヴィッド・シー・アールグレン
Steven G Barbee
スティーヴン・ジー・バービー
Marc W Cantell
マルク・ダブリュ・キャンテル
Basanth Jagannathan
バサンス・ジャガナサン
Louis D Lanzerotti
ルイス・ディー・ランゼロッティ
Seshardi Subbanna
セシャルディ・サバンナ
W Woothrich Ryan
ライアン・ダブリュ・ウースリッチ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2004193575A publication Critical patent/JP2004193575A/ja
Application granted granted Critical
Publication of JP4009243B2 publication Critical patent/JP4009243B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66242Heterojunction transistors [HBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8248Combination of bipolar and field-effect technology
    • H01L21/8249Bipolar and MOS technology

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

【課題】バイポーラSiGeデバイスにおいてエミッタおよびベースの作成のために用いることができる酸化物エッチング・プロセスを提供する。
【解決手段】用いられる低温プロセスは、絶縁TEOSガラス22を保護するCORエッチングによってエミッタおよびベース間に電気的絶縁を与える。絶縁TEOSガラス22はキャパシタンスの低下をもたらし、高速度の達成を促進する。上述したプロセスを実行するための装置もまた提供する。
【選択図】図14

Description

本発明は、半導体プロセスに関し、特に、半導体デバイスにおける誘電体材料のエッチングに関し、さらに、半導体デバイスにおける二酸化シリコンのプレエミッタ・エッチングおよびプレベース・エッチングに関する。
Jeng等の米国特許第5,282,925号公報“Device and Method for Accurate Etching and Removal of Thin Film”(特許文献1)は、反応物質含有膜の表面滞留時間,厚さ,および組成を制御することによって薄い層を正確にエッチングし除去するための化学的酸化物除去(COR)として知られるデバイスおよび方法を開示する。CORプロセスは、HFおよびNH3 を含む気体反応物質によるエッチングを用いる。気体反応物質が酸化シリコン表面に接触するとき、蒸気圧に近い圧力での酸化シリコン表面に対する反応物ガスの吸着または凝縮によって、反応生成物の膜が酸化シリコン上に形成される。一般的に、Jeng等のプロセスは、ウェハ上に膜を形成する反応物蒸気をチャンバへ流入させることによってウェハから酸化シリコンをエッチングする。膜およびチャンバ温度を制御することによってエッチングを調整する。エッチングが完了した後、得られた残留物を熱脱離(thermal desorption)によって除去できる。
Ramachandran等の米国特許第5,980,770号公報“Removal of Post-RIE Polymer on Al/Cu Metal Line”(特許文献2)は、エッチングガスとしてHFそして酸中和ガスとしてNH3 から成る気体混合物またはプラズマ混合物を含むエッチング剤を用いてアルミニウム・ラインからRIE側壁膜を除去し、ポリマ・レールを水溶性フォームへ化学的に変性させることによってAl/Cu金属ライン上のポストRIEポリマ・レールを除去するCORの応用を開示する。それは、雰囲気への除去よりも前にRIEクラスタ内でCORと最もよく反応するので、RIE側壁は、アルミニウム・ラインの腐食を生じさせない。ツール・クラスタは、特有の組み合せのプロセス・モジュールを有する従来のRIEクラスタである。
Natzle等の米国特許第6,335,261号公報“Directional CVD Process with Optimized Etchback”(特許文献3)は、“フルオロケイ酸アンモニウム((NH42 SiF6 )と信じられる”固体反応生成物を生じさせるCORプロセスを開示する。当該固体反応生成物は、元の二酸化シリコンの体積の約3倍の一定の体積を有し、オーバーハングにおいて反応を生じさせギャップを閉じさせ、その結果、ギャップが閉じた後に、当該閉じたギャップの下にある酸化物のさらなるエッチングが発生しない一方、基板の上部表面上の酸化物層においてエッチングが継続する。固体生成物は、当該生成物を通過して下にある酸化物へのNH3 /HF反応物質の拡散を妨げることによって反応を減速させ、結果として、エッチング・プロセスは、固体反応生成物が厚くなりすぎて下にある酸化物のさらなるエッチングを不可能にする自己制限点に達する。
Torekの米国特許第6,194,286B1号公報“Method of Etching Thermally Grown Oxide Substantially Selectively Relative to Deposited Oxide”(特許文献4)は、付着酸化シリコン(例えばPECVDによって形成された酸化シリコン)と外側が露出した成長酸化シリコン材料(熱成長してもよい)との処理を開示する。外側が露出した二酸化シリコン層は、ほぼ無水HF(体積比で10%の水を超えない)および有機プライマ(例えばアルコールおよびケトン)を含むエッチング剤を用いて、付着二酸化シリコン層に対してほぼ選択的に気相エッチングされる。
Chinn等の米国特許第5,223,443号公報“Method for Determining Wafer Cleanliness”(特許文献5)は、ウェハの全表面上に薄いテトラエチルオルソシリケート(TEOS)ガラス膜を付着する工程と、目視検査の際にピンホールを露出するために、ポリシリコンにアタック(attack)するがTEOSガラス膜に対して選択的でありTEOSガラス膜をエッチングしないKOHの溶液にウェハをさらす工程とを含む半導体ウェハの清浄度を決定する方法を開示する。
従来において、マルチプロセスと、一連の相互接続プロセス・チャンバ間でシングル・ウェハを搬送するマルチチャンバ・システムとを含む統合ツールが、以下に述べる米国特許第5,076,205号公報(特許文献6),第4,917,556号公報(特許文献7),第5,024,570号公報(特許文献8),および特開平10−36970号公報(特許文献9)によって例として与えられている。
Vowles等の米国特許第5,076,205号公報“Modular Vapor Process System”(特許文献6)は、個々のプロセス・チャンバが可動であり、システムの完全な撤去を必要とせずに個々のプロセス・チャンバの容易な交換を可能にするマルチチャンバ,マルチプロセス・システムを開示する。システムの処理能力は、ウェハ・バッファ貯蔵カセット/エレベータ・システムを用いることによって拡張される。システムは拡大され、多数のプロセス・チャンバを含み、中間地点でのウェハ入出力アクセスを可能にする。
Stark等の米国特許第4,917,556号公報“Modular Wafer Transport and Processing System”(特許文献7)は、真空環境へ全カセットを入れる多数のロードロックを有するウェハ・プロセス・マシンを開示する。しかしながら、ウェハは個別に搬送される。ロボット・アームを含むウェハ・ハンドリング・モジュールは、ウェハが通過するマシンの脊柱部を形成する。様々なプロセス・モジュールが、ウェハ・ハンドリング・モジュールの側面に取り付けられる。
Kiriseko等の米国特許第5,024,570号公報“Continuous Semiconductor Substrate Processing System”(特許文献8)は、搬送機構へ接続され、プロセスの際に、一時的に半導体ウェハを保持するストッカを有するウェハ・プロセス・システムを開示するが、それは、ウェハを真空状態で搬送しない。それは、また、半導体ウェハを蓄えるウェハ貯蔵セクションと、貯蔵セクションと搬送機構との間で半導体ウェハを搬送する搬送機構と、半導体ウェハを識別するウェハ識別セクションと、半導体ウェハをフィードインおよびフィードアウトできるキャリア・フィードイン・フィードアウト・セクションとを有する。
Kiyoshiの特開平10−36970号公報“薄膜気相成長装置”(特許文献9)は、真空チャンバ内でウェハ上に薄膜を成長させるリアクタ(成長チャンバ)の上にウェハを隣接する真空チャンバから搬入する移送チャンバを設ける。この装置は、ウェハをアンチャック(unchuck)さえせずにシングル・ウェハの直線状搬送を与える。
バイポーラ・デバイス,BiCMOS集積スキーム,およびストレインCMOSデバイス(例えば、Rimの米国特許第6,429,061号公報“Method to Fabricate a Strained Si CMOS Structure Using Selective Epitaxial Deposition of Si after Device Isolation Formation”(特許文献10)で述べられるデバイス)においてベース領域およびエミッタ領域の付着の前に、水性HF溶液を用いてドープ・シリコン半導体基板のようなワークピース表面から酸化シリコンを除去することに多くの欠陥が付随する。このような欠陥は、水溶液に対する露出に基づくダメージによって直接生じ得る、または、ワークピースの露出表面において発生する変化から生じる固有の遅れに基づく影響によって間接的に生じ得る。例えば、当該表面は、水性HF処理と後続の真空付着プロセスとの間に周囲の雰囲気内で有害ガスにさらされ得る。
水性HF溶液が、シリコン,半導体基板上に部分的にパシベートされた表面を残すことができ、非統合の酸化物ストリップを可能にすることが周知であるが、残存する遅れが、トランジスタのベースを形成する前にエッチングを行う場合、すなわち“プレベース・エッチング”に関して特に製造上の問題である。水性エッチングは、一般的にバッチ・プロセスなので、付着が後続のシングル・ウェハ・プロセスを伴う場合、あるいはシングル・ウェハ・ストリップがバッチ付着に先行する場合に遅れが特に深刻である。このようなウェット・シングル・ウェハ・ストリップが、SEZ Semiconductor-Equipment Zubehor fur die Halbleiterfertigung AGに譲渡されたSumnitsch等の米国特許第6,162,739号公報“Process for wet etching of semiconductor wafers”(特許文献11)に開示されている。Sumnitsch等の‘739特許のプロセスは、フッ化水素酸、または、フッ化水素酸およびフッ化アンモニウムおよび少なくとも1つのカルボン酸の組み合せを含むエッチング媒体を用いて、上面から二酸化シリコン層を完全に除去する工程と、半導体ウェハの周辺端部から内側へ延びる定義領域において反対側の面から二酸化シリコン層を選択的に除去する工程とを含む。
‘739特許で述べられるようなシングル・ウェハ・ストリップがバッチ付着に先行する場合には、バッチ・ウェハ・オペレーションおよびシングル・ウェハ・オペレーション間のプロセス・ミスマッチによって遅れが延長される。
従来の水性エッチング・プロセスに付随する一部の問題の概要は以下の通りである。
(A)ベース領域またはエミッタ領域から離間して配された露出酸化シリコンがアタックされ、エミッタおよびベース間の短絡を引き起こし、あるいは浅いトレンチ分離(STI)および他の場所において有害なトポグラフィを生じさせ、その結果、上に重なるシリコンのシリサイド化を後に与えることが困難になる。
(B)ベースおよびエミッタ間の分離フィーチャがアンダーカットされ得る。
(C)随伴するCMOSデバイス用のポリシリコン・ゲートに後で成る露出シリコン内の欠陥および裂目に水性エッチング溶液が浸透して、下にあるゲート酸化物層をアタックし得る。
(D)ベース/コレクタ界面における再成長に基づく残留酸化シリコンは、ベース・エピタキシの際に欠陥を生じさせ、エミッタおよびコレクタ間に漏れを引き起こすことがあり、ベース/エミッタ界面における再成長に基づく残留酸化シリコンは、ベースおよびエミッタ間の高抵抗のもととなり得る。部分的な酸化シリコン再成長に酸化シリコンを除去できる追加のウェット・クリーンが続く場合には、酸化物再成長の際に反応したシリコンが消費されて欠陥の一因となる。
これらの問題に関するさらなる詳細を以下に与える。
(A)露出酸化シリコンのアタック(例:エミッタ・プレエッチング)
新世代のSiGe BiCMOSの製造の際に、重要な工程は、テトラエチルオルソシリケート(TEOS)酸化シリコン,以下TEOSと称する、のような絶縁体によってエミッタ・ポリシリコンおよび外部ポリシリコン間に分離を達成する工程を含む。TEOSの開始時の厚さは、前のCMPプロセスによって定まる約500Å〜約1000Åの一定の範囲内である。
また、ベースの付着の後に厳格な熱要件が存在する、すなわち、激しいドーパント拡散を回避するためには、TEOSを硬化させるための高温アニーリングが厳格に禁じられるということである。
エミッタ・ポリシリコンの付着より前に、ベース層の上の薄いHIPOX保護膜(約100Å)を除去しなければならないことが要求される。HIPOX層の除去の際にそれと同時の分離TEOSの露出およびHIPOX(HIgh Pressure OXide)層の保護に付随するいくつかの問題が存在する。
HIPOX層は、高圧酸化プロセスの生成物である酸化シリコン層の一種である。HIPOXプロセスは、高圧蒸気,高圧酸素,またはこれらの組み合せを用い、酸化シリコン層を生じさせることができる。Bronner等の米国特許第5,128,271号公報を参照されたい。これは、HIPOXプロセスの必須のプロセス・シーケンスが、明細書の内容として引用されるJ. Electrochem. Soc., Vol. 126, p.1822(1979)におけるL.E. KatzおよびB.F. Howells, Jr.による“Low Temperature, High Pressure Steam Oxidation of Silicon”に述べられていることを指摘する。代表的なHIPOXプロセスにおいて、アニール・リーチスルー打込みによって、ベアN-エピ/N+ サブコレクタ/P-基板上にベースが形成される。100Åエッチストップ酸化物(ESOX)が(例えば700℃で10気圧の蒸気で)HIPOXによって成長し、P+ その場ドープポリシリコン外部ベースおよびTEOS層の形成が続く。ESOXまで開口をエッチングし、次に側壁をESOX上に形成する。次にESOXを水性HFでストリップし、エミッタ・ポリシリコンを付着し、ドープし、パターニングする。エミッタ・アニール工程(例えば850℃で20分間),コンタクト工程,およびメタライゼーション工程を続いて実行する。
一般的なHIPOXプロセスの2つの結果は以下の通りである。
(1)エミッタ開口を形成するプロセスの際にHFによってベース保護のための薄いHIPOX層をストリップするときに、外部ポリシリコン・ベースを覆うTEOS層が完全に除去されることになる。これは、酸化シリコンと比べたTEOSのはるかに速いエッチング速度により、ウェットHFエッチングは、HIPOXより約10倍速くTEOSを除去する。
(2)外部ポリシリコンのHIPOX酸化を用いて、DHFウェット・ストリップに対するソフト・エッチ・ストップを実現する場合でさえ、厚いTEOSが大部分除去されて、HIPOX内に欠陥が存在する場合に潜在的漏れを生じさせるだけでなく、寄生容量の深刻な増加も生じさせることになる。したがって、デバイス性能の点から見ると、厚いTEOSを保持することが大いに望ましい。
さらに、上述したように、望ましくないトポグラフィがSTI(浅いトレンチ分離)上に生成され、これは、プレベース・ストリップに付随する水性HFエッチングから部分的に生じる。
(B)エミッタ/ベース側壁分離のアンダーカット
図1および2は、バイポーラ・デバイスBPにおけるエミッタ/ベース側壁分離窒化物のアンダーカット問題を説明する。図1はHIPOX層HXで覆われたシリコン基板SIで形成されたバイポーラ・デバイスBPを示し、HX層の上に、開口部Wを有するポリシリコン層PSとTEOS層TSとが形成され、開口部Wを通してHIPOX層HXの中央部分を露出させる。窒化シリコン側壁スペーサSWが、層PSおよびTSの両側壁上に形成されている。HFの水溶液はHIPOXストリップにおいてHIPOX層をアンダーカットし、これはデバイスのバイポーラ部分で問題を生じさせることとなる。
図2は、HFの水溶液を用いて開口部Wの基底においてHIPOX層HXをストリップした後の図1のデバイスBPを示す。1つの問題は、HIPOX層HXの露出部分を除去する好ましくない側の効果として、TEOSがエッチングされる、すなわち完全に除去されるということである。さらに、アンダーカットUCが側壁スペーサSWの下に形成され、おそらく、図示するように、ポリシリコン層PSの下に広がり、ポリシリコン層PSは、この時点で片持ち状態となる。アンダーカットUCはプロセス制御にとって非常に多くの問題をはらみ、欠陥,漏れ,あるいは好ましくないトポグラフィを頻繁に生じさせる。
側壁窒化物の下をアンダーカットするという不利な側の効果を伴わない、すなわち、HIPOX層などのアンダーカットを制限するエッチング・プロセスの必要性が存在する。
(C)CMOSデバイスのポリシリコン・ゲート層内の欠陥の浸透
HFはHIPOXストリップの際にポリシリコンに浸透し、これがデバイスのCMOS部分で問題を生じさせることとなる。図3および4は、CMOSデバイスCMに関する問題を説明する。CMOSデバイスCMは、シリコン基板SIと、シリコン基板SI上に形成されたブランケット・ゲート酸化物層GXと、ブランケット・ゲート酸化物層GXを覆うブランケット層のゲート電極ポリシリコンGPとを含む。図3において、ポリシリコン層GP内にポリシリコン欠陥PDを有するCMOSデバイスCMが示される。図4は、ゲート・ポリシリコン層GP内の欠陥に浸透してゲート酸化物GX内に酸化物欠陥ODを生じさせるHFの水溶液を用いた処理後の図3のデバイスCMを示す。
したがって、ポリシリコン層等内の細長い裂目に浸透するという不利な側の効果を伴わないエッチング・プロセスが必要とされる。
(D)残留酸化シリコン
水性HF溶液におけるストリップ・プロセスに続くコレクタ/エミッタ界面での酸化シリコンの再成長は、歩留り低下を生じさせる。雰囲気露出についての製造上のプロセス期間は、酸化シリコン・ストリップ・プロセスとベース・エピタキシ成長との間の15分たらずである。したがって、シリコン含有層のエピタキシャル成長またはシリコン層の多結晶成長のためのプロセスも実行できる単一ツールへ統合可能な酸化シリコン・エッチング・プロセスに対する必要性が存在する。
図5〜9は、バイポーラ構造に対してウェット・ケミカル・エッチングを用いる問題の他の側面を説明する。
図5は、製造の初期段階におけるデバイス10を示す。シリコン基板12は、底部に、ドープ・シリコン・コレクタ14を含む領域を備える。真性ベース領域を含むドープ・シリコン・ベース16が、シリコン・コレクタ14の上に形成される。薄い高圧酸化物(HIPOX)層18が、真性ベース16の上の基板12の表面上に形成される。ブランケット外部ベース・ポリシリコン層20(Poly1)が、HIPOX層18の上に形成される。テトラエチルオルソシリケート(TEOS)二酸化シリコン層の形態のブランケット・ガラス膜22がPoly1層20の表面上に形成される。外部ベースであるPoly1層20は、(図示しない)他の領域で真性ベース16と電気的に接続され、TEOS層22は、Poly1層20と、図9に示すように追加されるエミッタとの間の電気的絶縁として与えられる。
図6は、当業者によって十分理解できるように、フォトリソグラフィおよびエッチングによって、TEOS層22とポリシリコン層20とを貫いてHIPOX層18の上部表面までの開口部24を形成した後の図5のデバイス10を示す。
図7は、開口部24内でHIPOX層18の露出表面からTEOS層22とポリシリコン層20との側壁に沿って上に伸びる窒化シリコン(SiN)スペーサ26を形成した後の図6のデバイス10を示す。
図8は、HFの水溶液でウェットエッチングした後の図7のデバイス10を示す。この場合には、TEOS層22がエッチングされ、すなわち完全に除去され、スペーサ26の下のHIPOX層18が部分的にエッチングされて、スペーサ26を図2よりも軽度に片持ち状態にするが、この程度のアンダーカットでさえ容認できない。図9を参照して理解できるように、TEOS層22の除去もまた望ましくない。
図9は、開口部24を充填し、TEOS層22の好ましくない除去によって露出した側壁スペーサ26の尖鋭部29を覆い、下に広がってエミッタ30をPoly1層20の露出表面と短絡させてエミッタ30を形成した後の図8のデバイス10を示す。
露出酸化シリコンの好ましくないアタックを回避し、側壁分離のアンダーカットを制限し、欠陥のあるポリシリコン層にそれ以上のダメージを与えることのない酸化物エッチング・プロセスの必要性が存在する。さらに、SiまたはSi/Ge成長プロセスと統合でき、その結果エッチング・プロセスの後でウェハが雰囲気にさらされる必要がない酸化物エッチング・プロセスの必要性が存在する。
米国特許第5,282,925号公報 米国特許第5,980,770号公報 米国特許第6,335,261号公報 米国特許第6,194,286B1号公報 米国特許第5,223,443号公報 米国特許第5,076,205号公報 米国特許第4,917,556号公報 米国特許第5,024,570号公報 特開平10−36970号公報 米国特許第6,429,061号公報 米国特許第6,162,739号公報
本発明の目的は、基板上に形成されたHIPOXおよびゲート酸化物を含み、ポリシリコン層がその上に形成された酸化シリコンから構成された半導体デバイスの製造のための改良された酸化シリコン・エッチング・プロセスを提供することである。
本発明の他の目的は、酸化シリコン・エッチング・プロセス・チャンバを、後続のシリコン付着のためのチャンバを備えるツール・システムに統合することである。
本発明によれば、例えばHFおよびアンモニアNH3 蒸気の混合物を用いるCORによる真空チャンバ内での二酸化シリコンのエッチングと、熱酸化シリコンおよびTEOSを用いて付着した酸化シリコンと、バイポーラおよびCMOSデバイスの製造における分離層としてのTEOS酸化シリコンと、真空状態の中断なしに、HFおよびアンモニア反応から、生成物蒸発,SiまたはSi/Ge付着までウェハを移動させることができるハンドラを有するツールと、を用いるプロセスが与えられる。
複数のウェハから成るカセットを前洗浄チャンバから炉付着チャンバへ同時に移動させるバッチ・システムを用いる。超高真空(UHV)圧におけるバッチ炉によって低い速度でSiGe層を付着する。前洗浄工程は、それぞれのウェハを個別に処理するという非能率な点なしに、バッチ炉へ接続されるバッチ前洗浄チャンバ内で実行される。超高真空(UHV)炉へ接続するために十分低い圧力でバッチのウェハを処理できる前洗浄チャンバは入手できなかったので、この種のツールは従来開示されていない。
本発明によれば、反応物質含有膜の表面滞留時間,厚さおよび組成を制御することによって薄い層を正確にエッチングし、除去するデバイスおよび方法が述べられる。本発明は、後段で述べるようなHFおよびNH3 の凝縮反応物膜あるいは吸着反応物膜を用いたエッチングへ適用可能である。本発明の1実施形態は以下の工程を含む。
(a)酸化シリコン層を有し、雰囲気露出が続く水性HFエッチングによってダメージを受け得る構造をさらに有するシリコン基板を形成する工程、
(b)酸化シリコン層をHF蒸気およびアンモニア蒸気と反応させて反応生成物を形成する工程、
(c)反応生成物を除去してシリコン基板を露出させる工程、
(d)シリコン基板の露出領域上にシリコンを含む層を形成する工程、
(e)基板をさらに処理してシリコン層をトランジスタまたはバイポーラ・トランジスタの一部にする工程、
工程(b),(c)および(d)は、単一の密閉CORシステム、例えば真空システム内で実行することができる。工程(a)において与えられるダメージを受け得る構造は、シリコン基板自身としてもよく、トランジスタ素子間に電気的分離を与える酸化シリコンの層としてもよく、窒化物側壁のようなマスキング層の下にある酸化シリコンの層としてもよく、あるいは、さらなる処理によってCMOSトランジスタのゲート誘電体となる酸化シリコンの上にあるポリシリコン層としてもよい。工程(c)において露出されるシリコン基板の領域は、バイポーラ・トランジスタのコレクタまたはベースとすることができる。工程(d)におけるシリコンを含む層は、シリコンまたはシリコン/ゲルマニウムとすることができる。
Jeng等の米国特許第5,282,925号公報(特許文献1)は、化学的酸化物除去(COR)反応について述べるが、SiGeバイポーラ・トランジスタの作成のための前洗浄としてのCORの用途、TEOSガラスを保護しながらエッチングされるHIPOXガラスの構成、あるいは、この用途に最適のツール実施例である、バッチCOR反応チャンバをバッチSiGe炉へ接続するツールについて述べていない。
加えて、本発明が、バッチ・プロセスの順次的組み合せに対して用いることができるモジュールおよびツール構造の組み合せを提供するという点において、本発明のツールは、Ramachandran等の特許で述べられているツールと区別できる。
[気相エッチング・プロセス]
(I)プロセス・シーケンス
図10〜18は、図5〜9と共に上述したタイプのバイポーラ構造を製造する際の、本発明の実施形態に係る気相エッチング(すなわちドライ・エッチング・プロセスを用いる)のプロセスを説明する。このプロセスは、TEOS層22の完全な除去およびHIPOX層18のアンダーカットという問題を克服する。
図10〜12で形成される構造は、図5〜7と同一であり、同じ工程が上述したように実施され、同一の要素を参照する参照符号が繰り返される。
図13は、図12のデバイス10の前洗浄工程を開始した後の結果を説明する。前洗浄は、シールされたCOR反応チャンバ44へのデバイス10の導入から開始する。COR反応チャンバ44において、化学的酸化物除去(COR)プロセスは気相反応物質を用いて自己制限エッチングを実施し、自己制限エッチングは、COR反応チャンバ44内のパラメータを制御することによって調整可能である。本発明において用いられるCORエッチング・プロセスは、気相化学的酸化物除去プロセスを含み、このプロセスにおいて、HFおよびNH3 の蒸気の組み合せがエッチ液として用いられ、低圧(10ミリトル以下)下で実施される。
管47に接続された第1の貯蔵器(説明の便宜のために図示しない)はHF蒸気を含む第1の反応物質で満たされ、管51に接続された第2の貯蔵器(説明の便宜のために図示しない)は、NH3 蒸気を含む第2の反応物質で満たされる。チャンバ44へのHF蒸気の給気のために、バルブ48は、管47から管49を通ってCOR反応チャンバ44に至る吸気開口まで接続する。同様に、バルブ52は、チャンバ44へのNH3 蒸気の給気のために、管51から管53を通ってCOR反応チャンバ44に至る吸気開口まで接続する。排気管54は、排気バルブ56を通って排気ポンプ60に至る管58まで接続し、排気ポンプ60は、COR反応チャンバ44からガスを除去するために、排気ガスを排気管62へ押し出す。Jeng, NatzleおよびYuの米国特許第5,282,925号公報“Device and Method for Accurate Etching and Removal of Thin Film”に示されるように、微量てんびん(microbalance)およびマウントを本プロセスに用いてもよく、これらの要素は説明の便宜上示さないので、その説明が明細書の内容として引用される。
動作の好適な形態において、真空ポンプ60に至る排気バルブ56は、バルブ48および52のオープンの後にシールCOR反応チャンバ44への第1および第2の反応物ガス給気の際に開いている。動作時において、バルブ48および52が開いているときに、COR吸着反応物膜27がデバイス10の表面上に付着する。好ましくは、バルブ48および52は迅速に開かれる。第1および第2の反応物質は、COR反応チャンバ44を急速に満たし、好ましくは、2つの反応物質はCOR吸着反応物膜27を迅速に形成し、COR吸着反応物膜27は、NH3 およびHFの圧力がデバイス10の温度における蒸気圧を超える短い期間の間デバイス10の露出表面上に残存する。ブランケットCOR吸着反応物膜27は、こうしてデバイス10の露出表面上に形成され、開口部24の底部においてエッチングされるべきHIPOX層18の露出表面との反応が開始される。
図13において、説明の便宜のために、かなり厚い吸着反応物膜27を示しているが、実際には、完全な(full)単分子層よりも2,3の単分子層より成る厚さが薄いことが好ましい。加えて、図13は、CORエッチング・プロセスに含まれる反応の開始時におけるデバイス10を示す。
図14は、フルオロケイ酸アンモニウム((NH42 SiF6 )を含む反応生成物28が、吸着反応物膜27の下に形成された後の図13のデバイスを示す。図15によって示される本発明のCORプロセスの後続の段階において、最終的には、反応生成物28は、あらゆる場所で吸着反応物膜27と入れ替わることとなる。反応生成物28は、TEOS層22の一部のみと入れ替わっているが、開口部24の下でHIPOX層18の全てと直ちに入れ替わっている。反応の完了時に、反応物質吸気バルブ48および52が閉じて、吸気管49および53からの反応物ガスの供給を排除する。
排気バルブ56は開いたままであるので、図15によって示すように、HFおよびNH3 蒸気がCOR反応チャンバ44から外へ押し出されるにつれて、吸着反応物膜27が最終的には消滅する。
除去されるTEOS層22およびHIPOX層18の反応と総量との到達点は、吸着反応物膜27の基板温度,組成および滞留時間の関数である。単位時間ごとに除去される総量を左右する要因は、基板12の温度での反応物質の蒸気圧,シールCOR反応チャンバ44へ入れられる反応物質の量または反応物質の割合,ポンプ60のポンピング速度,および吸着反応物膜27とエッチングされるべきHIPOX層18との間の反応速度を含み、これらの全てが、Jeng等の特許に示されるコントローラによって調節できる。HIPOX層18のCOR反応チャンバ44内でのエッチング速度は、TEOS層22のエッチング速度よりはるかに速いことを我々は見いだした。TEOSを比較的無傷のままにしておくと同時にHIPOXの除去に対するCORプロセスの著しい選択性を生じさせるTEOS材料およびHIPOX材料間の化学的差異および/または構造的差異が存在することを我々は確信する。高温熱酸化物および低温熱酸化物(例えばHIPOX)双方を含む熱酸化物は、様々な特性を有する材料を生じさせる化学分解によって形成されたTEOS酸化物よりもCORプロセスによってより迅速にエッチングされるということも我々は見いだした。
HIPOX層18の二酸化シリコンとのHFおよびNH3 の反応は、多工程プロセスである。
最初に、図15に示すように、反応物ガス(HFおよびNH3 )の十分な蒸気圧がチャンバ44内で維持される限り、HFおよびNH3 ガスに基づく吸着反応物膜27が、HIPOX層18と、吸着反応物膜27に接触しているTEOS層22の表面の一部分と反応して、HFおよびNH3 ガスと、HIPOX層18および当該ガスに接触しているTEOS層22の表面の一部との間の反応に基づく吸着反応物膜27の下であってHIPOX層18およびTEOS層22の上に、凝縮された固体COR反応生成物28を形成する。吸着反応物膜27は、ガス源が枯渇するまでCOR反応生成物28の表面上で再形成され続け、ガス源が枯渇した時点で吸着反応物膜27は図15に示すように消滅する。
結果として、HIPOX層18は、開口部24の基底部から除去され、反応生成物28によって入れ替えられる。上述したように、我々が実験的に見いだしたTEOS層22とHIPOX層18とのCORエッチング速度の差異のために、TEOS層22のほんの一部だけで同じ反応が発生する。
吸着反応物膜27からの反応物ガスが反応生成物28を通過して下にあるHIPOX層18およびTEOS層22と反応し続けるにつれて、反応生成物28の厚さが成長し続ける。この反応は、開口部24の底部で全てのベースHIPOX(約100Å)が除去される後まで進行し、およそ同じ厚さ(約100Å)のTEOS層22が除去されるまで続く。その結果、TEOS層は元来HIPOX層18より厚いので、厚いTEOS層22が残留する。図18に示すプロセスの最後において、厚いTEOS層22が残留して外部ベース20と後に加えられるエミッタ31のポリシリコンとの間の分離として機能し、エミッタ31と外部ベースとが相互に電気的に短絡しないよう保証するということが、製造される半導体製品の要件である。
次に、図16を参照すると、約100℃に加熱された加熱チャンバ70へデバイス10を移した後の図15のデバイス10が示される。加熱チャンバ70は、排気管74,バルブ76,ポンプ80に至る管78,および排気口82を有する。吸気管67,バルブ68,およびチャンバ70に至る管69が、チャンバ70へのガスの導入のために与えられるが、この時点において、バルブ68は閉位置に合わせられている。開口部24′は、この時点で真性ベース16の上部表面まで達している。
次に、図17に示すように、反応生成物28の除去による前洗浄プロセスの完了後の図16のデバイス10を示す。チャンバ70におけるデバイス10の加熱の際に、(この場合には約100℃での蒸発によって)反応生成物28が、開口部24″の底部におけるベース16の上部表面から、そしてTEOS層22の上部表面から除去される。
最後に、図18に示すように、ウェハ温度がシランまたはジクロロシラン(dichlorosilane)の分解温度を超えるまで上昇し、バルブ68を開いてB26 もしくはアルシンAsH3 のような任意のドーパントと共にシランもしくはジクロロシランを流入させて、ポリシリコン・エミッタ31を形成した後の図17のデバイス10を示す。真性シリコン・ベース16の表面上の核生成に基づいて形成されたポリシリコン・エミッタ31が示される。この付着は、ポリシリコン・エミッタ31が図17の開口部24″を充填するまで継続する。図18に示すように、エミッタ31の材料は、ベース層20と短絡していない、そして、(図9のエミッタ30と異なり)エミッタ31は、側壁スペーサ26をアンダーカットしない。
(II)HIPOX開口部の幾何学的調整
固体COR反応生成物28は、自己制限反応を生じさせる。というのは、図13および14に示した結果間のインターバルの際に、COR反応生成物28(上述したものは、吸着反応物膜27の下に形成されている)は、酸化物(TEOS層22およびHIPOX層18)の反応表面へのフッ化水素およびアンモニアの拡散を妨げるからである。反応生成物28の自己制限厚さは反応条件を変えることによって調整できる。チャンバ44内のより高い圧力またはより低い温度は、自己制限厚さを増大させる。さらに、固体反応生成物28は、エッチングされる層22/18の酸化シリコンより多くの体積を占める。これは、開口部24/24′/24″を除くHIPOX層18の露出端部においてエッチングが少ないことを意味する。エッチングはこれらの端部で終了する。層28の自己制限厚さは、反応条件を変えることによって調整できる。
スペーサ26の端部から開口部24への酸化物突出部の長さは、アンダーカットから、除去される酸化物層18の厚さの約3倍まで変化し得る。単一のエッチング工程において、熱酸化物除去の最大厚さは約250Åである。
(III )プロセスの他の特徴
本発明の付着とCORエッチング・プロセスとの組み合せは、プロセス間の相互作用を調整するという利点を提供する。例えば、付着条件間の相互作用は、基板12の表面からHIPOX酸化物18を取り除くCORエッチング条件によって与えられた一定の構成の表面を生じさせる。結果として、酸化シリコン・プロファイルが与えられ、このプロファイルにおいて、ベース20とエミッタ31とが、外部ベース20およびエミッタ31間に分離を与えるTEOSと接し、それによって所望の構成を与える。
特に、化学的酸化物除去(COR)プロセスは、高度に選択的かつ自己終了であり、それによって所望の程度でのHIPOX層18のような酸化シリコンの薄い層の除去の制御を可能にし、HIPOX18の横方向の除去による意図しないアンダーカットの回避を可能にする。これに対して、ウェット・エッチング・プロセスは、自己終了と高い選択性との組み合せを提供せず、したがって、付着とエッチング・プロセスとの間の相互作用を調整する機会を提供できない。
管49からのHFと管53からのNH3 とを含む反応ガスの混合物は、酸化シリコンHIPOX層18の表面上に吸着反応物膜27を最初に形成する。好ましくは、反応ガスの混合物は、管47,バルブ48および管49を通ってチャンバ44へ導入されたHF(フッ化水素)ガスと管51,バルブ52および管53を通ってチャンバ44へ導入されたアンモニア(NH3 )ガスとの組み合せを含み、コンフォーマルHIPOX酸化シリコン層18を除去して、図17に示すように、開口部24″の基底部において結晶性シリコン基板12の表面を露出させる。
ここで述べる化学反応は、シリコンから二酸化シリコンを除去するようデザインされているが、化学物質のソースの他の組み合せおよび他の化学的工程を用いてもよい。例えば、水溶液と共に一般的に用いられる多数の異なる化学物質を、正確なエッチングをデザインされた本発明のデバイスにおいて用いることができる。
具体的なソースの一部は、固体フッ化水素アンモニウムからの気体蒸気,HF,NH3 ,およびH2 Oの溶液,HF,NH3 ,およびH2 Oの個別のソース,HFおよびNH3 の個別のソース,HFの気体もしくは溶液ソースと対になったNH3 /H2 Oの溶液などを含む。加えて、HFおよびアンモニアは、前駆ガスからプラズマで生成できる。水以外の溶媒または溶液を用いることができ、例えばアルコールを水と入れ替えてもよい。他の例として、多くのシリサイド上に形成される二酸化シリコンはHFを含有する凝縮層と反応できる。二酸化シリコン以外の酸化物は、HFおよびNH3 またはH2 Oを含有する凝縮層と反応する。例として、フッ化水素アンモニウム固体からの蒸気を含むHF蒸気化学物質がゲルマニウムを含む酸化物を除去することを実験が示した。純酸化ゲルマニウムであっても反応する。
アンモニウムイオン含有固体のソースあるいはアンモニアと酸とを含有する個別のソースを使用するいくつかの低圧または高温化学物質が存在する。酸との反応で形成されるアンモニウムイオン含有固体が特に揮発性でない一方でアンモニアが高い蒸気圧を有するという点でアンモニアはユニークである。したがって、酸化物に加えて膜をエッチングする多くの水性化学物質は、アンモニア含有凝縮膜において類似の反応を示す。材料をエッチングするとき、多量の材料をエッチングする必要はない。例えば、微量金属汚染の除去を本発明の方法によって達成できる。
バイポーラの製造において、ベース付着後の高温アニーリングは許されないので、厚いTEOS分離層が露出しているときに、シリコン付着より前にシリコン含有層を前洗浄するためにこの気相エッチングは十分適している。比較として、ウェットエッチングを用いた場合、アニールTEOS対HIPOXの10:1の比率によれば100ÅのHIPOXが除去される場合にTEOSの除去は約1000Åである。分離のために十分なTEOSは残らないこととなる。TEOS層はウェットエッチングによってダメージを受け、エミッタ/ベースの短絡が生じる。
代わりの実施形態において、プレベース洗浄プロセスは、トランジスタ領域が浅いトレンチ分離(STI)領域間の露出シリコンによって定められるということを除いて、上述したのと同様である。STI領域はウェットHFエッチングによってダメージを受け、大きいくぼみを生じさせることがある。SiGeエピタキシがHF/アンモニア洗浄表面の上に首尾よく成長できることを確認した。欠陥は観察されず、酸素レベルはデバイス要件を満たす。
(IV)露出酸化物アタック問題の解決
上述した問題(A)は、ベースまたはエミッタ領域から離れた露出酸化シリコンをアタックし、エミッタおよびベース間に短絡を生じさせあるいはSTIおよび他の場所に有害なトポグラフィを生じさせ、上に重なるシリコンの後のシリサイド化が困難になるということによって特徴づけられる。(TEOSが熱酸化物より速い速度でエッチングする水性HFエッチングと対照的に)熱酸化シリコンは、TEOSまたは他のタイプの酸化シリコンよりHF/アンモニア気相エッチングにおいて速い反応速度を有するので、この問題は、HF/アンモニア気相エッチングの使用によって効果的にアドレスされる。したがって、ベースHIPOXの気相エッチングを使用し、分離TEOSの完全な除去を防止することによってプレエミッタ洗浄後に形成される厚いTEOS分離を得ることができる。この気相エッチングの重要な利点は、TEOSを硬化させるために高温アニールは必要とされず、ドーパント熱拡散によるベースの劣化を回避するということである。同様に、水性HFエッチングと比較したとき、プレベース洗浄におけるSTI酸化シリコンのアタックは同等かまたは少ない。
(V)アンダーカット問題の解決
ベースおよびエミッタ間の分離フィーチャのアンダーカットの問題(上述の問題(B))は、二酸化シリコンとのHFおよびアンモニアの反応が、体積が拡大する固体反応生成物を生じさせるために解決される。固体反応生成物はアンダーカットを制限する、というのは、それが、反応HFおよびアンモニアに対する拡散バリアとして機能するからである。窒化物側壁スペーサの下の酸化物構造は、水性エッチング・プロセスにおけるようにはダメージを受けない。特に、気相エッチング後のHIPOXのコーナ・プロファイルは、尾形構造(tail-shaped structure)によって制御でき、あるいは、オーバーエッチング(overetch)の総量に従うほぼ垂直のプロファイルによって制御でき、その結果、アンダーカットが完全に防止される。気相エッチングによるアンダーカットの防止は、ベース付着前の熱酸化シリコン除去のようないくつかのモジュールにおいて極めて重要である。アンダーカットは、また、欠陥,漏れ,および好ましくないトポグラフィのような問題につながる。
さらに、ポリシリコンHIPOXと気相エッチングとの組み合せは、TEOS内に生じたパイプによる潜在的な漏れを防止することによってさらに良好な分離を与え、同時に、分離とキャパシタンス低減とのために十分厚いTEOSを保証する。
(VI)エッチング浸透問題の解決
図3および4を参照して問題(C)に関して上述したように、この問題は、後に随伴するCMOSのポリシリコン・ゲートになる露出シリコン内の欠陥および裂目に水性エッチングが浸透して、下にあるゲート酸化物をアタックし得るということである。本発明の好適な実施形態において、問題(C)は解決される。というのは、二酸化シリコンとのHFおよびアンモニアの反応が固体反応生成物を生じさせ、当該固体反応生成物の体積が拡張して酸化シリコン・ラインド(lined)裂目を埋めるからである。裂目を埋めるという本発明によってもたらされる利点は、酸化シリコンを溶かし、裂目に容易に浸透する水性エッチングと対照的である。
(VII)残留酸化物問題の解決
ベース/コレクタ界面での再成長に基づく残留酸化シリコンは、ベース・エピタキシの際に欠陥を生じさせ、エミッタおよびコレクタ間に漏れを引き起こす可能性がある(上述した問題(D))。第2の水性処理を用いて再成長酸化シリコンを除去する場合には、問題は、シリコンも除去されて荒さによる欠陥につながり得ることである。これらの問題は本発明において回避される。というのは、気相酸化物エッチングが後続のSi(またはSi/Ge)成長プロセスと統合されるので、前洗浄プロセスおよび成長プロセスが単一の真空システムにおいて実行され、雰囲気への露出および原酸化物(native oxide)の再成長を回避するからである。プレベース酸化シリコン洗浄における酸化シリコン再成長の驚くほど大きな影響のために、酸化シリコン除去チャンバをシリコンまたはシリコン/ゲルマニウム成長チャンバと統合できることが重要である。二酸化シリコンとのHFおよびアンモニアの反応は、低圧(10mTorr以下)で行うことができる。大気圧または少なくとも数Torrの圧力で一般的に行われる水性洗浄工程または一般的な蒸気HF洗浄工程と対照的に、低圧で行われるHFおよびアンモニアの二酸化シリコンとの反応は、シリコン/SiGe成長チャンバと容易に統合できる。
[統合されたエッチング/蒸発/付着プロセスを実行するための装置]
図19および図20〜22は、真空状態を中断することなしに、HFおよびアンモニア反応から、生成物蒸発,SiまたはSi/Ge付着へウェハを移動させることができるハンドラを備えるツールを示す。当該ツールは、生成物蒸発チャンバとSiまたはSiGe付着チャンバとを合体させることができ、それは、シングル・ウェハ・タイプに特有であってもよく、バッチ・タイプに特有であってもよい。バッチ・マルチチャンバ・ツールの重要な特徴は、チャンバ間を往復できる(酸化物エッチングおよびシリコン付着双方に適合する材料の)可搬カセットである。
図19は、ウェハのボートがプロセス・チャンバ間を往復するバッチ・プロセス装置の図である。SiGeへの適用については、ウェハはCOR(HFおよびアンモニア)反応チャンバ144内で反応し、次に、COR反応生成物の蒸発のためのチャンバ170へ輸送され、続いて最終的には、シリコン/SiGe付着チャンバ175へ輸送される。搬送ロッドTRは、カセットを、チャンバから中央チャンバ172内のハンドラ・フロッグまたはターンテーブルへ押し動かすために与えられる。代わりに、チャンバ170および175を同一のチャンバとすることができる。
図20〜22は、SiGeエピタキシ・システムを用いて本発明に従ってウェハを処理する実施形態を示す。図20はツールの左側立面を示し、図21は正面立面を示し、そして図22は右側立面を示す。水平配置が示されているが、垂直配置も可能である。
図21は搬送チャンバへ接続されたロード・ロック(load lock)LLを示し、搬送チャンバは、左側搬送チャンバ171まで続く左側管TTLと、右側搬送チャンバ145まで続く右側管TTRとを有する。左側搬送チャンバ171はCOR脱離チャンバ170へ接続されており、COR脱離チャンバ170は図20の左側立面において見ることができる。分離バルブIVは様々なチャンバを分離し、多数のボートを用いた稼働の場合に、反応チャンバ内で反応が起きているときに輸送が起こるようにする。
(5つの代表的なボート位置90A〜90Eに示される)ウェハ・ボート90は、複数のウェハのバッチを収容する。ボート90は、搬送ロッド91または92によって、ロード・ロックLLから搬送管TTLおよびTTRを通って搬送チャンバ171および145まで輸送される。次に、搬送ロッド94または95は、それぞれ、ボートをピックアップして当該ボートをCOR脱離チャンバ170またはCOR反応チャンバ144へ輸送する。チャンバ170は、SiGeまたはSi炉としてもよい。
ボートは様々な位置に示され、ボートは常圧ロード・ロックLLから左側管TTLおよび右側管TTRを有する中央搬送チャンバへ搬送されてもよい。そこからボートは、他の付属のプロセス・チャンバへ分配される。
ボートは、搬送チャンバ内で横方向または斜め方向に搬送され、次に、搬送チャンバの内側の動きの面または線に直角に他のチャンバへ挿入される。挿入は、搬送チャンバ内のウェハ・ボートの動きによって形成された面の一方の側または両側(かつ当該面と直交する)としてもよい。挿入が両側の場合、搬送チャンバの両側にプロセス・チャンバが存在することになる。図20の左側面図は、搬送チャンバの左側のみのプロセス・チャンバ170を示す。搬送ロッドは、当該チャンバへボートを引き込むことができ、またはプロセス・チャンバから搬送チャンバの反対側に位置して、プロセス・チャンバへ押し込まれてもよい。リフト・ピンが適切に含まれる。
上述の装置の追加の実施形態は以下の通りである。搬送チャンバ171/145は常圧ロード・ロックを含んでもよい。代わりに、常圧ロード・ロックを、各搬送チャンバの端部に(搬送チャンバ内のウェハの動きと共面または共線的に)与えてもよい。
中央チャンバ172は、垂直方向に可動でありリフト・ピンを適切に有する回転テーブルを含んでもよい。回転テーブルは、そこにくぼみまたはリセスを有して、ウェハ・ボートのハンドラ・アクセスおよび/または把持およびリフトを促進させてもよい。ウェハは、回転テーブルの中心に配されてもよく、あるいは1個以上のボートが同時に回転テーブルを占有できるように中心から外れて配されてもよい。
当該装置が中央チャンバを含む場合、搬送ロッドを中央チャンバに対向するプロセス・チャンバ上に備えてボートをプロセス・チャンバへ引き込んでもよい。代わりに、搬送ロッドをプロセス・チャンバに対向する中央チャンバ上に備えて、ウェハをプロセス・チャンバへ押し込むようにしてもよい。この場合には、プロセス・チャンバは、相互に直線的に対向し得ない。
それぞれのタイプの搬送は、米国特許第5,636,320号公報に述べられたチャンバに適合でき、あるいは、反応のための個々のチャンバおよび蒸発もしくは熱脱離による反応生成物の除去のための個々のチャンバに適合できる。
それぞれのタイプの搬送は、ウェハをHFおよびアンモニアの混合物へ露出させる1以上のチャンバに適合でき、当該チャンバは、搬送チャンバを通って、二酸化シリコンとHFおよびアンモニアとの反応生成物をウェハの表面から除去する1以上のチャンバへ接続される。
他のプロセス・チャンバを上述のツールへ取り付けて、さらなるプロセス統合を与えてもよい。このようなチャンバは、ポリシリコン付着,先進のゲート誘電体付着,または導体/コンタクト・ライナCVDのためのチャンバを含んでもよい。特に、1以上の管炉(tube furnace)をツールに取り付けてもよい。ウェハ・ボートの配置は、搬送方向と直交または並行であってもよい。ウェハの面は、地面と直交してもよくあるいは並行でもよい。
上述した気相エッチング・プロセスの様々な段階に対する温度要件が異なることに留意されたい。酸化物とのHF/アンモニアの反応は、室温に近い安定したチャンバ温度を要求する。反応生成物の蒸発は、反応生成物がウェハから蒸発した後にチャンバ壁上に再凝結しないように、100℃に近いチャンバ温度を一般的に必要とする。反応および蒸発を別々のチャンバで実行することにより、蒸発の後にチャンバを冷却するために要求される余分な時間を排除する。特に、HF/アンモニア気相エッチング・ツールを、ホット・プロセス炉と組み合せる場合に強みがあり、続いて、ホット・プロセス炉を反応生成物の蒸発のために用いることができる。
まとめとして、本発明の構成に関して以下の事項を開示する。
(1)テトラエチルオルソシリケート(TEOS)ガラス層と前記TEOSガラス層の下の中間層とを貫いて開口を形成し、前記開口はシリコン含有材料の露出面まで達し、前記開口は前記TEOSガラス層と前記中間層との側壁を含む前記開口の側壁を露出させる工程と、前記開口の側壁上に側壁スペーサを形成し、前記TEOSガラス層および前記中間層の側壁を覆う工程と、前記シリコン含有材料を化学的酸化物除去(COR)プロセスによって選択的にエッチングし、前記TEOS層をその場に残留させて前記中間層を保護する工程と、を含むプロセス。
(2)前記シリコン含有材料は、高圧酸化物(HIPOX)材料を含む上記(1)記載のプロセス。
(3)前記選択的にエッチングする工程の際に、前記TEOS層の一部が固体反応生成物へ転換され、前記シリコン含有材料の露出部分が固体反応生成物へ転換される上記(1)記載のプロセス。
(4)前記選択的にエッチングする工程は、前記TEOS層と前記シリコン含有材料との露出面を含む露出面の上にHFおよびNH3 のブランケット吸着反応物膜を形成する工程と、前記TEOS層の一部と前記シリコン含有材料の露出部分とを固体反応生成物へ転換する前記TEOS層の一部を固体反応生成物へ転換する工程と、を含む上記(1)記載のプロセス。
(5)前記エッチング・プロセスは、CORガスを流入させて、前記シリコン含有材料の露出面と、側壁スペーサと、前記TEOS層との上にHFおよびNH3 の吸着反応物膜を形成する工程と、前記吸着反応物膜の下に前記固体反応生成物を形成する工程と、前記真空チャンバへのCORガスの流入を終了する工程と、加熱して前記真空チャンバから前記固体反応生成物を除去する工程と、を含む上記(3)記載のプロセス。
(6)前記シリコン含有材料を選択的にエッチングする工程は、シール反応チャンバ内で実行される上記(1)記載のプロセス。
(7)前記CORプロセスは、HFおよびアンモニアNH3 蒸気の混合物を用いる上記(1)記載のプロセス。
(8)前記エッチング・プロセスは、COR反応ガスのソースからのバルブを開いてシール反応チャンバへCOR反応物ガスを流入させることによって約10ミリトル以下の低圧で前記シール反応チャンバ内で吸着反応物膜の下に固体反応生成物を形成する工程を実行する工程と、前記COR反応ガスのソースからのバルブを閉じて前記シール反応チャンバへのCORガスの流入を終了する工程と、加熱して前記シール反応チャンバから前記固体反応生成物を除去する工程と、を含む上記(1)記載のプロセス。
(9)前記CORプロセスは、HFおよびアンモニアNH3 蒸気の混合物を用いる上記(8)記載のプロセス。
(10)シランおよびジクロロシランより成るグループから選択されたエミッタ形成ガスを前記エミッタ形成ガスの分解温度を超えて加熱されたワークピースを含むチャンバへ導入する工程を実行して、前記反応生成物の除去の後に前記開口内にエミッタを形成する上記(8)記載のプロセス。
(11)第1の酸化シリコン材料と前記第1の酸化シリコン材料の下の中間層とを貫いて開口を形成し、前記開口は第2の酸化シリコン材料の露出面まで達し、前記開口は前記第1の酸化シリコン材料および前記中間層の側壁を含む前記開口の側壁を露出させる工程と、前記開口の側壁上に側壁スペーサを形成し、前記第1の酸化シリコン層および前記中間層の側壁を覆う工程と、化学的酸化物除去(COR)プロセスによって前記第2の酸化シリコン材料を選択的にエッチングし、前記第1の酸化シリコン材料をその場に残留させて前記中間層を保護する工程と、を含むプロセス。
(12)前記第2の酸化シリコン材料は、高圧酸化物(HIPOX)材料を含む上記(11)記載のプロセス。
(13)前記選択的にエッチングする工程の際に、前記第1の酸化シリコン材料の一部が固体反応生成物へ転換され、前記第2の酸化シリコン材料の露出部分が固体反応生成物へ転換される上記(11)記載のプロセス。
(14)前記選択的にエッチングする工程は、前記第1の酸化シリコン材料および前記第2の酸化シリコン材料の露出面を含む露出面上にHFおよびNH3 のブランケット吸着反応物膜を形成する工程と、前記第1の酸化シリコン材料の一部と前記第2の酸化シリコン材料の露出部分とが固体反応生成物へ転換される前記第1の酸化シリコン材料の一部を固体反応生成物へ転換する工程と、を含む上記(11)記載のプロセス。
(15)前記エッチング・プロセスは、CORガスを流入させて、前記第2の酸化シリコン材料の露出面と、側壁スペーサと、前記第1の酸化シリコン材料との上にHFおよびNH3 の吸着反応物膜を形成する工程と、前記吸着反応物膜の下に前記固体反応生成物を形成する工程と、前記真空チャンバへのCORガスの流入を終了する工程と、加熱して前記真空チャンバから前記固体反応生成物を除去する工程と、を含む上記(13)記載のプロセス。
(16)前記第2の酸化シリコン材料を選択的にエッチングする工程は、シール反応チャンバで実行される上記(11)記載のプロセス。
(17)前記CORプロセスは、HFおよびアンモニアNH3 蒸気の混合物を用いる上記(11)記載のプロセス。
(18)前記エッチング・プロセスは、COR反応ガスのソースからのバルブを開いてCOR反応チャンバへCOR反応物ガスを流入させることによって約10ミリトル以下の低圧で前記COR反応チャンバ内で吸着反応物膜の下に固体反応生成物を形成する工程を実行する工程と、前記COR反応ガスのソースからのバルブを閉じて前記真空チャンバへのCORガスの流入を終了する工程と、加熱して前記真空チャンバから前記固体反応生成物を除去する工程と、を含む上記(16)記載のプロセス。
(19)前記CORプロセスは、HFおよびアンモニアNH3 蒸気の混合物を用いる上記(18)記載のプロセス。
(20)前洗浄真空チャンバと、超高真空(UHV)圧における低速でSiGe層を付着するための超高真空(UHV)炉付着チャンバとを備え、前記前洗浄チャンバと前記炉付着チャンバとは中間エンクロージャによって相互接続されており、真空状態を中断することなしに、前記前洗浄チャンバから前記中間エンクロージャを通って前記炉付着チャンバまで複数のウェハのカセットを通過させて、前記前洗浄真空チャンバと前記炉付着チャンバとの間の前記カセットの移動の際に雰囲気への露出からワークを保護する手段を備えるバッチ・システム。
バイポーラ・デバイスにおいてエミッタ/ベース側壁分離窒化物のアンダーカットの問題を説明する図である。 バイポーラ・デバイスにおいてエミッタ/ベース側壁分離窒化物のアンダーカットの問題を説明する図である。 水性エッチング溶液が浸透して下にあるゲート酸化物層をアタックし得るCMOSデバイスの露出したゲート電極ポリシリコン層内の欠陥および裂目の問題を説明する図である。 水性エッチング溶液が浸透して下にあるゲート酸化物層をアタックし得るCMOSデバイスの露出したゲート電極ポリシリコン層内の欠陥および裂目の問題を説明する図である。 バイポーラ構造に対してウェット・ケミカル・エッチングを用いる問題の他の側面を説明する図である。 バイポーラ構造に対してウェット・ケミカル・エッチングを用いる問題の他の側面を説明する図である。 バイポーラ構造に対してウェット・ケミカル・エッチングを用いる問題の他の側面を説明する図である。 バイポーラ構造に対してウェット・ケミカル・エッチングを用いる問題の他の側面を説明する図である。 バイポーラ構造に対してウェット・ケミカル・エッチングを用いる問題の他の側面を説明する図である。 図5〜9と共に上述したタイプのバイポーラ構造を製造する場合の本発明の実施の形態に係る気相エッチング(すなわちドライエッチング・プロセスを用いる)のプロセスを説明する図である。 図5〜9と共に上述したタイプのバイポーラ構造を製造する場合の本発明の実施の形態に係る気相エッチング(すなわちドライエッチング・プロセスを用いる)のプロセスを説明する図である。 図5〜9と共に上述したタイプのバイポーラ構造を製造する場合の本発明の実施の形態に係る気相エッチング(すなわちドライエッチング・プロセスを用いる)のプロセスを説明する図である。 図5〜9と共に上述したタイプのバイポーラ構造を製造する場合の本発明の実施の形態に係る気相エッチング(すなわちドライエッチング・プロセスを用いる)のプロセスを説明する図である。 図5〜9と共に上述したタイプのバイポーラ構造を製造する場合の本発明の実施の形態に係る気相エッチング(すなわちドライエッチング・プロセスを用いる)のプロセスを説明する図である。 図5〜9と共に上述したタイプのバイポーラ構造を製造する場合の本発明の実施の形態に係る気相エッチング(すなわちドライエッチング・プロセスを用いる)のプロセスを説明する図である。 図5〜9と共に上述したタイプのバイポーラ構造を製造する場合の本発明の実施の形態に係る気相エッチング(すなわちドライエッチング・プロセスを用いる)のプロセスを説明する図である。 図5〜9と共に上述したタイプのバイポーラ構造を製造する場合の本発明の実施の形態に係る気相エッチング(すなわちドライエッチング・プロセスを用いる)のプロセスを説明する図である。 図5〜9と共に上述したタイプのバイポーラ構造を製造する場合の本発明の実施の形態に係る気相エッチング(すなわちドライエッチング・プロセスを用いる)のプロセスを説明する図である。 真空状態を中断することなしに、ウェハを、HFおよびアンモニア反応から、生成物蒸発,SiまたはSi/Ge付着へ移動させることができるハンドラを有する本発明に係るツールを示す図である。 真空状態を中断することなしに、ウェハを、HFおよびアンモニア反応から、生成物蒸発,SiまたはSi/Ge付着へ移動させることができるハンドラを有する本発明に係る他のツールを示す図である。 真空状態を中断することなしにウェハを、HFおよびアンモニア反応から、生成物蒸発,SiまたはSi/Ge付着へ移動させることができるハンドラを有する本発明に係るツールを示す図である。 真空状態を中断することなしにウェハを、HFおよびアンモニア反応から、生成物蒸発,SiまたはSi/Ge付着へ移動させることができるハンドラを有する本発明に係るツールを示す図である。
符号の説明
SW 窒化シリコン側壁スペーサ
W 開口部
TS TEOS層
PS ポリシリコン層
HX HIPOX層
SI シリコン基板
BP バイポーラ・デバイス
UC アンダーカット
PD ポリシリコン欠陥
GP ゲート電極ポリシリコン
GX ブランケット・ゲート酸化物層
CM CMOSデバイス
OD 酸化物欠陥
10 デバイス
12 シリコン基板
14 ドープ・シリコン・コレクタ
16 ドープ・シリコン・ベース
18 HIPOX層
20 外部ベース・ポリシリコン層
22 TEOS層
24,24′,24″ 開口部
26 スペーサ
27 COR吸着反応物膜
28 反応生成物
29 側壁スペーサ26の尖鋭部
30,31 エミッタ
44 COR反応チャンバ
47,49,51,53,58 管
48,52,56 バルブ
54,62 排気管
60 排気ポンプ
67 吸気管
69 管
68,76 バルブ
70 加熱チャンバ
74,78 排気管
80 ポンプ
82 排気口
91,92,94,95 搬送ロッド
144 COR反応チャンバ
145 右側搬送チャンバ
170 COR脱離チャンバ
171 左側搬送チャンバ
TR 搬送ロッド
LL ロード・ロック
TTL 左側管
TTR 右側管
IV 分離バルブ

Claims (20)

  1. テトラエチルオルソシリケート(TEOS)ガラス層と前記TEOSガラス層の下の中間層とを貫いて開口を形成し、前記開口はシリコン含有材料の露出面まで達し、前記開口は前記TEOSガラス層と前記中間層との側壁を含む前記開口の側壁を露出させる工程と、
    前記開口の側壁上に側壁スペーサを形成し、前記TEOSガラス層および前記中間層の側壁を覆う工程と、
    前記シリコン含有材料を化学的酸化物除去(COR)プロセスによって選択的にエッチングし、前記TEOS層をその場に残留させて前記中間層を保護する工程と、
    を含むプロセス。
  2. 前記シリコン含有材料は、高圧酸化物(HIPOX)材料を含む請求項1記載のプロセス。
  3. 前記選択的にエッチングする工程の際に、前記TEOS層の一部が固体反応生成物へ転換され、前記シリコン含有材料の露出部分が固体反応生成物へ転換される請求項1記載のプロセス。
  4. 前記選択的にエッチングする工程は、
    前記TEOS層と前記シリコン含有材料との露出面を含む露出面の上にHFおよびNH3 のブランケット吸着反応物膜を形成する工程と、
    前記TEOS層の一部と前記シリコン含有材料の露出部分とを固体反応生成物へ転換する前記TEOS層の一部を固体反応生成物へ転換する工程と、
    を含む請求項1記載のプロセス。
  5. 前記エッチング・プロセスは、
    CORガスを流入させて、前記シリコン含有材料の露出面と、側壁スペーサと、前記TEOS層との上にHFおよびNH3 の吸着反応物膜を形成する工程と、
    前記吸着反応物膜の下に前記固体反応生成物を形成する工程と、
    前記真空チャンバへのCORガスの流入を終了する工程と、
    加熱して前記真空チャンバから前記固体反応生成物を除去する工程と、
    を含む請求項3記載のプロセス。
  6. 前記シリコン含有材料を選択的にエッチングする工程は、シール反応チャンバ内で実行される請求項1記載のプロセス。
  7. 前記CORプロセスは、HFおよびアンモニアNH3 蒸気の混合物を用いる請求項1記載のプロセス。
  8. 前記エッチング・プロセスは、
    COR反応ガスのソースからのバルブを開いてシール反応チャンバへCOR反応物ガスを流入させることによって約10ミリトル以下の低圧で前記シール反応チャンバ内で吸着反応物膜の下に固体反応生成物を形成する工程を実行する工程と、
    前記COR反応ガスのソースからのバルブを閉じて前記シール反応チャンバへのCORガスの流入を終了する工程と、
    加熱して前記シール反応チャンバから前記固体反応生成物を除去する工程と、
    を含む請求項1記載のプロセス。
  9. 前記CORプロセスは、HFおよびアンモニアNH3 蒸気の混合物を用いる請求項8記載のプロセス。
  10. シランおよびジクロロシランより成るグループから選択されたエミッタ形成ガスを前記エミッタ形成ガスの分解温度を超えて加熱されたワークピースを含むチャンバへ導入する工程を実行して、前記反応生成物の除去の後に前記開口内にエミッタを形成する請求項8記載のプロセス。
  11. 第1の酸化シリコン材料と前記第1の酸化シリコン材料の下の中間層とを貫いて開口を形成し、前記開口は第2の酸化シリコン材料の露出面まで達し、前記開口は前記第1の酸化シリコン材料および前記中間層の側壁を含む前記開口の側壁を露出させる工程と、
    前記開口の側壁上に側壁スペーサを形成し、前記第1の酸化シリコン材料および前記中間層の側壁を覆う工程と、
    化学的酸化物除去(COR)プロセスによって前記第2の酸化シリコン材料を選択的にエッチングし、前記第1の酸化シリコン材料をその場に残留させて前記中間層を保護する工程と、
    を含むプロセス。
  12. 前記第2の酸化シリコン材料は、高圧酸化物(HIPOX)材料を含む請求項11記載のプロセス。
  13. 前記選択的にエッチングする工程の際に、前記第1の酸化シリコン材料の一部が固体反応生成物へ転換され、前記第2の酸化シリコン材料の露出部分が固体反応生成物へ転換される請求項11記載のプロセス。
  14. 前記選択的にエッチングする工程は、
    前記第1の酸化シリコン材料および前記第2の酸化シリコン材料の露出面を含む露出面上にHFおよびNH3 のブランケット吸着反応物膜を形成する工程と、
    前記第1の酸化シリコン材料の一部と前記第2の酸化シリコン材料の露出部分とが固体反応生成物へ転換される前記第1の酸化シリコン材料の一部を固体反応生成物へ転換する工程と、
    を含む請求項11記載のプロセス。
  15. 前記エッチング・プロセスは、
    CORガスを流入させて、前記第2の酸化シリコン材料の露出面と、側壁スペーサと、前記第1の酸化シリコン材料との上にHFおよびNH3 の吸着反応物膜を形成する工程と、
    前記吸着反応物膜の下に前記固体反応生成物を形成する工程と、
    前記真空チャンバへのCORガスの流入を終了する工程と、
    加熱して前記真空チャンバから前記固体反応生成物を除去する工程と、
    を含む請求項13記載のプロセス。
  16. 前記第2の酸化シリコン材料を選択的にエッチングする工程は、シール反応チャンバで実行される請求項11記載のプロセス。
  17. 前記CORプロセスは、HFおよびアンモニアNH3 蒸気の混合物を用いる請求項11記載のプロセス。
  18. 前記エッチング・プロセスは、
    COR反応ガスのソースからのバルブを開いてCOR反応チャンバへCOR反応物ガスを流入させることによって約10ミリトル以下の低圧で前記COR反応チャンバ内で吸着反応物膜の下に固体反応生成物を形成する工程を実行する工程と、
    前記COR反応ガスのソースからのバルブを閉じて前記真空チャンバへのCORガスの流入を終了する工程と、
    加熱して前記真空チャンバから前記固体反応生成物を除去する工程と、
    を含む請求項16記載のプロセス。
  19. 前記CORプロセスは、HFおよびアンモニアNH3 蒸気の混合物を用いる請求項18記載のプロセス。
  20. 前洗浄真空チャンバと、
    超高真空(UHV)圧における低速でSiGe層を付着するための超高真空(UHV)炉付着チャンバとを備え、
    前記前洗浄チャンバと前記炉付着チャンバとは中間エンクロージャによって相互接続されており、
    真空状態を中断することなしに、前記前洗浄チャンバから前記中間エンクロージャを通って前記炉付着チャンバまで複数のウェハのカセットを通過させて、前記前洗浄真空チャンバと前記炉付着チャンバとの間の前記カセットの移動の際に雰囲気への露出からワークを保護する手段を備えるバッチ・システム。


JP2003380487A 2002-12-10 2003-11-10 半導体プロセス Expired - Fee Related JP4009243B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/316,211 US6858532B2 (en) 2002-12-10 2002-12-10 Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling

Publications (2)

Publication Number Publication Date
JP2004193575A true JP2004193575A (ja) 2004-07-08
JP4009243B2 JP4009243B2 (ja) 2007-11-14

Family

ID=32468851

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003380487A Expired - Fee Related JP4009243B2 (ja) 2002-12-10 2003-11-10 半導体プロセス

Country Status (3)

Country Link
US (1) US6858532B2 (ja)
JP (1) JP4009243B2 (ja)
CN (1) CN1291450C (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006253633A (ja) * 2005-02-14 2006-09-21 Tokyo Electron Ltd 基板の処理方法、化学機械研磨後洗浄方法、電子デバイスの製造方法及びプログラム
JP2006270032A (ja) * 2005-02-23 2006-10-05 Tokyo Electron Ltd 基板の表面処理方法、基板の洗浄方法、及びプログラム
WO2007023639A1 (ja) * 2005-08-25 2007-03-01 Tokyo Electron Limited 基板処理装置,基板処理装置の基板搬送方法,プログラム,プログラムを記録した記録媒体
WO2007074695A1 (ja) * 2005-12-28 2007-07-05 Tokyo Electron Limited エッチング方法及び記録媒体
WO2007088940A1 (ja) * 2006-02-02 2007-08-09 Tokyo Electron Limited 減圧処理装置
WO2007116851A1 (ja) * 2006-03-29 2007-10-18 Tokyo Electron Limited 基板処理装置、基板処理方法及び記憶媒体
JP2008010619A (ja) * 2006-06-29 2008-01-17 Tokyo Electron Ltd エッチング方法及び記録媒体
JP2008078618A (ja) * 2006-08-24 2008-04-03 Fujitsu Ltd 基板処理方法、半導体装置の製造方法、基板処理装置および記録媒体
JP2008135632A (ja) * 2006-11-29 2008-06-12 Tokyo Electron Ltd キャパシタ電極の製造方法と製造システムおよび記録媒体
JP2008166513A (ja) * 2006-12-28 2008-07-17 Tokyo Electron Ltd キャパシタ電極の製造方法、エッチング方法およびエッチングシステム、ならびに記憶媒体
JPWO2007049510A1 (ja) * 2005-10-27 2009-04-30 東京エレクトロン株式会社 処理方法及び記録媒体
JP2013175745A (ja) * 2006-02-03 2013-09-05 Applied Materials Inc エピタキシャル堆積プロセス及び装置

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4833512B2 (ja) * 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
KR100525300B1 (ko) * 2003-12-23 2005-11-02 동부아남반도체 주식회사 소자분리막 형성 방법
US7214978B2 (en) * 2004-02-27 2007-05-08 Micron Technology, Inc. Semiconductor fabrication that includes surface tension control
US20050218114A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7144785B2 (en) * 2004-11-01 2006-12-05 Advanced Micro Devices, Inc. Method of forming isolation trench with spacer formation
EP1900034B1 (en) * 2005-06-27 2011-08-10 Nxp B.V. Bipolar transistor and method of manufacturing the same
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7384835B2 (en) * 2006-05-25 2008-06-10 International Business Machines Corporation Metal oxide field effect transistor with a sharp halo and a method of forming the transistor
US7888745B2 (en) * 2006-06-21 2011-02-15 International Business Machines Corporation Bipolar transistor with dual shallow trench isolation and low base resistance
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
DE102006046790B4 (de) * 2006-10-02 2014-01-02 Infineon Technologies Ag Integriertes Bauelement und Verfahren zum Trennen einer elektrisch leitfähigen Verbindung
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
CN102113138A (zh) * 2008-08-01 2011-06-29 欧瑞康太阳Ip股份公司(特吕巴赫) 用于制作光伏电池结构的方法
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8482101B2 (en) * 2009-06-22 2013-07-09 International Business Machines Corporation Bipolar transistor structure and method including emitter-base interface impurity
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
CN102305691B (zh) * 2011-05-23 2013-01-02 厦门保圣复材科技有限公司 球拍球杆重量平衡测试方法与系统
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US8536012B2 (en) 2011-07-06 2013-09-17 International Business Machines Corporation Bipolar junction transistors with a link region connecting the intrinsic and extrinsic bases
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
TWM610611U (zh) * 2011-10-05 2021-04-21 美商應用材料股份有限公司 羥化基材表面的裝置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US20140073106A1 (en) 2012-09-12 2014-03-13 International Business Machines Corporation Lateral bipolar transistor and cmos hybrid technology
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
CN104733283A (zh) * 2013-12-23 2015-06-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件表面预清洁方法
CN103730357A (zh) * 2014-01-07 2014-04-16 上海华虹宏力半导体制造有限公司 Npn晶体管及形成方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN105097506B (zh) * 2014-04-29 2018-11-27 无锡华润上华科技有限公司 多晶硅发射极垂直npn晶体管的制造方法
US9337310B2 (en) 2014-05-05 2016-05-10 Globalfoundries Inc. Low leakage, high frequency devices
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US10050115B2 (en) 2014-12-30 2018-08-14 Globalfoundries Inc. Tapered gate oxide in LDMOS devices
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US9722057B2 (en) * 2015-06-23 2017-08-01 Global Foundries Inc. Bipolar junction transistors with a buried dielectric region in the active device region
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JPH1036970A (ja) 1996-07-22 1998-02-10 Nissin Electric Co Ltd 薄膜気相成長装置
US6090683A (en) 1997-06-16 2000-07-18 Micron Technology, Inc. Method of etching thermally grown oxide substantially selectively relative to deposited oxide
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6620729B1 (en) * 2001-09-14 2003-09-16 Lsi Logic Corporation Ion beam dual damascene process

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006253633A (ja) * 2005-02-14 2006-09-21 Tokyo Electron Ltd 基板の処理方法、化学機械研磨後洗浄方法、電子デバイスの製造方法及びプログラム
JP2006270032A (ja) * 2005-02-23 2006-10-05 Tokyo Electron Ltd 基板の表面処理方法、基板の洗浄方法、及びプログラム
WO2007023639A1 (ja) * 2005-08-25 2007-03-01 Tokyo Electron Limited 基板処理装置,基板処理装置の基板搬送方法,プログラム,プログラムを記録した記録媒体
JPWO2007049510A1 (ja) * 2005-10-27 2009-04-30 東京エレクトロン株式会社 処理方法及び記録媒体
JP4762998B2 (ja) * 2005-10-27 2011-08-31 東京エレクトロン株式会社 処理方法及び記録媒体
WO2007074695A1 (ja) * 2005-12-28 2007-07-05 Tokyo Electron Limited エッチング方法及び記録媒体
JP2007180418A (ja) * 2005-12-28 2007-07-12 Tokyo Electron Ltd エッチング方法及び記録媒体
JP2007208042A (ja) * 2006-02-02 2007-08-16 Tokyo Electron Ltd 減圧処理装置
WO2007088940A1 (ja) * 2006-02-02 2007-08-09 Tokyo Electron Limited 減圧処理装置
JP2013175745A (ja) * 2006-02-03 2013-09-05 Applied Materials Inc エピタキシャル堆積プロセス及び装置
WO2007116851A1 (ja) * 2006-03-29 2007-10-18 Tokyo Electron Limited 基板処理装置、基板処理方法及び記憶媒体
JP2008010619A (ja) * 2006-06-29 2008-01-17 Tokyo Electron Ltd エッチング方法及び記録媒体
JP2008078618A (ja) * 2006-08-24 2008-04-03 Fujitsu Ltd 基板処理方法、半導体装置の製造方法、基板処理装置および記録媒体
JP2008135632A (ja) * 2006-11-29 2008-06-12 Tokyo Electron Ltd キャパシタ電極の製造方法と製造システムおよび記録媒体
JP2008166513A (ja) * 2006-12-28 2008-07-17 Tokyo Electron Ltd キャパシタ電極の製造方法、エッチング方法およびエッチングシステム、ならびに記憶媒体

Also Published As

Publication number Publication date
US6858532B2 (en) 2005-02-22
JP4009243B2 (ja) 2007-11-14
US20040110354A1 (en) 2004-06-10
CN1507008A (zh) 2004-06-23
CN1291450C (zh) 2006-12-20

Similar Documents

Publication Publication Date Title
JP4009243B2 (ja) 半導体プロセス
US10741435B2 (en) Oxidative volumetric expansion of metals and metal containing compounds
US9441298B2 (en) Devices including metal-silicon contacts using indium arsenide films and apparatus and methods
US7780793B2 (en) Passivation layer formation by plasma clean process to reduce native oxide growth
US10177227B1 (en) Method for fabricating junctions and spacers for horizontal gate all around devices
KR102441239B1 (ko) 에칭 방법
JP2520990B2 (ja) 低欠陥ポリシリコン集積回路の製造方法
US20200161131A1 (en) Forming method of epitaxial layer, forming method of 3d nand memory and annealing apparatus
US20110272279A1 (en) Apparatus for manufacturing semiconductor device and method for manufacturing semiconductor device by using the same
US20070039924A1 (en) Low-temperature oxide removal using fluorine
JP2009060110A (ja) ゲルマナイド成長の改良方法およびそれにより得られたデバイス
US9824919B2 (en) Recess filling method and processing apparatus
US20230045689A1 (en) Method of forming interconnect for semiconductor device
US10854511B2 (en) Methods of lowering wordline resistance
US10636659B2 (en) Selective deposition for simplified process flow of pillar formation
WO2022205121A1 (en) Method for forming semiconductor structure
KR20230129520A (ko) Cd 의존 갭 충전 및 컨포멀 막들
Raaijmakers et al. Enabling technologies for forming and contacting shallow junctions in Si: HF-vapor cleaning and selective epitaxial growth of Si and SiGe
JP3199945B2 (ja) 半導体装置の製造方法およびその製造装置
US20210013038A1 (en) Methods of Forming Tungsten Pillars
JP7478776B2 (ja) ゲートスタック形成のための統合湿式洗浄
US20230420232A1 (en) Integrated method and tool for high quality selective silicon nitride deposition
TW202409321A (zh) 用於高品質選擇性氮化矽沉積的集成方法及工具
KR20220113516A (ko) 선택적 갭 충전을 위한 저온 플라즈마 사전-세정
US20010001495A1 (en) Method for reducing contact resistance

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050907

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20051202

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20051207

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060306

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070508

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070802

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070828

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070831

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100907

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100907

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110907

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120907

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130907

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees