CN1252313C - 清洗和调理等离子体反应腔体的方法 - Google Patents
清洗和调理等离子体反应腔体的方法 Download PDFInfo
- Publication number
- CN1252313C CN1252313C CNB008174482A CN00817448A CN1252313C CN 1252313 C CN1252313 C CN 1252313C CN B008174482 A CNB008174482 A CN B008174482A CN 00817448 A CN00817448 A CN 00817448A CN 1252313 C CN1252313 C CN 1252313C
- Authority
- CN
- China
- Prior art keywords
- cavity
- conditioning
- gas
- wafer
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Analytical Chemistry (AREA)
- Plasma & Fusion (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
一种清洗和调理等离子体反应腔体内表面的方法,在该腔体中加工例如硅晶片的基片。该方法包括例如通过湿洗或就地等离子体清洗的清洗腔体,将调理气体通入到腔体中,将调理气体激发成等离子体,在内表面上沉积一层聚合物层和加工基片。进行调理步骤可在腔体内没有例如晶片的基片,而且在加工晶片产品之前不用使调理晶片通过腔体而进行加工步骤。在用于蚀刻铝的等离子体反应腔体的情况下,调理气体能够包括含氟气体,含碳气体和含氯气体。
Description
技术背景
在半导体晶片的加工过程中,传统的是周期地就地进行等离子体蚀刻和CVD反应器的清洗。美国专利申请公开了一种用于清洗半导体晶片处理装置中CVD沉积腔体的方法,其中将腔体中的氟残留物,先前的氟等离子清洗步骤中留存的,与一种或多种例如硅烷(SiH4)、氨、氢气、膦(PH3)、乙硼烷(B2H6)和胂(AsH3)的还原气体接触。另一种用于清洗和调理等离子体CVD反应器的技术在本发明人自己的美国专利申请U.S.№.5647953中公开,其主要内容本文结合引用。过去,所作的这些清洗是在腔体中有晶片以便防护电极,但是现已变成更普遍的无晶片清洗。
其他用于清洗等离子体反应腔体的技术还公开于本发明人自己的美国专利申请U.S.№.5356478;和美国专利申请U.S.№.4657616;4786352;4816113;4842683,4857139;5006192;5129958;5158644和5207836以及日本待审公开专利申请№.57-201016;61-250185,62-214175,63-267430和3-62520中。例如,为了去除SiOx沉积物,已经使用一种激发成等离子态的含氟气体清洗腔体的内表面。通过将如氢气(H2)、硅烷(SiH4)、氨(NH4)、膦(PH3)、乙硼烷(B2H6)或胂(AsH3)的还原气体通过反应器就能够去除反应器清洗后留存的氟残留物。
通常也使用腔体调理加工,其中在调理过程期间将一种晶片放置在腔体中以保护电极。这些调理过程用于等离子体清洗后的沉积反应器是非常普通的,同时用于湿洗后的蚀刻反应器也是非常普通的。有时晶片上有沉积的膜,该膜有助于调理过程作为一个实施例,已经使用抗涂覆的晶片以加速调理过程。这些调理步骤可以使用没有底部电源来干燥腔体壁的加工条件。
当加工产品晶片时,使用调理晶片来干燥等离子体反应腔体以获得重新加工条件的问题是这些调理晶片增加了成本,同时导致产量下降。因比希望有在成本和生产率方面都更经济的一种调理处理。
附图简述
图1表示在本发明的无晶片自动清洗过程中703纳米发射的数据;
图2描述了0.25μm图案测试晶片的预蚀刻结构;
图3是用抗蚀剂晶片的腔体调理后和本发明的无晶片自动清洗过程后图案晶片终点轨迹的对比;
图4a-d是在本发明的无晶片自动清洗过程和无晶片恢复步骤后蚀刻结构的显微照片,和图4e是在腔体中加工的使用抗蚀剂晶片调理的蚀刻结构的显微照片;
图5a-d是在本发明的无晶片自动清洗过程和无晶片恢复步骤后蚀刻结构的显微照片,和图5e是在腔体中加工的使用抗蚀剂晶片调理的蚀刻结构的显微照片;和
图6a-c是在本发明的无晶片自动清洗过程和无晶片恢复步骤后蚀刻结构的显微照片,和图6d-f是在腔体中加工的使用抗蚀剂晶片调理的蚀刻结构的显微照片。
发明概述
本发明提供了一种清洗和处理加工基片的等离子体反应腔体的方法,包括的步骤是:清洗等离子体反应腔体以去除在腔体内表面上积累的沉积物,将包括含氟气体和含碳气体的调理气体通入到腔体中,将调理气体激发成等离子体,在腔体的内表面沉积一层聚合物层,以及在沉积步骤后加工腔体中基片。术语“聚合物”层是描述可以包含蚀刻或沉积副产品的有机物膜。
根据本发明一个优选的实施方案,通过将调理气体暴露在感应电场中来进行激发步骤,该感应电场由在射频天线线圈中射频电流谐振而产生,该射频天线线圈放置在腔体的外部,靠近形成腔体外壳部件的绝缘屏蔽件。另外,通过打开腔体并实行湿洗来进行清洗步骤,或者通过将清洗气体通入到腔体中,将清洗气体激发成等离子体,用等离子体接触内表面而去除沉积物进行清洗步骤。在优选的方法中,当腔体中不含有基片和/或基片包含一产品晶片的时候进行调理步骤,同时在加工产品晶片之前不需要在腔体中加工调理晶片而进行加工步骤。并且,进行调理步骤时并不需要对基片件支撑的射频偏压电极通电,该支撑体在加工步骤中支撑基片。
在清洗铝蚀刻反应器中,调理气体能够进一步包括含氯气体以使在沉积步骤中沉积的聚合物中含有氯。而加工步骤包括在产品晶片上蚀刻一种铝层。在这种情况下,调理气体可以包括Cl2、BCl3、CCl4、SiCl4或它们的混合物。例如,能够在一个或多个步骤中进行调理步骤而使用CHF3、BCl3和/或Cl2作为调理气体。在调理步骤中腔体的压力可以是在从大约5至80毫托的范围内和/或在调理步骤中在产生等离子体天线上施加200至1000瓦功率。
优选实施方案详述
本发明提供了一种经济的清洗和调理其中加工基片的等离子体反应腔体的方法。该方法包括清洗等离子体反应腔体以去除在腔体内表面上积累的沉积物,将包括含氟气体和含碳气体的调理气体通入到腔体中,将调理气体激发成等离子体,在腔体的内表面沉积一层聚合物层,在沉积步骤后在腔体中加工基片。
在一个优选的实施方案中,本发明对等离子刻蚀铝膜堆积使用的调理腔体提供一种无晶片等离子体清洗的恢复方法。等离子体蚀刻铝的方法产生一种沉积在整个反应器上的副产品。最终该副产品达到一定厚度,而不再粘附在反应器壁上并且成颗粒脱落污染待蚀刻的基片。当发生这种情况时,必须打开反应器用湿洗方法清洗反应器。
制备用于清洗的反应器的过程,和实际清洗过程以及腔体清洗之后调理腔体所需的步骤一起,需要大量的时间。为了尽量扩大晶片加工的生产率,希望延长每次打开腔体进行开腔清洗之间的时间。这样作的一种方法将是进行等离子体清洗,该方法适宜减少沉积在腔体中的蚀刻副产品从而导致延长每次开腔清洗之间的时间。然而,由于等离子体清洗代替通过去除反应器沉积的腔体调理,在等离子体清洗后一般有工艺变化。结果,铝蚀刻速率,蚀刻图案和CDs(临界尺寸)特征的变化就能超出待制造器件的可接受范围。如果使用等离子体清洗。将晶片循环通过腔体以便在蚀刻晶片产品之前重新修复腔壁。
在腔体内需要晶片的调理方法中,也可需要一种特殊的晶片种类。这就限制了在生产环境中铝蚀刻的等离子体清洗过程的适应性,因为要中断生产流水线,不得不在腔体中放置特殊晶片并且在等离子体清洗后进行调理过程。结果,在生产中,不使用等离子体清洗而需要更频繁地打开反应器进行长的湿洗工序。因此,使用等离子体清洗来延长湿洗时间的反应器有效性的优点被用特殊类型晶片修复腔体而抵消。
本发明提供了一种方法,运行该方法能够在腔体中不需要晶片而修复等离子清洗后的腔体。由铝蚀刻沉积的副产品已经表现出包含大量有机材料。沉积物出现在整个蚀刻过程,而且通过提供侧壁钝化源,和提供各种反应物重新结合和吸收的场所而参与该过程。能够利用含氧等离子体清洗沉积物。然而这将在腔体中残留一些O2。另外,众所周知,在铝蚀刻气体中加入O2会增加铝蚀刻速率而且对蚀刻图案结果具有影响。
为了在等离子体清洗后修复腔体,要求去除或结合残留O2以使蚀刻过程不受影响。还要求提供有机沉积物,该物以沉积物副产品所作的相同方式参与过程。在无晶片调理过程中,必须作到不侵蚀卡盘,在该过程中没有覆盖或保护该卡盘。
这样作的优选方法是使用BCl3和CHF3的等离子体方法。在例如可从LAMReasearch Corporation获得的TCP9600TM蚀刻器的电感耦合等离子体蚀刻器中,进行该方法是通过对上面电极(TCPTM电源)源而不是底部电极施加高的射频功率,底部电极在晶片加工中提供底偏压。这就是成低的等离子体势并防止晶片卡盘的侵蚀。BCl3适宜清除腔体中的残留O2而CHF3是腔体内壁上有机材料沉积源。BCl3也是出现在蚀刻副产品沉积物中的氯源。在表1中表示TCP9600TM蚀刻反应器经典的加工条件和范围。
表1 | |||||
无晶片恢复过程的加工条件 | |||||
压力 | TCPTM功率 | 偏压功率 | BCl3 | CHF3 | |
典型 | 50mt | 700瓦 | 0瓦 | 15sccm | 37sccm |
范围 | 10-99 | 400-1200 | 0-5 | 10-30 | 10-60 |
根据铝蚀刻堆积和加工的需求,可以需要无晶片恢复加工的第二步骤。如果铝蚀刻加工以不包含CHF3的步骤结束,消除CHF3的恢复过程中的最终步骤将改善恢复加工。在表2中表示两步法无晶片恢复方法的一个实施例。
表2 | ||||||
两步法无晶片恢复过程 | ||||||
压力 | TCPTM功率 | 偏压功率 | BCl3 | Cl2 | CHF3 | |
步骤1 | 50mt | 700瓦 | 0瓦 | 15sccm | 37sccm | |
步骤2 | 15mt | 450瓦 | 0瓦 | 30sccm | 30sccm |
在考虑O2去除能力而选择BCl3的同时,例如CCl4和SiCl4的其它气体也适宜交替使用。通过加入Cl2提高了氯的浓度。同样地,可以容易地使用许多氯烃、氟烃和CFC气体取代CFH3作为聚合物源。能够加入例如氦气和氩气的稀释气体而保持有效加工。
无晶片恢复加工能够在不需要特殊晶片种类下进行,排除了对操作员介入的需要。恢复加工中不需要晶片的另一个好处是生产时间的损失最小,因为没有额外花时间用于清洗或调理步骤中在腔体内放置晶片。这样的直接结果是反应器对蚀刻昂贵产品的较高适应性。
在反应器湿洗后无晶片恢复步骤的使用还具有减少湿洗恢复时间的优点。例如,与其中花费大约90分钟使50个抗蚀剂晶片通过湿洗的腔体的调理步骤比较,本发明的调理方法能够在大约5分钟或更短的时间内完成。因此,本发明的调理方法能够将腔体清洗后的恢复时间减少超过50%,甚至80%或更多。另外,能够在更短的时间中调理腔体而不需要使用或最低限度地使用调理晶片。提高反应器对产品的适应性,和降低对无晶片产品的需求两者都对增加消费者的效益大为有益。
测试数据和结果,TCP
TM
9600SE
TM
系统的系统基准
这个研究是从9600SETM系统的基准开始的。湿洗腔体,运行覆盖光刻胶晶片以调理腔体。对调理后的腔体连续运行铝蚀刻速率晶片来建立刻蚀速率标靶用于无晶片恢复加工的开发工作。由于制定了执行长时间O2无晶片自动清洗(WAC),加工蚀刻速率发生变化。在表3中表示由基准测试得到的蚀刻速率结果。
表3 | |||
O2无晶片自动清洗之前和之后8分钟的铝蚀刻速率基准数据 | |||
晶片编号 | 平均晶片高度 | 由于清洗变化的百分比% | 压力控制阀角度(打开%) |
预清洗#1 | 4602 | 36.8 | |
预清洗#2 | 4659 | 36.1 | |
WAC后 | 5254 | 13.5% | 35.5 |
腔体/恢复过程的解释
已经提出一些机理来说明无晶片自动清洗后蚀刻速率增加。一种蚀刻速率恢复的建议是使用Cl2流动控制而不是压力控制阀位置来控制压力,因为铝蚀刻速率是以Cl2流动来衡量。Cl2与清洗和调理腔体壁的相互作用会有差异(吸收,重新结合,等等),而且如果这样影响了纯净C12的浓度,就能够反映到固定压力控制阀位置的腔体压力上。
已经报导在一些铝蚀刻方法中少量O2的加入增加铝蚀刻速率。腔体中残留的O2被认为是无晶片自动清洗后蚀刻速率增加的一个可能的原因。一种清除残留O2的调理过程能够证明这个观点。
循环抗蚀剂晶片对于腔体调理是一种非常有效的方法,而且对湿洗后的恢复过程是受欢迎的。这说明蚀刻副产品中碳的角色在腔体调理中是重要因素。另外,O2无晶片自动清洗对腔体清洗的有效性表明可用有机沉积物调理腔体内壁。对腔体调理使用有机沉积化学物能够证明这个观点。
考虑提出的那些机理并且进行一系列的实验来确定明显的效果。
对于在操作时Cl2流动控制的选择来说,在无晶片清洗之前和之后在固定压力控制阀表示的压力下必须有可测量的差异。同样地,如果Cl2流动控制能够是有效的,在无晶片自动清洗后我们也希望看到压力控制阀开得更大来保持设定的压力。在WAC之前(2个晶片)和之后测量压力控制阀位置。在位置上的变化是很小的而且,如果明显,对于蚀刻速率恢复在错误方向的Cl2流动控制是有效的。
基于这些,调查腔体恢复的手段是盯着无晶片恢复步骤(WRS)的可行性。考虑到提出的机理,研究3种化学物,称为Cl2/BCl3(O2清除),Cl2/CHF3(有机沉积),BCl3/CHF3(清除和沉积的联合)。
为了测试可能的WRS加工,采用基准铝蚀刻方法,每个晶片之间循环运行WAC(12秒)和WRS加工将系统设置为循环覆盖抗蚀剂晶片。测试的3个WRS步骤在表4中表示。对每一个测试来说,在进行铝蚀刻速率测试之前循环30-40个覆盖抗蚀剂晶片。表5表示每一个速率结果。对调理的腔体来说,铝蚀刻深度是4630埃。BCl3/CHF3过程表现出在WAC后使用WRS能够恢复所需的铝蚀刻速率。假设蚀刻速率是过程变化的一个初级指标,在WAC后使用WRS来恢复所需的加工稳定性的可行性看起来非常好。
表4 | |||
测试WRS工艺条件 | |||
参数 | Cl2/BCl3 | Cl2/CHF3 | BCl3/CHF3 |
压力 | 20mt | 20mt | 50mt |
TCPTM | 700W | 700W | 700W |
Cl2 | 162sccm | 30sccm | |
BCl3 | 54sccm | 15sccm | |
CHF3 | 37sccm | 37sccm | |
步骤时间 | 8秒 | 15秒 | 20秒 |
表5 | ||
对于WRS过程测试的蚀刻深度结果 | ||
WRS过程 | 铝蚀刻深度 | 由调理的腔体的变化 |
Cl2/BCl3 | 5445埃 | 17.6% |
Cl2/CHF3 | 5300埃 | 14.5% |
BCl3/CHF3 | 4671埃 | 0.9% |
无晶片清洗频率
WAC实施的一个重要部分将是选择WAC频率,在保持清洗腔体使效力最大时它使得对产量的影响减至最小。判定适宜的WAC终点指标是在703纳米的光学发射。当已经充分地清洗腔体时该信号在整个WAC过程中退化和平坦化。
进行一系列的测试来确定每次WACs之间所需的WAC时间尺度与晶片数量(“N”)是多少。用扩大的O2等离子体清洗腔体以达到基准条件。接着进行测试,其中在进行每次WAC之间变化晶片的数量同时在WAC步骤中监测703纳米发射以确定需要清洗腔体的时间。图1表示这种测试的终点轨迹。当RF开始时终点信号快速增加。随着“N”增加,终点信号降低至基准所消耗的时间长度增加,表示清洗时间是“N”的函数。
表6表示WAC的终点时间对“N”的关系以及每个晶片的清洗时间。终点信号表示的时间降低到基准也就是降低到基准上100个计数点。对于这些加工调理,在“N”从1-5的增加时,单位清洗时间减少,然而在“N”=10时,单位清洗时间增加。这说明最佳的清洗频率应该是在5-20个晶片之间。
表6 | |||||
WAC终点和单位清洗时间(秒)对WAC频率的关系 | |||||
WAC时间 | 10个晶片 | 5个晶片 | 3个晶片 | 1个晶片 | 1个晶片 |
完整WAC | 265 | 71 | 56 | 37 | 38 |
发射1=100 | 143 | 45 | 40 | 23 | 24 |
单位清洗时间 | |||||
完整WAC | 26.5 | 14.2 | 18.66667 | 37 | 38 |
发射1=100 | 14.3 | 11.4 | 13.33333 | 23 | 24 |
WRS蚀刻速率恢复
使用表7所示铝蚀刻,WAC和WRS等步骤的加工调理在9600PTXTM上测试BCl3/CHF3。通过用铝蚀刻加工调理循环57个覆盖抗蚀剂晶片初始调理系统。测量调理过腔体的铝蚀刻速率。在这之后,用7分钟的清洗时间使用WAC加工调理完全清洗腔体。使用703纳米终点信号来验证清洗时间是充足的。其次,使用铝蚀刻过程将另外29个覆盖抗蚀剂晶片循环通过系统,但是在每一个晶片之间使用WAC和WRS步骤。接着在WAC和WRS步骤后再检查铝蚀刻速率。蚀刻深度结果在表8中表示。在WAC和WRS后的蚀刻速率与干燥腔体的蚀刻速率没有明显的差异(1.6%或更低)。
表7 | ||||||||
铝蚀刻,WAC,和WRS工艺条件 | ||||||||
过程 | 压力 | TCPTM(W) | 偏压(W) | 流速(sccm) | 氦气冷却 | 时间(秒) | ||
循环 | 12mt | 350 | 78 | 74Cl2 | 30BCl3 | 5CHF3 | 10T | 65 |
铝蚀刻深度 | 12mt | 350 | 78 | 74Cl2 | 30BCl3 | 5CHF3 | 10T | 35 |
WAC | 32mt | 700 | 0 | 500 O2 | 12 | |||
WRS | 50mt | 700 | 0 | 15BCl3 | 37CHF3 | 20 |
表8 | ||
调理的腔体和在WAC+WRS过程后的铝蚀刻深度 | ||
测试条件 | 铝蚀刻深度 | 差值 |
调理的腔体 | 3835 | |
后WAC+WRS | 3773 | -1.6% |
图案蚀刻测试
进行蚀刻测试以确定WAC和WRS对蚀刻图案的影响。图2描述了在蚀刻前图案测试晶片的结构。
排列小矩阵评估恢复步骤中步骤时间和BCl3∶Cl2比率对蚀刻图案的重要性。表9表示在1/2阶乘的矩阵中进行的4个加工。图3表示在两步的恢复加工后蚀刻的晶片的终点轨迹。该终点轨迹与抗蚀刻调理腔体中蚀刻的晶片的终点轨迹非常相匹配。另外,通过BARC、Ti和TiN层的蚀刻速率与抗蚀刻调理腔体中的这些层的蚀刻速率相匹配。在两步恢复后蚀刻的所有晶片具有相近的轨迹。
表9 | ||||
基准加工:步骤1:50mt/700W TCPTM/37sccm CHF3/15sccm BCl3/“X”秒步骤2:15mt/450W TCPTM/“Y”sccm BCl3/(60-“Y”)sccm Cl2/“Z”秒 | ||||
矩阵 | 晶片16 | 晶片17 | 晶片18 | 晶片19 |
步骤1时间(“X”) | 30秒 | 20秒 | 20秒 | 30秒 |
步骤2时间(“Z”) | 15秒 | 7秒 | 15秒 | 7秒 |
BCl3/Cl2流动(“Y”)/(60-“Y”) | 15sccm BCl345sccm Cl2 | 15sccm BCl345sccm Cl2 | 30sccm BCl330sccm Cl2 | 20sccm BCl330sccm Cl2 |
图4a-e和5a-e表示由作为WAC和WRS矩阵的一部分蚀刻的晶片的蚀刻图案与抗蚀刻调理腔体中蚀刻的结果的对比。图4a,4b,4c和4d分别是取自晶片16,18,17和19中心位置的显微照片,其中步骤1分别进行30,20,20和30秒,步骤2分别进行15,15,7和7秒,BCl3流速分别为15,30,15和30sccm,Cl2流速分别为45,30,45和30sccm以及ROx分别为7154,7227,7576和7593埃。图4e是取自在调理腔室中蚀刻的晶片13中心位置的显微照片,其中ROx为7506埃。图5a,5b,5c和5d分别是取自晶片16,18,17和19边缘位置的显微照片,其中步骤1分别进行30,20,20和30秒,步骤2分别进行15,15,7和7秒,BCl3流速分别为15,30,15和30sccm,Cl2流速分别为45,30,45和30sccm以及ROx分别为7154,7227,7576和7593埃。图5e是取自在调理腔室中蚀刻的晶片13的边缘位置的显微照片,其中ROx是7506埃。晶片之间在蚀刻图案中的差异在许多方面是微妙的,表现出两步恢复加工具有合理的加工窗。由矩阵得到的显著的趋势包括:
——氯基第二恢复步骤改善了蚀刻图案恢复。
——与对照晶片相比,在第二恢复步骤中较高百分比Cl2的使用表现出导致特征性顶部内降低的CD(临界尺寸)生长。对于短的第一恢复步骤时间(见晶片17)运一影响更强烈。
——较长的第一恢复步骤的使用改善了侧壁粗糙度的加工范围。
——用更短第二步时间蚀刻的晶片与在参考晶片上残留的氧化物更加相似。
图6a-f表示另外进行SEMs时晶片19与调理腔体的结果对比。图6a显示中心位置,图6b显示边缘位置,图6c显示边缘位置,图6d显示中心位置,图6e显示边缘位置,以及图6f显示边缘位置。注意到在这些SEMs中也重现了孤立性特征图案,而且抗蚀刻图案和侧壁沉积通过两步恢复加工也相匹配。
很明显进行足够长时间的第一恢复步骤在腔体中产生了一些有机膜,随后通过进行简短的氯化学反应足以使得在WAC后能够恢复加工。对加工来说氯化学反应不是必须的,保护蚀刻侧壁有赖于厚的有机沉积物和高轰击能量。
在WAC后蚀刻铝的恢复加工需要在腔体中的有机沉积物和腔体壁的氯化作用达到适当的平衡。已经示范了CHF3加入蚀刻加工的加工恢复,其中蚀刻图案对化学平衡更敏感。WRS矩阵表明两步恢复加工能够将腔体有机物氯化的组分的平衡转移WAC后的调理状态。
引用具体实施方案详述本发明的同时,显而易见,本领域技术人员可进行各种修改和变化,以及采用等效物而并不超出所附权利要求的范围。
Claims (19)
1.一种清洗和调理其中加工基片的等离子体反应腔体的方法,包括以下步骤:
(a)清洗等离子腔体以去除在腔体内表面上积累的沉积物;
(b)将包含含氟气体和含碳气体的调理气体通入到腔体中,将调理气体激发成等离子体,和利用等离子体在腔体的内表面沉积一聚合物层,由此调理腔体,所述调理步骤在腔体没有基片时进行;和
(c)在沉积步骤后在腔体中加工基片。
2.如权利要求1所述的方法,其中的激发步骤是通过将调理气体暴露在感应电场中来进行的,该感应电场由在射频天线线圈中共振射频电流而产生,该射频天线线圈放置在腔体的外部,靠近形成腔体外壳一部分的绝缘屏蔽件。
3.如权利要求1所述的方法,其中的调理气体进一步包括含氯气体,而且在沉积步骤中沉积的聚合物中包括氯。
4.如权利要求1所述的方法,其中通过开腔并进行湿洗而进行清洗步骤。
5.如权利要求1所述的方法,其中通过将清洗气体通入腔体中,将清洗气体激发成等离子体,用等离子体接触内表面以去除沉积而进行清洗步骤。
6.如权利要求1所述的方法,其中的基片包括晶片产品,而且在加工晶片产品之前腔体内没有加工调理晶片的加工步骤。
7.如权利要求1所述的方法,其中的加工步骤包括在晶片产品上蚀刻一种铝膜堆积。
8.如权利要求1所述的方法,其中进行调理步骤不需要对基片支撑体的射频偏压电极施加电源,该支撑体在加工步骤中支撑基片。
9.如权利要求1所述的方法,其中的调理气体进一步包含Cl2、BCl3、CCl4、SiCl4或它们的混合物。
10.如权利要求1所述的方法,其中的调理气体包含CHF3并且进一步包含BCl3和/或Cl2。
11.如权利要求1所述的方法,其中在调理步骤中腔体的压力是在5至80毫托的范围内。
12.如权利要求2所述的方法,其中在调理步骤中在射频天线上施加200至1000瓦功率。
13.如权利要求5所述的方法,其中等离子体清洗气体将内表面上的沉积物转换为气体副产品。
14.如权利要求1所述的方法,其中的腔体是等离子体蚀刻腔体。
15.如权利要求14所述的方法,进一步包括在清洗步骤之前在腔体中的一个或多个半导体基片上蚀刻铝的步骤,该蚀刻步骤在腔体的内表面上产生沉积。
16.如权利要求14所述的方法,其中在调理步骤后将一个或多个半导体基片放入腔体中并在一个或多个基片上蚀刻铝。
17.如权利要求1所述的方法,其中进行调理步骤直至达到利用光学发射或干涉量度分析法确定的终点。
18.如权利要求1所述的方法,其中进行调理步骤分为第一和第二步骤,调理气体在第一步骤中进一步包括含氯气体而在第二步骤中调理气体不含有氟。
19.如权利要求18所述的方法,其中在第一步骤中调理气体包含1-30sccm的BCl3和10-60sccm的CHF3,而在第二步骤中调理气体包含20-60sccm的BCl3和20-60sccm的Cl2。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/469,286 | 1999-12-22 | ||
US09/469,286 US6350697B1 (en) | 1999-12-22 | 1999-12-22 | Method of cleaning and conditioning plasma reaction chamber |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1411514A CN1411514A (zh) | 2003-04-16 |
CN1252313C true CN1252313C (zh) | 2006-04-19 |
Family
ID=23863210
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB008174482A Expired - Lifetime CN1252313C (zh) | 1999-12-22 | 2000-12-08 | 清洗和调理等离子体反应腔体的方法 |
Country Status (9)
Country | Link |
---|---|
US (1) | US6350697B1 (zh) |
EP (1) | EP1252361B1 (zh) |
JP (1) | JP4995390B2 (zh) |
KR (1) | KR100789684B1 (zh) |
CN (1) | CN1252313C (zh) |
AU (1) | AU2049901A (zh) |
DE (1) | DE60042892D1 (zh) |
TW (1) | TW487988B (zh) |
WO (1) | WO2001046490A1 (zh) |
Families Citing this family (195)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6564810B1 (en) * | 2000-03-28 | 2003-05-20 | Asm America | Cleaning of semiconductor processing chambers |
US7294563B2 (en) * | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US7166524B2 (en) * | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US7223676B2 (en) * | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US20050230047A1 (en) * | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US7303982B2 (en) * | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US7320734B2 (en) * | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US7430984B2 (en) * | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
US7465478B2 (en) * | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7288491B2 (en) * | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7137354B2 (en) * | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
JP2002319571A (ja) | 2001-04-20 | 2002-10-31 | Kawasaki Microelectronics Kk | エッチング槽の前処理方法及び半導体装置の製造方法 |
US6776851B1 (en) * | 2001-07-11 | 2004-08-17 | Lam Research Corporation | In-situ cleaning of a polymer coated plasma processing chamber |
US7060234B2 (en) * | 2001-07-18 | 2006-06-13 | Applied Materials | Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers |
US20030062064A1 (en) * | 2001-09-28 | 2003-04-03 | Infineon Technologies North America Corp. | Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma |
KR20030078550A (ko) * | 2002-03-30 | 2003-10-08 | 주식회사 하이닉스반도체 | 반응기의 세정 방법 |
US7204913B1 (en) * | 2002-06-28 | 2007-04-17 | Lam Research Corporation | In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control |
US6919279B1 (en) | 2002-10-08 | 2005-07-19 | Novellus Systems, Inc. | Endpoint detection for high density plasma (HDP) processes |
US20040134427A1 (en) * | 2003-01-09 | 2004-07-15 | Derderian Garo J. | Deposition chamber surface enhancement and resulting deposition chambers |
US20050087297A1 (en) * | 2003-08-06 | 2005-04-28 | Hiroyuki Kitsunai | Plasma processing apparatus and method for stabilizing inner wall of processing chamber |
CN1332064C (zh) * | 2003-09-03 | 2007-08-15 | 统宝光电股份有限公司 | 降低沉积反应室腔体内氟残留的方法 |
US7022620B2 (en) * | 2003-11-18 | 2006-04-04 | Micron Technology, Inc. | Conditioning of a reaction chamber |
US7258892B2 (en) | 2003-12-10 | 2007-08-21 | Micron Technology, Inc. | Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition |
US7906393B2 (en) | 2004-01-28 | 2011-03-15 | Micron Technology, Inc. | Methods for forming small-scale capacitor structures |
JP4490704B2 (ja) | 2004-02-27 | 2010-06-30 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US20050211171A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US7244474B2 (en) * | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US7695590B2 (en) * | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US20050211546A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma process using an ion shower grid |
US20050211547A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma reactor and process using plural ion shower grids |
US7291360B2 (en) * | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US8133554B2 (en) | 2004-05-06 | 2012-03-13 | Micron Technology, Inc. | Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces |
US20050260354A1 (en) * | 2004-05-20 | 2005-11-24 | Varian Semiconductor Equipment Associates, Inc. | In-situ process chamber preparation methods for plasma ion implantation systems |
US8058156B2 (en) * | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7767561B2 (en) * | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US20060054183A1 (en) * | 2004-08-27 | 2006-03-16 | Thomas Nowak | Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber |
US7531469B2 (en) * | 2004-10-23 | 2009-05-12 | Applied Materials, Inc. | Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current |
US7666464B2 (en) * | 2004-10-23 | 2010-02-23 | Applied Materials, Inc. | RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor |
US20060090773A1 (en) * | 2004-11-04 | 2006-05-04 | Applied Materials, Inc. | Sulfur hexafluoride remote plasma source clean |
US20060154494A1 (en) * | 2005-01-08 | 2006-07-13 | Applied Materials, Inc., A Delaware Corporation | High-throughput HDP-CVD processes for advanced gapfill applications |
US20060260545A1 (en) * | 2005-05-17 | 2006-11-23 | Kartik Ramaswamy | Low temperature absorption layer deposition and high speed optical annealing system |
US7312162B2 (en) * | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
US7422775B2 (en) * | 2005-05-17 | 2008-09-09 | Applied Materials, Inc. | Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US7429532B2 (en) * | 2005-08-08 | 2008-09-30 | Applied Materials, Inc. | Semiconductor substrate process using an optically writable carbon-containing mask |
US7335611B2 (en) * | 2005-08-08 | 2008-02-26 | Applied Materials, Inc. | Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer |
US7323401B2 (en) * | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7312148B2 (en) * | 2005-08-08 | 2007-12-25 | Applied Materials, Inc. | Copper barrier reflow process employing high speed optical annealing |
KR100731124B1 (ko) * | 2005-12-28 | 2007-06-22 | 동부일렉트로닉스 주식회사 | 증착 챔버의 세정 방법 |
US20070235058A1 (en) * | 2006-04-10 | 2007-10-11 | Daniel Harrington | System and method for removing residue from a wafer processing chamber using sound waves |
CN101583736A (zh) * | 2007-01-19 | 2009-11-18 | 应用材料股份有限公司 | 浸没式等离子体室 |
US7871828B2 (en) * | 2007-02-06 | 2011-01-18 | Applied Materials, Inc. | In-situ dose monitoring using optical emission spectroscopy |
US7713757B2 (en) * | 2008-03-14 | 2010-05-11 | Applied Materials, Inc. | Method for measuring dopant concentration during plasma ion implantation |
JP5089513B2 (ja) * | 2008-07-11 | 2012-12-05 | 東京エレクトロン株式会社 | プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体 |
JP2010153508A (ja) | 2008-12-24 | 2010-07-08 | Hitachi High-Technologies Corp | 試料のエッチング処理方法 |
KR101794069B1 (ko) * | 2010-05-26 | 2017-12-04 | 삼성전자주식회사 | 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
CN104282518B (zh) * | 2013-07-12 | 2016-12-28 | 中微半导体设备(上海)有限公司 | 等离子体处理装置的清洁方法 |
CN104282519B (zh) * | 2013-07-12 | 2016-12-28 | 中微半导体设备(上海)有限公司 | 等离子体处理装置的清洁方法 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) * | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9613819B2 (en) * | 2014-06-06 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process chamber, method of preparing a process chamber, and method of operating a process chamber |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9624578B2 (en) * | 2014-09-30 | 2017-04-18 | Lam Research Corporation | Method for RF compensation in plasma assisted atomic layer deposition |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10128133B1 (en) | 2017-06-30 | 2018-11-13 | Infineon Technologies Austria Ag | Method of conditioning an etch chamber for contaminant free etching of a semiconductor device |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
KR20200117052A (ko) * | 2018-03-01 | 2020-10-13 | 어플라이드 머티어리얼스, 인코포레이티드 | 디바이스 제작에서의 금속 하드마스크 형성 시스템들 및 방법들 |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US20220189749A1 (en) * | 2020-12-14 | 2022-06-16 | Applied Materials, Inc. | Process Kit Conditioning Chamber |
CN116171483A (zh) * | 2021-07-14 | 2023-05-26 | 株式会社日立高新技术 | 等离子体处理方法 |
KR20230043457A (ko) * | 2021-09-24 | 2023-03-31 | 주성엔지니어링(주) | 기판 처리 장치의 세정 방법 |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS57201016A (en) | 1981-06-05 | 1982-12-09 | Oki Electric Ind Co Ltd | Cleaning method for semiconductor manufacturing apparatus |
US4811684A (en) | 1984-11-26 | 1989-03-14 | Semiconductor Energy Laboratory Co., Ltd. | Photo CVD apparatus, with deposition prevention in light source chamber |
JPS61250185A (ja) | 1985-04-25 | 1986-11-07 | Anelva Corp | 真空処理装置のクリ−ニング方法 |
US4657616A (en) | 1985-05-17 | 1987-04-14 | Benzing Technologies, Inc. | In-situ CVD chamber cleaner |
US4786352A (en) | 1986-09-12 | 1988-11-22 | Benzing Technologies, Inc. | Apparatus for in-situ chamber cleaning |
US4842683A (en) | 1986-12-19 | 1989-06-27 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US5158644A (en) | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
JPS63210275A (ja) | 1987-02-24 | 1988-08-31 | Semiconductor Energy Lab Co Ltd | プラズマ反応装置内を清浄にする方法 |
JPH029115A (ja) | 1988-06-28 | 1990-01-12 | Mitsubishi Electric Corp | 半導体製造装置 |
JP2892694B2 (ja) | 1989-07-31 | 1999-05-17 | 株式会社日立製作所 | プラズマクリーニング方法 |
US5207836A (en) | 1989-08-25 | 1993-05-04 | Applied Materials, Inc. | Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus |
JPH03130368A (ja) | 1989-09-22 | 1991-06-04 | Applied Materials Inc | 半導体ウェーハプロセス装置の洗浄方法 |
JPH0487329A (ja) * | 1990-07-31 | 1992-03-19 | Oki Electric Ind Co Ltd | ドライエッチング方法 |
US5877032A (en) * | 1995-10-12 | 1999-03-02 | Lucent Technologies Inc. | Process for device fabrication in which the plasma etch is controlled by monitoring optical emission |
KR100293830B1 (ko) | 1992-06-22 | 2001-09-17 | 리차드 에이치. 로브그렌 | 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법 |
EP0648858A1 (en) | 1993-10-15 | 1995-04-19 | Applied Materials, Inc. | Methods of coating plasma etch chambers and apparatus for plasma etching workpieces |
US5817574A (en) * | 1993-12-29 | 1998-10-06 | Intel Corporation | Method of forming a high surface area interconnection structure |
JPH07335626A (ja) * | 1994-06-10 | 1995-12-22 | Hitachi Ltd | プラズマ処理装置およびプラズマ処理方法 |
JP2962181B2 (ja) * | 1995-02-01 | 1999-10-12 | ヤマハ株式会社 | ドライエッチング方法及び装置 |
US6156663A (en) * | 1995-10-03 | 2000-12-05 | Hitachi, Ltd. | Method and apparatus for plasma processing |
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US5647953A (en) | 1995-12-22 | 1997-07-15 | Lam Research Corporation | Plasma cleaning method for removing residues in a plasma process chamber |
US6136211A (en) * | 1997-11-12 | 2000-10-24 | Applied Materials, Inc. | Self-cleaning etch process |
JP3238137B2 (ja) * | 1999-03-23 | 2001-12-10 | 株式会社日立製作所 | プラズマ処理室のクリーニング方法 |
-
1999
- 1999-12-22 US US09/469,286 patent/US6350697B1/en not_active Expired - Lifetime
-
2000
- 2000-12-08 CN CNB008174482A patent/CN1252313C/zh not_active Expired - Lifetime
- 2000-12-08 EP EP00983789A patent/EP1252361B1/en not_active Expired - Lifetime
- 2000-12-08 DE DE60042892T patent/DE60042892D1/de not_active Expired - Lifetime
- 2000-12-08 AU AU20499/01A patent/AU2049901A/en not_active Abandoned
- 2000-12-08 KR KR1020027008036A patent/KR100789684B1/ko active IP Right Grant
- 2000-12-08 WO PCT/US2000/032441 patent/WO2001046490A1/en active Application Filing
- 2000-12-08 JP JP2001546982A patent/JP4995390B2/ja not_active Expired - Lifetime
- 2000-12-14 TW TW089126728A patent/TW487988B/zh not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
KR100789684B1 (ko) | 2008-01-02 |
JP4995390B2 (ja) | 2012-08-08 |
CN1411514A (zh) | 2003-04-16 |
WO2001046490A1 (en) | 2001-06-28 |
EP1252361A1 (en) | 2002-10-30 |
JP2003518328A (ja) | 2003-06-03 |
US6350697B1 (en) | 2002-02-26 |
AU2049901A (en) | 2001-07-03 |
TW487988B (en) | 2002-05-21 |
KR20020062367A (ko) | 2002-07-25 |
DE60042892D1 (de) | 2009-10-15 |
EP1252361B1 (en) | 2009-09-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1252313C (zh) | 清洗和调理等离子体反应腔体的方法 | |
US5756400A (en) | Method and apparatus for cleaning by-products from plasma chamber surfaces | |
CN1119385C (zh) | 去除沉积物的气体和使用该气体的去除方法 | |
US6770567B2 (en) | Method of reducing particulates in a plasma etch chamber during a metal etch process | |
US5883060A (en) | Cleaning compositions for wafers used in semiconductor devices | |
US20030037802A1 (en) | Semiconductor treating apparatus and cleaning method of the same | |
US8545639B2 (en) | Method of cleaning aluminum plasma chamber parts | |
JPH1096082A (ja) | 基板処理システム構成部材の寿命を延ばす炭素ベース膜の使用 | |
US8679286B2 (en) | Catalyst-aided chemical processing method | |
KR20090085049A (ko) | 내식성 부재 및 그의 제조 방법 | |
JP2001284317A (ja) | 固体表面及び半導体製造装置の処理方法並びにそれを用いた半導体装置の製造方法 | |
US20050066994A1 (en) | Methods for cleaning processing chambers | |
CN101044262A (zh) | 用于去除表面沉积物的远距腔室法 | |
EP0605785A2 (en) | Cleaning agents for removing metal-containing contaminants from integrated circuit assemblies and process for using the same | |
US20010010228A1 (en) | Method of protecting quartz hardware from etching during plasma-enhanced cleaning of a semiconductor processing chamber | |
JP5214316B2 (ja) | プラズマ成膜装置のクリーニング方法 | |
CN116092922B (zh) | 碳化硅晶圆沟槽刻蚀方法 | |
CN100521109C (zh) | 一种低介电常数电介质的金属单镶嵌结构制作方法 | |
US20010051232A1 (en) | Plasma processing method | |
CN1906753A (zh) | 铜表面的表面还原、钝化、防止腐蚀及活化用的系统与方法 | |
US6770214B2 (en) | Method of reducing aluminum fluoride deposits in plasma etch reactor | |
CN111129223B (zh) | 一种新型的超晶格红外探测器制备方法 | |
US6626187B2 (en) | Method of reconditioning reaction chamber | |
TW541358B (en) | Method for dry cleaning metal etching chamber | |
EP1198822A2 (en) | New methodologies to reduce process sensitivity to the chamber condition |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CX01 | Expiry of patent term |
Granted publication date: 20060419 |
|
CX01 | Expiry of patent term |