TW487988B - Method of cleaning and conditioning plasma reaction chamber - Google Patents

Method of cleaning and conditioning plasma reaction chamber Download PDF

Info

Publication number
TW487988B
TW487988B TW089126728A TW89126728A TW487988B TW 487988 B TW487988 B TW 487988B TW 089126728 A TW089126728 A TW 089126728A TW 89126728 A TW89126728 A TW 89126728A TW 487988 B TW487988 B TW 487988B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
patent application
scope
item
gas
Prior art date
Application number
TW089126728A
Other languages
English (en)
Inventor
Brett C Richardson
Duane Outka
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW487988B publication Critical patent/TW487988B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

487988 五、發明說明(1) 發明背景 在處理半導體晶圓期間,傳統上會定期地執行即時性的 電聚餘刻以及化學氣相沉積反應爐的清潔。美國專利字號 5,1 2 9,9 5 8揭示了一種方法,此方法可清潔半導體晶圓處 理裝置中之化學氣相沉積反應爐,此方法不像以往的氯電 漿清潔步驟,它讓爐内的氣殘留物,與一或多種的使分解 氣體(像是矽烷(SiH4),氨,氫,磷化氫(PH3),二硼化氫 (B2H6),以及砷化氫(AsH3))接觸。另外一種用以清潔及調 節電漿化學氣相沉積反應爐之内表面之方法,揭示於美國 專利字號5, 647,953,在此併入以作為參考。過去,在執 行這些清潔方法時,反應室中都需要一個晶圓以蓋住電 極,但近年來使用無晶圓方式的清潔已越來越普遍。 另一用以清潔電漿反應室之技術,揭示於美國專利字號 5, 356, 478 中;在美國專利字號 4, 657, 616 ;4, 786, 352 ; 4, 816, 113 ; 4, 842, 683 ; 4,857, 139 ; 5, 006, 192 ;5,129, 958 ;5,158, 644及5, 207,386 ;以及日本延後公開專利出 版字號57-201016 ;61-250185 ;62-214175 ;63-267430 及 3 - 6 2 5 2 0。譬如,為了要移除S i Ox沉積,必須將含氟氣體 激能成電漿,用以清潔反應室的内表面。在反應爐清潔之 後,再通以使分解氣體,像是,氫(H2)、矽烷(S i H4)、氨 (NH4)、磷化氫(PH3)、二删化氫(B2H6)或砷化氫(AsH3),那 麼,氟殘留物就可去除。 使用反應室調節法時,通常會在反應室内放一晶圓,以 便在調節時可保護電極。這些調節法最常用在沉積反應爐
O:\68\68160.ptd 第5頁 487988 五、發明說明(2) 執行過電漿清潔之後,通常也用在蝕刻反應爐執行過濕清 潔之後。有時該置放在反應室中之晶圓會有一沉積膜沉積 於其上’以幫助調節。譬如,塗有光阻之晶圓通常就被使 用來加速調節過程。此種調劑反應室壁之調節步驟,其調 節過程可以不須使用底電極電源。 “ ° 為在處理產品晶圓時,能得到可重複的處理環境條件所 ,,的’以調節晶圓來調劑電漿反應室的這個方法有一個 =題,,就是,此種調節晶圓會增加成本及降低生產速 : 於疋’會希望擁有一種更經濟且更有效率之調節處
圖1所示乃在本笋明 7。3毫微米射出J : 曰曰51自動清潔處理過程期間 =3 ^ ^ :種〇 . 25微ΐ輪廓測試晶圓之預蝕刻結構 發明之盔:n、V且J曰曰圓調節過反應室後之輪廓晶Κ,與 月之無日日圓自動法的端點執跡 圖“-d是在本發明之益曰較,
步驟後,姓刻結構的微影;動清潔程序;無晶圓S 節之反應室中接受處理之2幻圖46則是在以光阻晶圓所
圖5a-d是在本發明之益晶圓:$的微影圖; 步驟後,❹"“籌的微二圓二動清潔程序二無晶圓回 節之反應室中接受處理之:刻=則是,光阻晶圓所 圖6a-c是在本發明之益 自 1的微影圖’以及 步驟後,_結構的微影二以潔”及ί晶圓二 u 圖6d〜f則是在以光阻晶圓
'發明說明(3) °周即之反應室中接受處理之蝕刻結構的微影圖 發明摘要 其本發明提供出一種方法,此方法可清潔及調節有基板在 ^内接受處理之電漿反應室,其所包含之步驟:清潔該電 反應至以便去除逐漸造成在該反應室内表面上之沉積,
:擁有含氟氣體及含碳氣體在内之調節氣體導入該反應室 1 ’將該調節氣體激能至電漿態,於該反應室之内表面上 儿積水合物膜,以及在該沉積步驟之後,處理該反應室 中,基板。’’聚合物"膜這個名詞所指的是有機物薄膜,它 可能含有蝕刻或沉積後的副產物。
根據本發明之較佳具體實施例,該激能步驟的做法是: 諧振射頻天線線圈中之射頻電流,產生感應電場,將該調 節氣體曝露於此電場中;該射頻天線線圈的位置乃在該反 應室的外部,在形成該反應室部分圍封之介電屏蔽的附 近。另外,該清潔步驟的作法是:打開該反應室,執行濕 清潔;該清潔步驟的作法也可以是··將清潔氣體導入該反 應室中,將該清潔氣體激能至電漿態,然後將該電漿與内 部表面接觸以去除沉積物。在此較佳具體實施例中,該調 節步驟乃是在反應室中沒有基板以及/或是基板含有產品 晶圓時執行;該處理步驟則是在處理該產品晶圓之前執 行,且反應室中並沒有調節晶圓。另外,該調節步驟也可 以在不須送電源至基板架之射頻偏壓電極這個情況中下執 行,此基板架乃在處理步驟時’作為支撐基板之用。 若清潔的是鋁蝕刻反應爐’那麼該調節氣體可以進一步 487988
五、發明說明(4) 地包含含氣氣體’以便其後之沉積步驟中所沉積的聚合物 可包含氣在其中;以及該處理步驟可包含餘刻該產品晶圓 上之鋁層。若是此情況,該調節氣體可以包含,〔I , BC13,CC14,SiCl4或是它們的混合氣體。譬士二,該^周『牛 驟可以使用CHF3,BC1S以及/或是C12作為調節氣體,執卩行^ 以一或多個步驟。調節步驟期間的反應室壓力可以在大約 5至8 0毫托以及/或是調節步驟期間供應給電漿產生天的 功率可以是2 0 0至1 0 0 0瓦。 ’ " 較佳具體貫施例之詳 本發明提供出一種清潔及調節有基板在其内接受處理之 電聚反應室的經濟方法。該方法包含:清潔該電漿反應室 :便去除該反應室内表面上之沉冑’將擁有含氟氣體及含 石反乳體在内之調節氣體導入該反應室中,將該調節氣體激 能成電浆’於該反應室之内表面上沉積一由該電漿所形 的聚合物膜,以及在該地沉積步驟之後,處理該反應室 之基板。
在車父佳具體貫施率中’本發明提供出一種無晶圓電漿清 潔回復方法,其可調節用於電漿蝕刻鋁膜堆之反應室。電 漿餘刻鋁的過程所產生的副產物會沉積在整個反應室中。 =斷沉積的結果,此副產物終究會無法黏在反應爐上而掉 落下來,污染了在反應爐中接受蝕刻的基板。當此現象發 生時,就必須打開反應爐以濕清潔法加以清潔。 x 清潔反應爐的準備工作,以及須打開反應室以執行清潔 與調節反應爐之步驟,事實上都需要大量的時間。為了使
487988 五、發明說明(5) 晶圓廠的生產率可以達至,j 室做此種打開反應室的清 有一方法,那就是執行電 刻副產物沉積在反應室中 的次數。不過,因為電装 會改變反應室的環境條件 後,處理的條件均會改變 特徵C D (關鍵尺寸)都會因 所可接受的範圍之外。所 就必須有晶圓定期地進出 剷’先將反應室壁的狀態 若使用的調節方法是調 法,那麼反應室中所放置 圓。此情形限制了電漿清 性,這是因為在執行電漿 圓放入反應室中然後才可 流程。因此,在生產時是 反應爐開與關較為頻繁的 少使用濕清潔法而所帶來 必須裝入特殊形態之晶圓 本發明提供出一種方法 節反應室時,是不需要晶 蝕刻過程所沉積的副產物 蝕刻過程中沉積現象會不 最大,我們 潔法的次數 漿清潔,此 的是,減少 清潔在去除 ,所以典型 ;鋁的蝕刻 此而有所變 以如果使用 反應室以便 重新恢復。 節時必須有 的晶圓遥必 潔法應用在 清潔之後, 執行調節步 不會採用電 濕清潔法。 的好處,就 而抵消。 ,其在執行 圓在反應室 内含了大量 斷地發生, 希望能夠將 減少。欲達 清潔法可以 開啟反應室 反應爐沉積 地在執行過 速率、蝕刻 動,變動到 的是電漿清 在姓刻產品 打開反應 此—目的 降低該蝕 執行清潔 的時候, 電漿清潔 輪廓以及 製作裝置 潔,那麼 晶圓之 晶圓在反應室中之方 須是特殊形態的晶 链蝕刻清潔上的應用 必須先將該特殊的晶 驟,這會打斷生產的 濃清潔法而仍會使用 使用電漿清潔法以減 會因為在重新調節時 過電漿清潔,重新調 中的。前已提及,鋁 的有機物質。在整個 這使得它成為處理過 487988 、發明說明(6) 程中使側壁鈍化的來泝,、丄 組合之所在。纟用含氧之3疋反應物種類被吸收及重新 過,這會在反應室中留下^可將此沉積予以清除。不 刻氣體中若加入氧:::f殘餘的氧、。另外,已知銘钱 最後的蝕刻輪廓。、θ a σ鋁的蝕刻速率,這會影響到 為在執行電漿清潔# 該殘餘的氧去除或凝結犯^ : f反應室,我們希望能將 與副產物沉積相同的沉浐 了,響到蝕刻。還希望能以 的沉積。#無晶圓之調法〇 : J理過程中加入有機物 必須注意不能侵麵到晶圓夹,Λ日物的沉積時 有被蓋住或被保護的。 3曰0爽在處理過程中是沒 欲做到不破壞晶圓夾之較佳 來執行電聚法。在感應執合之電=’ ^C13以及chf3 公司所提供的TCP9 6 0 0TM蝕刻機中,二機,像是LAM研究 源送至頂電極(tcptm電源)源,而不將U法3高射頻電 期間可為基板提供出射頻偏壓之底電極: 低的電聚電位’保護了該晶圓夾不致受到=作二會:成 室中的殘餘氧而CHF3則是沉 ' 質以 Ϊ ^ 副產物沉積中所“的=Ϊ ___ 」汉應壢的處理條件及範圍。 487988 五、發明說明(Ό 該無晶圓回復法可能會需要第二個步驟,這全視鋁蝕刻 堆及處理的而要而定。若鋁餘刻最後的步驟不包含, 那麼該回復-法最後消除CHF3的步驟,將會增進處理的』 復。表2所示的乃為9 S取t B TSl nn A t .. _______ 表 2 ---兩步驟無晶圓回復法 壓力 TCP™電源 —偏壓電源 BC1, Cl, CHF 步驟1 50 mt 700瓦 〇瓦 15 seem _^Γ3 *\Π Qppm 步驟2 150 mt 450瓦 〇瓦 30 seem 30 seem / OvL/J.11 選擇BC13的原因則在於它具有清除氧的能力,雖說如 Ϊ摇但其他的氣體像是CC14以及SiCl4也都是很適合的另種 ^ 可以加丨2以提高氯的濃度。同樣地,有許多含 化物、,含氟碳化物以及CFC氣體都適於取代⑶匕,作 給=合物源。可以加入像是以及紅這樣的氣體, 維持有效的處理過程。 "該無晶圓回復法可以在不需特殊型式晶圓的情況下執 :還i ΐ:i作者介入的需要。胃回復法而言,不需要晶 清、、:日士!1卜的好處,那就是,丨會花費時間在執行 晶圓動作"。直接的好處是,提高反應 土應爐濕清潔後’使用該無晶圓回復步驟,Α具有降低 c、、潔回復時間的好處。馨4 、 一 讓50光阻晶圓跑遍已渴清ϋ=圓k,調節步驟中 9。分鐘,較之於:= = 須的時間大約是 个〜月之调即步驟大約只須5分鐘或
487988 五、發明說明(8) 14間就可完成此動作。所以,本發明之調節法可以讓 =潔反應室後的恢復時間減少超過50%,甚至是8〇%或更 ^。,2外,該反應室可以在不需要使用調節晶圓或使用最 夕的6況下接受調節,而調節所需的時間也較少。增加反 =對產品的可用帛’以及降低反應爐對非產品晶^的可 用,L對增加客戶的利益而言都是有好處的。 碰里果,TCPTM 9 6 0 0SETM系統基繞 *此研究報告始K9 6 0 0SETM系統。反應室已接受過濕清 i m f ΐ光阻(PR)晶圓也跑過調節該反應室。銘钱刻Θ速率 調節的反應室中跑過,為該無晶圓恢復法開發 自動ί洗=钱刻速率目標。由於長時間的執行〇2無晶圓 自動α洗(WAC)所造成的蝕刻處理速率的變更,也已經 立好了。基線測試的蝕刻速率結果,示於表3。
復的考量 :幾=制已被提出來解釋在WAC之後蝕刻逮率 6 ^ I為:以控制的流量而非以控制壓力栌^ ^ / p £力 k疋因為鋁蝕刻速率的快慢f ^ ^ 反 原 置
苐12頁 487988 五、發明說明(9) c I2的流量。c I2與清潔及調節過的反應 交互作用將會不同(吸收’重新組合;^ ς,兩者之間的 影響了 c丨2的淨濃度,那麼對固定壓力、 以及如果此 言,此就有可能反應在反應室的壓力上'制閥位置法而 也有報告提出’對於某一些的鋁蝕刻處理 〇2可以增加鋁的兹刻速率。在W a c之後, ^'量的 也有可能是造成蝕刻速率增加的原因。、室中的殘餘% 過程,可以消除此項原因。 Θ除殘餘〇2的調節 循環地使用光阻晶圓,對調節反應曰 效方法,也是在濕清潔之後,所建議二疋,们非常有 指出蝕刻副產品中的碳乃是反應室調節的耘序。此 素。清潔反應室之〇2 WAC的結果指出,、二個明顯的因 的沉積物來調節。使用調節反應室的有二m以有機 做出調節用的有機沉積物。 ^儿積化予’可以 考慮所提出的該等機制,並導出一 等明顯的影響。 W只知以列定讀 右選擇C丨2流$控制,那麼就必須有能力量 行無晶圓清潔之前與之I,其與固定壓力控執 反應室壓力影響的差別。還有一種做法,如果C 1、、☆息去董子 制是有效的,我們會希望看到該壓力控制閱打開2的^控 以可在WAC之後,維持壓力。執行無晶圓清潔之(又曰大, 與之後的壓力控制閥位置,要量測出來。若位置^阳圓) 小,以及如果在錯誤的方向很明顯,Cl2流量 Π很 速率的回復就是有效的。 』對钱刻 487988 五、發明說明(ίο) 基於此’所發展出來的反應室回復方法必須視無晶圓回 復步驟(W R S )的可行性而定。將所提出的機制纪在、、中 研究二種化學物’即C 12 / BC 13 (清除〇2),c 12 /CHF3 (有機沉 積),B C 13 /CH F3 (包括清除以及沉積)。 為測試可能的WRS方法,建立該系統成為使用該在每一 個晶圓之間執行WAC(1 2秒)及WRS法之基線鋁蝕刻法,循環 該空白的光阻之晶圓。該3WRS步驟測試結果示於表4。每< 一個測試在執行紹#刻速率測試以前,均循環過3g — 4〇個 空白的光阻晶圓。表格5顯示該|虫刻速率結果。對已調節 之反應室而言,該鋁蝕刻深度大約是4 6 3 〇埃。該% ^ π — 法顯示,使用了WRS,有可能在WAC之後回復我們所‘ 3 鋁蝕刻速率。假設蝕刻速率是處理平移變更的主要浐俨 那麼在WAC之後使用WRS來恢復我們所希望 ^ ^, 其可行性看起來是非常好的。 处^疋f生’
第14頁 五、發明說明(11) 表 ————--~~—η WRS 法測試的--------- 一 WRS 法 果 cvbci, 5445 己調節反應室所造成之改變 C1?/CHF, 5300 17.6% BC1VCHF, 4671 14.5% -------— >f M m ^
執行wac有一個很重要部分,田R 使其能在維持最有效清潔反應室:是要選擇頻率, 小。m毫微米的光發射被用來至:!況下’Γ衝擊欲能最 ^ ^ ^ ^ , 用人列定合適WAC的端點指桿。 田;應室清潔完畢後’該信號會下降變平。 以⑽之間的晶圓數㈡”來:^:的獄時間’此時間 的氧電焚清洗㊣,達到了基線室已先以延伸 測試執行WAC之間的晶圓數是變 。接者加以測試,此 間,會監視該70 3毫微米發射以;=1在執行MC步驟期 日“I圖1顯示出此測試的端點執潔該反應室所需的 鈿點信號增加的非常快速。當"n"揭。當射頻來臨時,該 ^ ί度會下降,以便基線增加,顯\加山時,端點信號的時 函數。 ”、、員不出清潔時間是π Νπ的 間表ϊ6Λ二對 ^ 〇 ^ ^ ^ τ ^ ^ Α ^ ^ ,然而當,,Νπ = 10時,該
μ畢位清潔時間是漸漸的 :,虽"N”從1增加到 487988 五、發明說明(12) 單位清潔時間卻上升。此實驗告訴我們最佳的清潔頻率可 能是在5到2 0之間。 表6
WAC端點及單位淸潔時間(秒)對WAC WAC時間 10晶圓 5晶圓 3晶圓 1晶圓 1晶圓 全WAC 265 71 56 37 38 放射1=100 143 45 40 23 24 單位淸潔時間 全WAC 26.5 14.2 18.66667 37 38 放射1=100 14.3 11.4 13.33333 23 24 WRS餘刻速率的回復 表7中所示的是,在該9 6 Ο Ο PT XTM之系統上,使用該適用 鋁蝕刻的調節法、WAC及WRS步驟來測試BC13/CHF3的WRS。 首先以鋁蝕刻條件,循環5 7個空白光阻晶圓來調節該系 統。然後量測試該已調節反應室的鋁蝕刻速率。接著,再 以7分鐘中之清潔時間,使用WA C調節法過分地清潔該反應 室。使用該7 0 3毫微米端點信號來驗證該清潔時間是否足 夠。接著,使用鋁蝕刻法,將2 9個額外的空白光阻晶圓循 環於整個系統,但在每一個晶圓之間,仍使用該WAC及WRS 步驟。該WAC及WRS步驟之後,再一次檢查鋁蝕刻速率。最 後的蝕刻深度示於表8。WAC及WRS後之蝕刻速率,較之於 調劑後之反應室的蝕刻速率,沒有很明顯的差別(低 1 · 6 % ) 〇
O:\68\68160.ptd 第16頁 487988 五、發明說明(13) 表7 鋁蝕刻 ,WAC,及WRS處理條1 牛 處理 壓力 TCP™ (W) 偏壓 (W) 流量 (seem) He冷 卻 時間 (秒) 循環 12 mt 350 78 74 Cl, 30 BCU 5CHF, 10T 65 鋁蝕刻深度 12 mt 350 78 74 Cl, 30 BC1, 5CHF, 10T 35 WAC 32 mt 700 0 500 07 12 WRS 50 mt 700 0 15BC1, 37 CHF, 20 表8 __已調節反應室及WAC+WRS處理後之鋁蝕刻深度 測試條件 鋁蝕刻深度 偏離 已調節反應室 3835 後 WAC+WRS 3773 〜1.6% t廓蝕刻測3
轨行蝕刻測試 /tn π δ υ 忒:Ζ ί Γ刻之前,該輪廓測試晶圓的Ξ ί。 bci3/cl2心對6估回復步驟之步驟時間及 中所執行的四個方法。图L表9顯不1/2分解夕 圓被蝕刻的端# & 回”、'員不出在2步驟回復法之後 » 應室中之晶=相::;:rr刻於已光阻: 層之姓刻速率也與這::二另夕卜,barc,ti及. 率相符合。在該2步驟―回日復法之節反應室中之麵 具有相似的軌跡。 接叉蝕刻的所有晶s 487988 五、發明說明(14) 表9 基線處理: 步驟 1:50 mt/700 瓦 TCP™/37 seem CffiVl5 seem BCl3rX”秒 步驟 2:15 mt/450 瓦 TCPW’r,seem BCM60-“Y”)seem Cl^Z”秒 矩陣 晶圓16 晶圓17 晶圓18 晶圓19 步驟1時間(“X5’) 30秒 20秒 20秒 30秒 步驟1時間(“Ζ”) 15秒 7秒 15秒 7秒 BC13/C12 流量 15 sccmBCl3 15 sccmBCl3 30 sccmBCl3 20 seem BCI3 ΓΎ”)/(6〇-Υ) 45 seem CI2 45 seem CI2 30 seem CI2 30 seem CI2 圖4a-e及5a-e顯示,以部分的WAC及WRS矩陣所蝕刻之晶 圓蝕刻輪廓,其與光阻調劑過之反應室中接受蝕刻的結果Φ 的比較。在大部分的情況中,晶圓之間蝕刻輪廓的差別是 很小的,顯示出該2步驟回復法具有合理的處理窗。從該 矩陣中可看出一些明顯的趨勢,包括: -以氣為基礎之第二回復步驟,改善了蝕刻輪廓的回 復。 -在第二回復步驟中使用更高百分比之Cl2,顯示出會造 成在特徵頂中較參考晶圓為低之C D成長。若第一回復步驟 所花時間較短,此效應會更加強烈(看晶圓1 7 )。 -使用較長的第一回復步驟,會改善側壁平滑度的處理 範圍。 -以較短的第二步驟時間所蝕刻之晶圓,更接近於參考 晶圓上之所剩氧化物。 圖6a_f顯示另外的SEM,與晶圓在該調節過之反應室中
O:\68\68160.ptd 第18頁 487988 五、發明說明(15) 處理結果的比較。注意在這些S Ε Μ中,隔絕特徵的輪廓也 重新被製造,光著輪廓及側壁沉積也與該2步驟回復法相 吻合。 很明顯的,執行長時間的第一回復步驟使該反應室中可 以產生一些有機的薄膜,接著再執行足以在該WAC之後該 處理之簡短的氯化學。該氣化學步驟可以不需要仰賴重的 有機沉積及高能量之處理,以保護蝕刻側壁。 在WAC之後執行蝕刻鋁的回復處理,需要在反應室中的 有機沉積及反應室壁的氯化之間,得到適當的平衡。該蝕 刻輪麼對化學平衡更為敏感的C H F 3的另加#刻處理,其回 復的處理也已經展示出來。WRS矩陣顯示2步驟回復處理可 以在該W A C之後,將該反應室中有機及氯化的組成,調整 平衡成為π已調節π狀態。 雖然本發明已以特定的實施例予以詳細描述了,熟習此 項技藝之人士將可明瞭凡對本發明的各種改變,修飾及其 均等物皆不脫離後附之申請專利範圍。
O:\68\68160.ptd 第19頁 487988 圖式簡單說明
第20頁

Claims (1)

  1. 487988 案號 89126728 f/V3 片 f /年d月」7曰 4修正/更正/黐Λ 修正_ 六、申請專利範圍 1 . 一種清潔及調節有基板在其内接受處理之電漿反應室 之方法,其所包含之步驟: 清潔該電漿反應室以便去除逐漸造成在該反應室内表 面上之沉積; 將包含含氟氣體及含碳氣體之調節氣體導入該反應室 中; 將該調節氣體激能成電漿; 於該反應室之内表面上沉積一由該電漿所形成之聚合 物薄層;以及 在該沉積本步驟之後,處理該反應室中之基板。 2 .如申請專利範圍第1項之方法,其中該激能步驟的做 法是:諧振射頻天線線圈中之射頻.電流,產生感應電場, 將該調節氣體曝露於此電場中;該射頻天線線圈的位置乃 在該反應室的外部,在形成該反應室部分圍封之介電屏蔽 的附近。 3. 如申請專利範圍第1項之方法,其中該調節氣體另包 含含氯氣體以及於該沉積步驟所沉積之聚合物包含氯於其 中 〇 其中該清潔步驟的做 其中該清潔步驟的做 將該清潔氣體激能成 4. 如申請專利範圍第1項之方法 法是:打開該反應室,執行濕清潔 5 .如申請專利範圍第1項之方法 法是:將清潔氣體導入該反應室中 電漿態,以及將該電漿與内部表面接觸以去除沉積物。 6 .如申請專利範圍第1項之方法,其中該調節步驟乃是
    O:\68\68160-91.03.29.ptc 第21頁 487988 修正 案號 89126728 六、申請專利範圍 在反應室沒有内含基板於其中時執行。 7 .如申請專利範圍第1項之方法,其中該基板包含產品 晶圓以及該處理步驟在反應室中毋須調節晶圓,於處理該 產品晶圓之前執行。 8 .如申請專利範圍第7項之方法,其中該處理步驟包含 在該產品晶圓之上姓刻铭膜堆。 9 .如申請專利範圍第1項之方法,其中該調節步驟之執 行不須送電源至基板架之射頻偏壓電極,此基板架乃在該 處理步驟時,作為支撐基板之用。 1 0.如申請專利範圍第1項之方法,其中該調節氣體包含 Cl2,BC13,CC14,SiCl4或是它們的混合。 1 1.如申請專利範圍第1項之方法,其中該調節氣體包含 CHF3 及BC13 及/ 或Cl2。 1 2.如申請專利範圍第1項之方法,其中該調節步驟期 間,該反應室壓力約在5至8 0毫托的範圍。 1 3.如申請專利範圍第2項之方法,其中該調節步驟期 間,供應該射頻天線2 0 0至1 0 0 0瓦。 1 4.如申請專利範圍第5項之方法,其中該電漿清潔氣體 將該内部表面上之沉積,轉換成氣體副產物。 1 5.如申請專利範圍第1項之方法,其中該反應室是一電 漿蝕刻反應室。 1 6.如申請專利範圍第1 5項之方法,另外包含之步驟:在 該清潔步驟之前,蝕刻該反應室中一或多個半導體基板上 之鋁,該蝕刻步驟於該反應室之内部表面上製造出沉積。
    O:\68\68160-91.03.29.ptc 第22頁 487988 修正 案號 89126728 六、申請專利範圍 1 7.如申請專利範圍第1 5項之方法,其中於該反應室中插 入一或多個半導體基板以及姓刻該一或多個基板上之铭 後,接著該調節步驟。 1 8.如申請專利範圍第1項之方法,其中執行該調節步驟 直到光放射或干涉儀判定端點已到達為止。 1 9.如申請專利範圍第1項之方法,其中該調節步驟於第 一及第二步驟中執行,該調節氣體於第一步驟中另包含含 氯氣體,以及該調節氣體於第二步驟中是不含氟。
    2 〇.如申請專利範圍第1 9項之方法,其中第一步驟中之 調節氣體包含1-3 seem的BC13及10-60 seem的CHF3,以及 第二步驟中之調節氣體包含每分鐘20-60標準立方厘米的 6(:13及每分鐘2 0 - 6 0標準立方厘米的(:12。
    O:\68\68160-91.03.29.ptc 第23頁
TW089126728A 1999-12-22 2000-12-14 Method of cleaning and conditioning plasma reaction chamber TW487988B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/469,286 US6350697B1 (en) 1999-12-22 1999-12-22 Method of cleaning and conditioning plasma reaction chamber

Publications (1)

Publication Number Publication Date
TW487988B true TW487988B (en) 2002-05-21

Family

ID=23863210

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089126728A TW487988B (en) 1999-12-22 2000-12-14 Method of cleaning and conditioning plasma reaction chamber

Country Status (9)

Country Link
US (1) US6350697B1 (zh)
EP (1) EP1252361B1 (zh)
JP (1) JP4995390B2 (zh)
KR (1) KR100789684B1 (zh)
CN (1) CN1252313C (zh)
AU (1) AU2049901A (zh)
DE (1) DE60042892D1 (zh)
TW (1) TW487988B (zh)
WO (1) WO2001046490A1 (zh)

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6564810B1 (en) * 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US6776851B1 (en) * 2001-07-11 2004-08-17 Lam Research Corporation In-situ cleaning of a polymer coated plasma processing chamber
US7060234B2 (en) * 2001-07-18 2006-06-13 Applied Materials Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers
US20030062064A1 (en) * 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
KR20030078550A (ko) * 2002-03-30 2003-10-08 주식회사 하이닉스반도체 반응기의 세정 방법
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20050087297A1 (en) * 2003-08-06 2005-04-28 Hiroyuki Kitsunai Plasma processing apparatus and method for stabilizing inner wall of processing chamber
CN1332064C (zh) * 2003-09-03 2007-08-15 统宝光电股份有限公司 降低沉积反应室腔体内氟残留的方法
US7022620B2 (en) * 2003-11-18 2006-04-04 Micron Technology, Inc. Conditioning of a reaction chamber
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
JP4490704B2 (ja) 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
KR100731124B1 (ko) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 증착 챔버의 세정 방법
US20070235058A1 (en) * 2006-04-10 2007-10-11 Daniel Harrington System and method for removing residue from a wafer processing chamber using sound waves
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
JP5089513B2 (ja) * 2008-07-11 2012-12-05 東京エレクトロン株式会社 プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
JP2010153508A (ja) 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN104282518B (zh) * 2013-07-12 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置的清洁方法
CN104282519B (zh) * 2013-07-12 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置的清洁方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) * 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10128133B1 (en) 2017-06-30 2018-11-13 Infineon Technologies Austria Ag Method of conditioning an etch chamber for contaminant free etching of a semiconductor device
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
CN111919284A (zh) * 2018-03-01 2020-11-10 应用材料公司 在器件制造中形成金属硬掩模的系统和方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220189749A1 (en) * 2020-12-14 2022-06-16 Applied Materials, Inc. Process Kit Conditioning Chamber
KR20230043457A (ko) * 2021-09-24 2023-03-31 주성엔지니어링(주) 기판 처리 장치의 세정 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57201016A (en) 1981-06-05 1982-12-09 Oki Electric Ind Co Ltd Cleaning method for semiconductor manufacturing apparatus
US4811684A (en) 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
JPS61250185A (ja) 1985-04-25 1986-11-07 Anelva Corp 真空処理装置のクリ−ニング方法
US4657616A (en) 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPS63210275A (ja) 1987-02-24 1988-08-31 Semiconductor Energy Lab Co Ltd プラズマ反応装置内を清浄にする方法
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JP2892694B2 (ja) 1989-07-31 1999-05-17 株式会社日立製作所 プラズマクリーニング方法
US5207836A (en) 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
JPH0487329A (ja) * 1990-07-31 1992-03-19 Oki Electric Ind Co Ltd ドライエッチング方法
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
DE69320963T2 (de) 1992-06-22 1999-05-12 Lam Res Corp Plasmareinigungsverfahren zum entfernen von rückständen in einer plasmabehandlungskammer
EP0648858A1 (en) 1993-10-15 1995-04-19 Applied Materials, Inc. Methods of coating plasma etch chambers and apparatus for plasma etching workpieces
US5817574A (en) * 1993-12-29 1998-10-06 Intel Corporation Method of forming a high surface area interconnection structure
JPH07335626A (ja) * 1994-06-10 1995-12-22 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JP2962181B2 (ja) * 1995-02-01 1999-10-12 ヤマハ株式会社 ドライエッチング方法及び装置
US6156663A (en) * 1995-10-03 2000-12-05 Hitachi, Ltd. Method and apparatus for plasma processing
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
JP3238137B2 (ja) * 1999-03-23 2001-12-10 株式会社日立製作所 プラズマ処理室のクリーニング方法

Also Published As

Publication number Publication date
KR100789684B1 (ko) 2008-01-02
DE60042892D1 (de) 2009-10-15
US6350697B1 (en) 2002-02-26
CN1252313C (zh) 2006-04-19
JP4995390B2 (ja) 2012-08-08
WO2001046490A1 (en) 2001-06-28
CN1411514A (zh) 2003-04-16
JP2003518328A (ja) 2003-06-03
EP1252361B1 (en) 2009-09-02
EP1252361A1 (en) 2002-10-30
AU2049901A (en) 2001-07-03
KR20020062367A (ko) 2002-07-25

Similar Documents

Publication Publication Date Title
TW487988B (en) Method of cleaning and conditioning plasma reaction chamber
US10566209B2 (en) Etching method and workpiece processing method
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US7159599B2 (en) Method and apparatus for processing a wafer
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
KR101445153B1 (ko) 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치
US7585686B2 (en) Method and apparatus for processing a wafer
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20160293384A1 (en) Methods for removing contamination from surfaces in substrate processing systems
JP3658269B2 (ja) 固体表面及び半導体製造装置の処理方法並びにそれを用いた半導体装置の製造方法
JPH1088360A (ja) チャンバ洗浄中に枯らし時間とバイアスrf電力とを変化させて移動性イオン及び金属による汚染を低減する方法及び装置
JPH1096082A (ja) 基板処理システム構成部材の寿命を延ばす炭素ベース膜の使用
US20060137710A1 (en) Method for controlling corrosion of a substrate
US20040237997A1 (en) Method for removal of residue from a substrate
JP6757624B2 (ja) 被処理体を処理する方法
US6335284B1 (en) Metallization process for manufacturing semiconductor devices
US20220059361A1 (en) Etching method and plasma processing apparatus
JP7456023B2 (ja) リソグラフィ用途のフォトレジスト層上への炭素の選択的堆積
US10115572B2 (en) Methods for in-situ chamber clean in plasma etching processing chamber
KR20180032153A (ko) 플라스마 처리 방법
JP2008060171A (ja) 半導体処理装置のクリーニング方法
Hélot et al. Plasma etching of Hf O 2 at elevated temperatures in chlorine-based chemistry
US20210351040A1 (en) Etching method
US6770214B2 (en) Method of reducing aluminum fluoride deposits in plasma etch reactor
US20220059360A1 (en) Etching method and plasma processing apparatus

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent