KR20020062367A - 플라즈마 반응 챔버의 세정 및 조절 방법 - Google Patents

플라즈마 반응 챔버의 세정 및 조절 방법 Download PDF

Info

Publication number
KR20020062367A
KR20020062367A KR1020027008036A KR20027008036A KR20020062367A KR 20020062367 A KR20020062367 A KR 20020062367A KR 1020027008036 A KR1020027008036 A KR 1020027008036A KR 20027008036 A KR20027008036 A KR 20027008036A KR 20020062367 A KR20020062367 A KR 20020062367A
Authority
KR
South Korea
Prior art keywords
chamber
cleaning
plasma
gas
wafer
Prior art date
Application number
KR1020027008036A
Other languages
English (en)
Other versions
KR100789684B1 (ko
Inventor
리차드슨브렛씨.
오웃카두언
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20020062367A publication Critical patent/KR20020062367A/ko
Application granted granted Critical
Publication of KR100789684B1 publication Critical patent/KR100789684B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Abstract

실리콘 웨이퍼와 같은 기판에 대하여 소정의 공정 처리가 진행되는 플라즈마 반응 챔버의 내부 표면을 세정 및 조절하는 방법에 관해 개시한다. 이 방법은 습식 세정 또는 인-사이츄(in-situ) 플라즈마 세정과 같은 챔버를 세정하는 단계, 챔버 안으로 조절용 가스를 유입시키는 단계, 조절용 가스에 에너지를 가하여 플라즈마 상태로 만드는 단계, 내부 표면에 폴리머 코팅을 퇴적시키는 단계 및 기판을 프로세싱하는 단계를 포함한다. 상기한 조절 단계는 챔버 내에 웨이퍼와 같은 기판이 없이도 수행될 수 있으며, 상기한 프로세싱 단계는 생산 웨이퍼를 프로세싱하기 이전에 챔버에 걸쳐서 조절용 웨이퍼를 작동시키지 않고 실행될 수 있다. 알루미늄을 에칭하기 위하여 사용되는 플라즈마 챔버인 경우에는, 조절용 가스는 불소를 함유하고 있는 가스, 탄소를 함유하고 있는 가스 및 염소를 함유하고 있는 가스를 포함할 수 있다.

Description

플라즈마 반응 챔버의 세정 및 조절 방법{Method of cleaning and conditioning plasma reaction chamber}
반도체 웨이퍼에 대한 공정의 진행 중에 플라즈마 식각 및 CVD 반응기(reactors)에 대하여 주기적인 인-시츄(in-situ) 세정을 실시하는 것이 보통이다. 미국 특허 제5,129,958호에는 반도체 웨이퍼 처리 장치에 있는 CVD 증착 챔버를 세정하는 방법이 개시되어 있는데, 여기에서는 선행(prior) 단계인 불소 플라즈마 세정 단계로부터 남겨진 챔버내의 불소 잔류물(residues)이 환원 가스(reducing gas) 예컨대 실란(SiH4), 암모니아, 수소, 수소화인(PH3), 이붕소화 수소(diborine, B2H6) 및 아르신(arsine,AsH3)들 중에서 하나 또는 그 이상과 접촉한다. 플라즈마 CVD 반응기의 내부 표면을 세정하고 조절(conditioning)하는 것에 대한 다른 기술이 공중의 소유가 된 미국 특허 제 5,647,953호에 개시되어 있는데, 그것의 내용은 여기에서 참조에 의하여 함께 통합된다. 예전에는 반응로 내에서 전극을 덮기 위해 웨이퍼와 같이 이러한 세정이 행해졌지만, 웨이퍼없이 세정을 하는 것이 더 보편적인 것이 되었다.
플라즈마 반응 챔버를 세정하는 것에 관한 다른 기술들이, 공중의 소유가 된 미국 특허 제5,35,478호; 미국 특허 제4,657,616호; 제4,786,352호; 제4,816,113호; 제4,842,683호; 제4,857,139호; 제5,006,192호; 제5,129,958호; 제5,158,644호 및 제5,207,836호와 일본 특허 공개 공보(Japanese Laid-Open Patent Publication) 제57-201016호; 제61-250185호, 제62-214175호, 제63-267430호 및 제3-62520호에 개시되어 있다. 예를 들면, 실리콘 산화물(SiOx)의 퇴적물(deposits)을 제거하기 위하여, 에너지를 주입되어서 플라즈마 상태로 된 불소를 함유하고 있는 가스가 챔버의 내부 표면을 세정하기 위하여 사용되어 왔다. 반응기를 세정한 후에 남아 있는 불소 잔류물은 수소(H2), 실란(SiH4), 암모니아(NH4), 수소화인(PH3), 이붕소화 수소(B2H6) 또는 아르신(AsH3)과 같은 환원 가스가 반응기를 지나도록 통과시킴으로써 제거할 수 있다.
조절 공정이 진행되는 동안에 전극을 보호하기 위하여 웨이퍼가 챔버 내에 놓여 있는 경우에 챔버 조절 공정이 또한 통상적으로 사용된다. 퇴적용 반응기(deposition reactor)에 대하여 플라즈마 세정에 뒤이어 이러한 조절 공정은 아주 보편적으로 행해지며, 또한 식각 반응기에 대하여 습식 세정에 뒤이어 보편적으로 행해진다. 때때로 조절 공정에서 도움을 주는 역할을 하는 막이 웨이퍼 상에 증착되어 있는 경우도 있다. 예를 들면, 레지스트가 코팅된 웨이퍼가 조절 공정이 진행되는 속도를 증가시키기 위하여 통상적으로 사용되어 왔다. 이러한 조절 단계에서는 챔버 벽에 가해지는(season the chamber wall) 하부 전극의 파워가 없는 공정 조건을 사용할 수도 있다.
웨이퍼를 생산하기 위한 공정을 진행할 경우에 재생 가능한 공정 조건을 얻기 위하여 플라즈마 챔버에 가해지는 조절용 웨이퍼(conditioning wafer)를 사용함에 있어서 발생하는 하나의 문제는, 이러한 조절용 웨이퍼는 비용을 증가시키고 생산 속도를 지체시킨다는 것이다. 따라서, 비용과 생산 효율의 관점에서 더 경제적인 조절 처리 방법이 요구된다.
도 1은 본 발명에 따라서 웨이퍼 없는 자동 세정 공정의 진행중의 703nm 방출 데이터를 보여주는 도면이고;
도 2는 0.25㎛ 프로파일 테스트 웨이퍼의 식각 이전(pre-etch)의 구조를 보여주는 도면이고;
도 3은 레지스트 웨이퍼 있는 챔버 조절 공정 이후의 프로파일 웨이퍼에 대한 엔드포인트(endpoint)의 자취와 본 발명에 따라서 웨이퍼 없는 자동 세정 공정의 엔드포인트 자취를 비교해서 보여주는 도면이고;
도 4a 내지 도 4d는 본 발명에 따라서 웨이퍼 없는 자동 세정 공정 및 웨이퍼 없는 리커버리(recovery) 단계를 거친 후의 식각된 구조물에 대한 포토마이크로그래프(photomicrograph)이고, 도 4e는 레지스트 웨이퍼가 있는 챔버 조건에서 공정이 수행되어 식각된 구조물에 대한 포토마이크로그래프이고;
도 5a 내지 도 5d는 본 발명에 따라서 웨이퍼 없는 자동 세정 공정 및 웨이퍼 없는 리커버리 단계를 거친 후의 식각된 구조물에 대한 포토마이크로그래프이고, 도 5e는 레지스트 웨이퍼가 있는 챔버 조건에서 공정을 거친 식각된 구조물에 대한 포토마이크로그래프이며;
도 6a 내지 도 6c는 본 발명에 따라서 웨이퍼 없는 자동 세정 공정 및 웨이퍼 없는 리커버리 단계를 거친 후의 식각된 구조물에 대한 포토마이크로그래프이고, 도 6d 내지 도 6f는 레지스트 웨이퍼가 있는 챔버 조건에서 공정을 거친 식각된 구조물에 대한 포토마이크로그래프이다.
본 발명은 기판에 대하여 소정의 공정을 진행하는 플라즈마 반응 챔버를 세정하고 조절하는 방법을 제공하는데, 본 발명은 챔버 내부의 표면에 쌓인 퇴적물을 제거하기 위하여 플라즈마 반응 챔버를 세정하는 단계, 불소를 함유하고 있는 가스 및 탄소를 함유하고 있는 가스를 포함하는 조절용 가스를 챔버 안으로 유입시키는 단계, 조절용 가스에 에너지를 투입하여 플라즈마 상태로 만드는 단계, 챔버의 내부 표면상에 폴리머 코팅(polymer coating)을 퇴적하는 단계, 퇴적 단계 이후에 챔버에서 기판에 소정의 공정을 진행하는 단계를 포함한다. 여기에서 "폴리머" 코팅이라는 용어는 식각 또는 퇴적의 부산물(by-products)을 함유할 수도 있는 유기물질의 막(organic film)을 지칭하기 위한 것이다.
본 발명의 바람직한 일 실시예에 따르면, 에너지를 투입하여 플라즈마 상태로 만드는 단계는 고주파(radio frequence : RF) 안테나 코일에서 공진하는 고주파 전류에 의하여 발생하는 유도장(inductive field)에 조절용 가스를 노출시킴으로써 실행되는데, 여기에서 RF 안테나 코일은 챔버의 외부 즉, 챔버의 밀봉체(enclosure)의 일부를 이루는 유전체 차폐물(dielectric shield)에 아주 가까운 위치에 배치된다. 게다가, 세정 단계는 챔버를 열어서 습식 세정을 실시함으로써 실행할 수 있으며 또는 세정 단계는 세정용 가스를 챔버 안으로 유입하고, 세정용 가스에 에너지를 투입하여 플라즈마 상태가 되게 하며, 그리고 퇴적물을 제거하기 위하여 내부의 표면을 플라즈마와 접촉시킴으로써 실행할 수도 있다. 바람직한 방법에서는, 조절 단계는 챔버 내에는 기판이 없는 경우에 실행될 수 있는데 그리고/또는 여기에서 이 기판은 생산 웨이퍼(production wafer)를 포함하며 그리고 상기한 기판에 대하여 소정의 공정을 진행하는 단계는 생산 웨이퍼에 소정의 공정을 진행하기 전에 챔버 내에서 조절용 웨이퍼에 소정의 공정을 진행함이 없이 실행한다. 또한, 상기한 기판에 대하여 소정의 공정을 진행하는 동안에 기판을 지지하는 기판 서포트의 RF 바이어스 전극(biasing electrode)에 파워를 가하지 않고 조절 단계를 실행할 수도 있다.
알루미늄 식각 반응기를 세정하는 경우에는, 조절용 가스는 염소를 함유하고 있는 가스를 더 포함할 수 있기 때문에 증착 단계에서 증착되는 폴리머는 그 안에 염소를 포함할 수 있으며 그리고 기판에 대하여 소정의 공정을 진행하는 단계는 생산 웨이퍼 상에 있는 알루미늄막을 식각하는 공정을 포함할 수 있다. 이 경우에는, 조절용 가스는 염소(Cl2), 염화 붕소(BCl3), 염화 탄소(CCl4), 염화 실리콘(SiCl4) 또는 이들의 혼합물을 포함할 수 있다. 예를 들면, 조절용 가스로서 CHF3, BCl3및/또는 염소를 사용하여 하나 또는 그 이상의 단계에서 조절 단계를 실행할 수 있다. 조절 단계가 진행되는 동안에 챔버의 압력은 5 내지 80 밀리토르(mTorr) 범위 내일 수 있으며 그리고/또는 플라즈마를 발생시키는 안테나에는 조절 단계가 진행되는 동안에 200 내지 1000 와트(W)의 에너지가 공급될 수 있다.
본 발명은 기판에 대하여 소정의 공정이 진행되는 플라즈마 반응 챔버를 세정하고 조절하는 경제적인 방법을 제공한다. 상기한 방법은 챔버 내부의 표면에 쌓인 퇴적물을 제거하기 위하여 플라즈마 반응 챔버를 세정하는 단계, 불소를 함유하고 있는 가스 및 탄소를 함유하고 있는 가스를 포함하는 조절용 가스를 챔버 안으로 유입시키는 단계, 조절용 가스에 에너지를 투입하여 플라즈마 상태로 만드는 단계, 챔버의 내부 표면상에 플라즈마에 의하여 형성된 폴리머 코팅을 증착하는 단계, 이 증착 단계 이후에 챔버에서 기판에 소정의 공정을 진행하는 단계를 포함한다.
바람직한 실시예에서는, 본 발명은 알루미늄막의 적층체(stack)를 플라즈마 식각하기 위하여 사용되는 챔버를 조절하기 위한 웨이퍼 없는 플라즈마 세정 리커버리 공정(waferless plasma clean recovery process)을 제공한다. 알루미늄을 플라즈마 식각하는 공정은 반응기 전체에 퇴적되는 부산물(by-product)을 발생시킨다. 결국에는 이 부산물은 더 이상 반응기의 벽에 부착되지 않는 두께에 다다르게 되어서 식각되고 있는 기판을 오염시키는 입자들을 떨어뜨리게 된다. 이러한 현상이 발생하는 경우에는, 반응기를 개방시켜서 습식 세정 공정으로 세정해야만 한다.
세정을 하기 위하여 반응기를 준비하는 공정 즉 실질적인 세정 공정과 챔버를 개방시켜서 세정하고 난 후에 챔버를 조절하기 위하여 요구되는 단계들은, 상당한 양의 시간을 요구할 수도 있다. 웨이퍼를 제조할 때 생산성을 최대화시키기 위해서는, 챔버를 세정하기 위하여 챔버를 개방하는 사이의 시간을 증가시키는 것이 바람직하다. 이것을 하기 위한 한가지 방법은 플라즈마 세정을 실시하는 것이었는데, 이 경우에는 챔버에 증착되는 식각 부산물을 감소시킬 수 있기 때문에 챔버를 세정하기 위하여 챔버를 개방시키는 사이의 시간을 증가시킬 수 있다. 그러나, 플라즈마 세정은 반응기의 퇴적물을 제거함으로써 챔버의 상태(condition)를 변화시키기 때문에, 플라즈마 세정 후에는 전형적인 공정 변이(process shift)가 존재한다. 그 결과, 알루미늄의 식각율, 식각 프로파일 및 최소 배선폭(feature CDs, 임계 치수)이 바뀌게 됨으로써 제조되고 있는 소자(device)에 대하여 허용 가능한 범위를 벗어날 수 있다. 플라즈마 세정이 사용되는 경우에는, 생산 웨이퍼를 식각하기에 앞서서 챔버 벽의 상태를 다시 조절하기 위하여 웨이퍼가 챔버를 통하여 순환된다.
조절하기 위하여 챔버 내에 웨이퍼가 필요한 공정의 경우에는, 특별한 유형의 웨이퍼가 필요할 수도 있다. 이것은 제조 환경에서 알루미늄을 식각하기 위하여 플라즈마 세정 공정을 적용할 가능성을 제한하는데, 왜냐하면 특별한 유형의 웨이퍼를 챔버 내에 들여오고 그리고 플라즈마 세정 후에 조절 공정을 진행해야만 하는 것이 제조 공정의 흐름(flow)에 파괴적인 영향을 미칠 것이기 때문이다. 그 결과, 제조 중에는, 플라즈마 세정은 사용되지 않을 것이며 그리고 반응기는 장시간의 습식 세정을 진행하기 위하여 보다 자주 개방될 필요가 있을 것이다. 그리하여, 습식 세정 시간을 늘리기 위하여 플라즈마 세정을 사용하는 잇점을 가진 반응기의 유효성은 특별한 유형의 웨이퍼를 사용하여 챔버를 재조절해야 하는 부담으로 인하여 부정된다.
본 발명은 플라즈마 세정 후에 뒤따르는 챔버의 상태를 재조절하는데 있어서 챔버 내에서 웨이퍼 없이 실행할 수 있는 공정을 제공한다. 알루미늄의 식각으로부터 발생하는 부산물의 퇴적물은 많은 양의 유기 물질을 함유하고 있는 것으로 나타나고 있다. 퇴적물은 식각 공정 전체에 걸쳐서 존재하며 그리고 반응종들에 대한 재조합 및 흡착 장소뿐만이 아니라, 측벽의 패시베이션(passivation)을 위한 소스를 제공함으로써 그 공정에 참가한다. 그 퇴적물은 산소(O2)를 함유하고 있는 플라즈마를 이용하여 세정할 수 있다. 그러나, 이것은 챔버 내에 산소 잔류물을 남기게 된다. 게다가, 알루미늄 식각 가스에 산소를 첨가하는 것은 알루미늄 식각률을 증가시키는 것으로 알려져 있으며, 식각 프로파일의 결과에 영향을 미칠 수 있다.
플라즈마 세정을 한 후에 챔버를 재조절하기 위해서는, 산소 잔류물을 제거하거나 구속하여 식각 공정에 영향을 미치지 않도록 하는 것이 바람직하다. 또한, 부산물의 퇴적물이 공정에 참가하는 것과 같은 방법으로 공정에 참가하는 유기물질의 퇴적물을 제공하는 것이 바람직하다. 웨이퍼 없는 조절 공정에서는, 공정의 진행 중에 커버되거나 보호되지 않는 웨이퍼 척크(wafer chuck)를 공격하는 일이 없이 이것을 행해야만 한다.
표 1
웨이퍼 없는 리커버리 공정에 대한 공정 조건
압력 TCPTM파워 바이어스 파워 BCL3 CHF3
전형적인 조건 50 mt 700 와트 0 와트 15 sccm 37 sccm
범위 10 - 99 400 - 1200 0 - 5 10 - 30 10 - 60
이것을 하기 위한 바람직한 방법은 BCl3, CHF3를 사용하여 플라즈마 공정을 수행하는 것이다. LAM 리서치사(Research Corporation)의 제품인 TCP 9600TM식각기와 같은 유도 결합(inductively coupled) 플라즈마 식각기에서는, 상부 전극( TCPTM파워) 소스에 높은 RF 파워를 인가하며 그러나 하부 전극에는 파워를 인가하지 않음으로써 웨이퍼에 대한 공정의 진행 중에 RF 기판 바이어스(bias)를 제공하여 그 공정을 수행한다. 이로 인하여 낮은 플라즈마 포텐셜(low plasma potential)이 발생하며 그리고 웨이퍼 척크에 대한 공격을 방지한다. BCl3는 잔류하고 있는 O2를 챔버로부터 제거할 수 있는 반면에 CHF3는 챔버의 벽에 퇴적이 되는 유기 물질의 소스이다. BCl3는 또한 식각에 의하여 생기는 부산물의 퇴적물에 존재하는 Cl의 소스이다. TCP 9600TM식각 반응기에 대한 전형적인 공정 조건 및 범위가 표 1에 게시되어 있다.
알루미늄 식각 스택(stack) 및 공정의 요구조건에 따라서, 웨이퍼 없는 리커버리 공정의 두 번째 단계가 필요할 수도 있다. 알루미늄 식각 공정이 CHF3를 함유하고 있지 않은 단계에서 끝이 나는 경우에는, CHF3를 제거하기 위한 리커버리 공정의 최종 단계는 공정 리커버리를 개선시킬 것이다. 2단계로 구성된 웨이퍼 없는 리커버리 공정에 대한 하나의 예가 표 2에 게시되어 있다.
표 2
2 단계 웨이퍼 없는 리커버리 공정
압력 TCPTM파워 바이어스 파워 BCl3 Cl2 CHF3
제1단계 50 mt 700 와트 0 와트 15 sccm 37 sccm
제2단계 15 mt 450 와트 0 와트 30 sccm 30 sccm
BCl3는 산소를 청소하는 능력 때문에 선택이 되었지만, 반면에 CCl4및 SiCl4와 같은 다른 가스들도 적절한 대체물이 될 수 있을 것이다. Cl2를 첨가함으로써 염소의 농도를 증가시킬 수 있을 것이다. 마찬가지로, 많은 염화 탄소(chlorocarbon), 불화 탄소(fluorocarbon) 및 CFC 가스들이 폴리머의 소스로서 CHF3를 용이하게 대체할 수 있을 것이다. 효과적인 공정을 유지하고 있는 동안에 He 또는 Ar과 같은 희석용 가스(diluent gas)를 첨가할 수 있을 것이다.
웨이퍼 없는 리커버리 공정은, 작동 매개물(operator intervention)에 대한 필요성을 제거함으로써 특별한 웨이퍼 유형 없이 수행할 수 있다. 리커버리 공정을 위하여 웨이퍼를 필요로 하지 않는 다는 점의 또 다른 이점은, 세정 또는 조절 단계를 위하여 챔버 내로 웨이퍼를 적재(load)하는데 사용되는 오버헤드 시간(overhead time)이 없기 때문에 제조기간의 손실을 최소화한다는 것이다. 이로 인하여 직접적으로 생기는 결과는 식각할 가치가 있는 제품(etching valuable product)에 대하여 반응기를 이용할 가능성이 더 증가한다는 것이다.
반응기를 습식 세정하는 단계에 뒤이어 웨이퍼 없는 리커버리 공정을 사용하게 되면 습식 세정의 리커버리 시간도 역시 감소시킬 수 있는 이점이 있다. 예를 들면, 50개의 레지스트 웨이퍼가 습식 세정된 챔버를 거치게 하는데 약 90분 정도의 시간이 걸리는 조절 공정과 비교했을 때, 본 발명에 따르면 조절 공정을 약 5분 또는 그 이하의 시간으로도 완료할 수 있다. 따라서, 본 발명에 따른 조절 공정은 챔버 세정에 이어지는 리커버리 시간을 50% 이상, 심지어는 80% 또는 그 이상 단축시킬 수 있다. 게다가, 조절용 웨이퍼를 사용하지 않거나 또는 그것의 사용을 최소화하고서 더 짧은 시간에 챔버를 조절할 수 있다. 생산을 위하여 반응기를 사용할 가능성이 증가하고, 그리고 비생산(non-production) 웨이퍼에 대한 요구 조건들을 감소시키는 것은 모두가 고객의 수익을 증가시키는데 도움이 된다.
테스트 데이터 및 결과. TCP TM 9600SE TM 시스템시스템 베이스라인
이 연구는 9600 SETM시스템의 베이스라인(baseline)을 가지고 시작하였다. 챔버는 습식으로 세정하였고, 그리고 블랭킷 포토레지스트(PR) 웨이퍼를 챔버를 조절하기 위하여 사용하였다. 웨이퍼 없는 리커버리 공정 진행 작업(development work)에 대한 식각율 타겟을 설정하기 위하여 조절된(conditioned) 챔버에 대하여 알루미늄 식각율 웨이퍼(Aluminum etch rate wafers)를 사용하였다. 장시간의 O2웨이퍼 없는 자동 세정(waferless autoclean : WAC)의 실행으로 인한 공정 식각율 변이(shift)를 설정하였다. 베이스라인 테스트로부터의 식각율 결과가 표 3에 게시되어 있다.
표 3
8분 산소 WAC 공정 전, 후의 알루미늄 식각률 베이스 라인 데이터
웨이퍼의 ID 평균 단차 높이(Average Step Height) 세정에 기인한 변화% (% Change Due to Clean) 압력 제어 밸브각(Pressure Control Valve Angle, 개방%)
사전 세정(Pre clean) #1 4602 36.8
사전 세정 #2 4659 36.1
WAC 공정 후(Post WAC) 5254 13.5% 35.5
챔버/공정 리커버리 고려
WAC 공정에 뒤따르는 식각율의 증가를 설명하기 위하여 여러 가지 메커니즘이 제안되어 왔다. 식각율의 리커버리를 설명하기 위한 하나의 제안은 압력을 조절하기 위하여 압력 조절 밸브의 위치를 사용하기 보다는 Cl2흐름 제어를 사용하는 것이었는데, 왜냐하면 알루미늄의 식각율은 Cl2의 흐름과 비례하기(scale with) 때문이다. Cl2가 세정되고 조절된 챔버의 벽과 상호작용을 하는 것은 (흡수율, 재조합 등에서) 다를 것이며,그리고 압력 조절 밸브의 위치는 고정되어 있기 때문에 이것이 순수한 Cl2의 농도(concentration)에 영향을 미친다면 챔버의 압력에 반영되는 것도 가능하다.
일부 알루미늄 식각 공정에 약간의 O2를 첨가하게 되면 알루미늄의 식각율을 증가시키는 것으로 또한 보고되어 왔다. 챔버 내에 잔존하고 있는 O2는 WAC공정 이후에 식각율을 증가시키는 요인 중의 하나가 될 수 있다고 제안되었다. 잔존하고 있는 O2를 제거하는 조절 공정은 이러한 논점(issue)을 설명하는데 도움이 될 수 있다.
레지스트 웨이퍼를 순환시키는 것(cycling)은 챔버를 조절하기 위한 아주 효과적인 방법이며 그리고 습식 세정 이후에 추천되는 리커버리 절차이다. 이것은 챔버를 조절하는데 있어서 중요한 인자로서 식각 부산물에 있는 탄소의 역할을 보여준다(point to the role of carbon). 또한, 챔버를 세정하는데 있어서 O2WAC 공정이 보여준 효용성(effectiveness)은 챔버의 벽이 유기물질의 퇴적물로 조절된다는 것을 보여준다. 챔버를 조절하는데 있어서 유기물 퇴적 화학(organic depositing chemistry)을 사용하면 이러한 논점을 설명하는데 도움이 될 수 있다.
제안된 메커니즘들을 고려하였으며 그리고 중요한 효과를 판단하기 위하여 일련의 실험을 행하였다.
Cl2흐름 제어가 차지하는 역할을 판단하기 위해서는, 압력 제어 밸브 위치가 고정된 경우에 웨이퍼 없는 세정의 전과 후에 압력에 있어서 측정할 수 있는 차이가 존재해야만 한다. 이것 대신으로, 만일 Cl2흐름 제어가 효과적이라면, WAC 공정을 거친 후에 정해진 압력을 유지하기 위하여 압력 제어 밸브가 더 넓게 개방되는 것을 보기를 기대하였다. WAC 공정 이전(2개의 웨이퍼)과 이후에 압력 제어 밸브의 위치를 측정하였다. 위치에 있어서의 변화는 작았으며 그리고, 그것이 중요한 것일지라도, 식각율 리커버리에 대하여 효과적인 Cl2흐름 제어에 대하여 반대 방향으로 변화가 있다는 것이다.
이러한 사실에 기초하여, 챔버의 리커버리를 연구하기 위한 방법은 웨이퍼 없는 리커버리 단계(waferless recovery step : WRS)의 실행 가능성 여부에 관심이집중되었다. 제안된 메커니즘을 염두에 두고서, 3가지 화학 과정이 연구되었는데, 즉 Cl2/ BCl3(O2의 청소), Cl2/ CHF3(유기물의 퇴적), BCl3/ CHF3(청소와 퇴적의 조합)이 그것이다.
가능한 WRS공정을 테스트하기 위하여, 시스템은 각 웨이퍼 사이에 WAC 공정(12초) 및 WRS 공정을 수행하는 베이스라인 알루미늄 식각 공정을 사용하여 블랭킷 레지스트 웨이퍼를 순환시키도록 설치하였다. 테스트된 3개의 WRS 단계가 표 4에 게시되어 있다. 각 테스트에 대하여, 알루미늄 식각율 테스트를 수행하기 이전에 30-40 블랭킷 레지스트 웨이퍼를 순환하였다. 표 5는 식각율의 결과를 보여준다. 조절된 챔버에 대하여, 알루미늄의 식각 깊이는 약 4630Å 이다. BCl3/ CHF3공정은 WRS 공정을 사용하여 WAC 공정에 뒤이어서 소망하는 알루미늄의 식각율을 회복하는 것이 가능하다는 것을 보여준다. 식각율이 공정의 변이(shift)를 보여주는 주요한 인자라고 가정한다면, 소망하는 공정의 안정성을 회복하기 위하여 WAC 공정에 뒤이어서 WRS 공정을 사용하는 것의 실현가능성은 아주 좋아 보인다.
표 4
테스트된 WRS 식각 조건
파라미터 Cl2/BCl3 Cl2/CHF3 BCl3/CHF3
압력 20 mt 20 mt 50 mt
TCPTM 700 W 700 W 700 W
Cl2 162 sccm 30 sccm
BCl3 54 sccm 15 sccm
CHF3 37 sccm 37 sccm
단계 소요 시간(Step Time) 8 초 15 초 20 초
표 5
WRS 공정 테스트에 대한 식각 깊이의 결과
WRS 공정 알루미늄 식각 깊이 조절된 챔버와의 차이(Change from Condition Chanber)
Cl2/BCl3 5445 Å 17.6 %
Cl2/CHF3 5300 Å 14.5 %
BCl3/CHF3 4671 Å 0.9 %
웨이퍼 없는 세정 진동수
WAC 공정을 실행하는데 있어서 중요한 부분은 깨끗한 챔버를 유지하기 위한 효율을 최대화시키면서 처리량 충돌(throughput impact)을 최소화시키는 WAC 공정 진동수를 선택하는 일일 것이다. 703 nm 에서의 광학적인 방출이 적절한 WAC 공정의 엔드포인트(endpoint)를 지시하는 것으로 판명되었다. 챔버가 적절하게 세정되어졌을 경우에 신호는 WAC 공정 전체에 걸쳐서 저하되고 그리고 평평하게 된다.
WAC 공정 사이에 요구되는 WAC 공정 시간과 웨이퍼의 숫자 ("N") 사이의 비율이 얼마가 되는지를 결정하기 위하여 일련의 테스트를 수행하였다. 베이스라인 조건에 도달하기 위하여 챔버는 강화된(extended) O2플라즈마로 세정하였다. 이것에 뒤이어서 WAC 공정을 수행하는 사이에 웨이퍼의 숫자를 변화시키고 그리고 챔버를 세정하기 위하여 요구되는 시간을 결정하기 위하여 WAC 공정 단계 중에 703 nm의 방출을 모니터링하는 테스트를 수행하였다. 도 1은 이 테스트로부터 얻어진 엔드포인트의 자취(endpoint trace)를 도시하고 있다. RF에 가까워지는 경우에 엔드포인트 신호는 급격하게 증가한다. "N"이 증가함에 따라서, 엔드포인트의 신호가 베이스라인까지 저하되는데 걸리는 시간이 증가하는데, 이것은 세정 시간이 "N"의 함수라는 것을 보여준다.
표 6
WAC 엔드 포인트 및 단위 세정 시간(초) 대 WAC 진동수
WAC 공정 시간 10 웨이퍼 5 웨이퍼 3 웨이퍼 1 웨이퍼 1 웨이퍼
전 WAC 공정 265 71 56 37 38
방출 l = 100 143 45 40 23 24
단위 세정 시간
전 WAC 공정 26.5 14.2 18.66667 37 38
방출 l = 100 14.3 11.4 13.33333 23 24
표 6은 웨이퍼에 대한 세정 시간 뿐만이 아니라 WAC 공정 대 "N"에 대한 엔드포인트 시간을 보여주고 있다. 베이스라인 위로 100회(count)까지 저하되는 것뿐만이 아니라 엔드포인트 신호가 베이스라인까지 저하되는 시간이 개시되어 있다. 이러한 공정 조건에 대하여 "N"이 1-5로부터 증가함에 따라서 단위 세정 시간은 감소하나, 그러나 "N" = 10인 경우에는 단위 세정 시간은 증가한다. 이러한 사실로부터 최적의 세정 진동수는 5-20 웨이퍼 사이의 어딘가에 있을 것이라는 것을 알 수 있다.
WRS 공정 식각율 리커버리(WRS Etch Rate Recovery)
표 7에 개시되어 있는 알루미늄 식각, WAC, WRS 단계에 대한 공정 조건을 사용하여 BCl3/CHF3WRS 공정을 9600PTXTM시스템 상에서 테스트하였다. 알루미늄 식각 공정 조건을 가지고 57 블랭킷 레지스트 웨이퍼를 순환시킴으로써 시스템을 초기화하여 조절하였다. 조절된 챔버에 대한 알루미늄 식각율을 측정하였다. 이 단계 다음으로 WAC 공정 조건을 사용하여 7분간의 세정 시간으로 챔버를 과세정(over clean) 하였다. 세정 시간이 충분한 것을 입증하기 위하여 703nm 엔드포인트 신호를 사용하였다. 다음으로, 추가적인 29 블랭킷 레지스트 웨이퍼를 알루미늄 식각 공정을 사용하는 시스템을 통하여 순환하였지만, WAC 공정 및 WRS 공정 단계를 각 웨이퍼 사이에 사용하였다. 그 다음 WAC 공정 및 WRS 공정 단계에 뒤이어서 알루미늄 식각율을 다시 체크하였다. WAC 공정 및 WRS 공정에 뒤따르는 식각율은 잘 조절된(seasoned) 챔버에서의 식각율과 크게 다르지 않았다(1.6% 낮음).
표 7
알루미늄 식각, WAC, alc WRS 공정 조건
공정 압력 TCPTM(W) 바이어스(W) 유량(sccm) He 냉각 시간(초)
사이클 12 mt 350 78 74 Cl2 30 BCl3 5 CHF3 10 T 65
알루미늄 식각 깊이 12 mt 350 78 74 Cl2 30 BCl3 5 CHF3 10 T 35
WAC 32 mt 700 0 500 O2 12
WRS 50 mt 700 0 15 BCl3 37 CHF3
식각 프로파일 테스트(Profile Etch Test)
식각 프로파일에 대하여 WAC 공정 및 WRS 공정이 미치는 영향을 알아보기 위하여 식각 테스트를 실시하였다. 도 2는 식각 이전의 테스트 웨이퍼 구조물의 프로파일을 도시하고 있다.
식각 프로파일에 대하여 단계에 소요되는 시간 및 리커버리 단계에서의 BCl3: Cl2비의 중요성을 평가하기 위하여 작은 매트릭스(small matrix)가 운영되었다. 표 9에는 1/2 팩토리얼 매트릭스(factorial matrix)에서 4 공정을 실행(run)하는 것이 개시되어 있다. 도 3에는 2 단계 리커버리 공정을 수행한 후의 식각된 웨이퍼에 대한 엔드포인트의 자취가 도시되어 있다. 엔드포인트의 자취는 레지스트로 조절된 챔버에서 나타나는 식각된 웨이퍼에 대한 자취와 거의 일치한다. 게다가, BARC, Ti 및 TiN 막에 대한 식각율은 레지스트로 조절된 챔버에서 나타나는 이들 막에 대한 식각율과 일치한다. 2 단계 리커버리에 뒤이어 식각된 모든 웨이퍼는 유사한 자취를 가지고 있었다.
표 9
베이스 라인 공정:제1단계: 50 mt/700W TCPTM/37 sccm CHF3/15 sccm BCl3/ "X" 초제2단계: 15 mt/450W TCPTM/"Y" sccm BCl3/(60 -"Y") sccm Cl2/ "Z" 초
매트릭스 웨이퍼 16 웨이퍼 17 웨이퍼 18 웨이퍼 19
제1단계 시간("X") 30 초 20 초 20 초 30 초
제2단계 시간("Z") 15 초 7 초 15 초 7 초
BCl3/ Cl2흐름("Y") /(60-"Y") 15 sccm BCl345 sccm Cl2 15 sccm BCl345 sccm Cl2 30 sccm BCl330 sccm Cl2 20 sccm BCl330 sccm Cl2
도 4a 내지 도 4e 및 도 5a 내지 도 5e는 레지스트로 조절된 챔버에서 식각된 결과와 WAC 공정 및 WRS 공정 매트릭스의 일부로서 식각된 웨이퍼의 식각 프로파일을 비교하여 보여주고 있는 도면들이다. 웨이퍼들 사이에서 식각 프로파일의 차이는 대부분의 경우에 미미한데, 2 단계 리커버리 공정이 합리적인 공정 윈도우(window)를 가지고 있음을 보여주고 있다. 상기한 매트릭스로부터 알 수 있는 두드러진 경향은 다음과 같다;
- 염소를 베이스(base)로 한 제2 리커버리 단계는 식각 프로파일 리커버리를 향상시킨다.
- 제2 리커버리 단계에서 Cl2를 사용하는 퍼센트를 높이면 참조웨이퍼와 비교했을 때 형상의 상부(feature top)에서 CD 성장을 감소시키는 결과를 초래하는 것으로 나타난다. 제1 리커버리 단계 시간이 짧은 경우에 효과는 더 강하다(웨이퍼 17을 참조하라).
- 제1 리커버리 단계에 걸리는 시간을 더 길게하면 측벽의 매끈함(smoothness)에 대한 공정 래티튜드(process latitude)를 향상시킨다.
- 제2 단계에 걸리는 시간을 더 짧게 해서 웨이퍼를 식각하면 참조 웨이퍼에 남아있는 옥사이드와 더 가깝게 일치하였다.
도 6a 내지 도 6f는 조절된 챔버에서의 결과와 웨이퍼 19의 결과를 비교해서 보여주는 추가적인 SEM 사진들이다. 이들 SEM 사진에서는 고립된 형상(isolated feature)의 프로파일이 또한 재생산되며, 그리고 레지스트 프로파일과 측벽 퇴적물이 2단계 리커버리 공정과 서로 일치하고 있는 것 또한 유의하라.
염소를 이용한 화학 공정을 짧게 수행한 후에, 챔버 안에 다소의(some) 유기물질의 막을 생성시킬 정도로 충분하게 오랫동안 제1 리커버리 단계를 수행하는 것은 WAC 공정 후에 공정을 리커버리 시키는데 충분한 것으로 보인다. 측벽이 식각되는 것으로부터 보호하기 위하여 무거운 유기물질의 퇴적물과 높은 투입(bombardment) 에너지에 의존하는 공정에 대해서는 염소을 이용한 화학 공정 단계는 필요하지 않을 수도 있다.
WAC 공정 이후에 알루미늄을 식각하기 위하여 공정을 리커버리하는 것은 챔버 안에 퇴적되는 유기물과 챔버 벽이 불소화되는 것 사이에 적절한 균형이 이루어질 것이 요구된다. 식각 프로파일이 화합물간의 균형에 더욱 민감한 경우에 CHF3가 첨가된 식각 공정에 대한 공정 리커버리가 증명(demonstrate)되어 졌다. WAC 공정에 뒤이어 수행되는 2단계 리커버리 공정은 챔버 내에 유기물과 불소화된 합성물(composition)이 균형을 맞추어 "조절된" 상태가 될 수 있다는 것을 WRS 공정 매트릭스는 보여준다.
본 발명은 기판에 대하여 소정의 공정 예를 들면 플라즈마를 이용한 식각 또는 증착 장치의 반응 챔버 내부를 세정하고 조절하는 보다 경제적인 방법을 제공한다.
본 발명의 특정한 실시예들을 참조하면서 본 발명을 상세하게 기술하였지만, 첨부되어 있는 특허 청구 범위의 기술적 범위를 벗어남이 없이 여러 가지 변화 및 수정이 가해질 수 있으며, 균등물이 사용될 수 있다는 것이 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확할 것이다.

Claims (20)

  1. 기판에 대하여 소정의 공정이 수행되는 플라즈마 반응 챔버를 세정하고 조절하는 방법에 있어서,
    상기 챔버 내부의 표면상에 쌓인 퇴적물(deposit)을 제거하기 위하여 상기 플라즈마 반응 챔버를 세정하는 단계;
    불소를 함유하고 있는 가스 및 탄소를 함유하고 있는 가스를 포함하는 조절용 가스(condition gas)를 상기 챔버 안으로 유입시키는 단계;
    상기 조절용 가스에 에너지를 가하여 플라즈마 상태로 만드는 단계;
    상기 플라즈마에 의하여 형성된 폴리머 코팅(polymer coating)을 상기 챔버의 내부 표면상에 퇴적시키는 단계; 및
    상기 퇴적 단계 이후에 상기 챔버 내에서 기판을 프로세싱하는 단계를 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  2. 제1항에 있어서, 상기 플라즈마 상태로 만드는 단계는 고주파(RF) 안테나 코일에서 고주파 전류를 공명시킴으로써 발생하는 유도장(inductive field)에 상기 조절용 가스를 노출시킴으로써 수행하며, 상기 챔버의 밀봉체(enclosure)의 일부를 구성하는 유전체 차폐물(dielectric shield)에 근접해있는, 상기 챔버의 외부에 상기 고주파 안테나 코일이 배치되어 있는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  3. 제1항에 있어서, 상기 조절용 가스는 염소를 함유하고 있는 가스를 더 포함하며 그리고 상기 퇴적 단계에서 퇴적되는 상기 폴리머는 그 속에 염소를 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  4. 제1항에 있어서, 상기 세정 단계는 상기 챔버를 개방시키고 습식 세정을 실시하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  5. 제1항에 있어서, 상기 세정 단계는 세정용 가스(cleaning gas)를 상기 챔버 내로 유입시키고, 상기 세정용 가스에 에너지를 가하여 플라즈마 상태로 만들고, 그리고 상기 퇴적물을 제거하기 위하여 상기 플라즈마로 상기 내부 표면을 접촉시킴으로써 수행하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  6. 제1항에 있어서, 상기 조절 단계는 상기 챔버가 그 속에 기판을 포함하고 있지 않는 동안에 실행되는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  7. 제1항에 있어서, 상기 기판은 생산 웨이퍼(production wafer)를 포함하고 그리고 상기 생산 웨이퍼를 프로세싱하기 이전에 상기 챔버 내에서 조절용 웨이퍼를 프로세싱하지 않고 상기 프로세싱 단계가 실행되는 것을 특징으로 하는 플라즈마반응 챔버의 세정 및 조절 방법.
  8. 제7항에 있어서, 상기 프로세싱 단계는 상기 생산 웨이퍼 상에 있는 알루미늄막 적층체(aluminum film stack)를 식각하는 것을 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  9. 제1항에 있어서, 상기 프로세싱 단계에서 상기 기판이 서포트되는 기판 서포트의 고주파 바이어스 전극에 파워를 인가함이 없이 상기 조절 단계가 수행되는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  10. 제1항에 있어서, 상기 조절용 가스는 Cl2, BCl3, CCl4, SiCl4또는 이들의 혼합물을 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  11. 제1항에 있어서, 상기 조절용 가스는 CHF3및 BCl3및/또는 Cl2를 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  12. 제1항에 있어서, 상기 조절 단계가 진행되는 동안의 상기 챔버의 압력은 약 5 내지 80 밀리토르(mTorr) 범위 이내인 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  13. 제2항에 있어서, 상기 조절 단계가 진행되는 동안에 상기 고주파 안테나에 200 내지 1000 와트(Watt)의 파워가 인가되는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  14. 제5항에 있어서, 상기 플라즈마 세정용 가스는 상기 내부 표면에 있는 상기 퇴적물을 가스 부산물(gas by-products)로 바꾸는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  15. 제1항에 있어서, 상기 챔버는 플라즈마 식각 챔버인 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  16. 제15항에 있어서, 상기 세정 단계 이전에 상기 챔버 내에서 하나 이상의 반도체 기판상에 있는 알루미늄을 식각하는 단계를 더 포함하는 방법으로서, 상기 식각단계는 상기 챔버의 내부 표면상에 상기 퇴적물을 만들어 내는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  17. 제15항에 있어서, 하나 이상의 반도체 기판을 상기 챔버 안으로 들여오고 그리고 상기 하나 이상의 기판 상에 있는 알루미늄을 식각하는 단계가 상기 조절 단계에 뒤이어서 수행되는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절방법.
  18. 제1항에 있어서, 상기 조절 단계는 광학 방출 또는 간섭(interferometry)에 의하여 결정되는 엔드포인트(endpoint)에 도달할 때까지 수행되는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  19. 제1항에 있어서, 상기 조절 단계는 제1 및 제2 단계에서 실행이 되고, 상기 제1 단계에서는 상기 조절용 가스가 염소를 함유하고 있는 가스를 더 포함하고 그리고 상기 제2 단계에서는 상기 조절용 가스에는 불소가 없는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
  20. 제19항에 있어서, 상기 제1 단계에서는 상기 조절용 가스가 1 내지 30 sccm의 BCl3및 10 내지 60 sccm의 CHF3를 포함하고 그리고 상기 제2 단계에서는 상기 조절용 가스가 20 내지 60 sccm의 BCl3및 20 내지 60 sccm의 Cl2를 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
KR1020027008036A 1999-12-22 2000-12-08 플라즈마 반응 챔버의 세정 및 조절 방법 KR100789684B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/469,286 US6350697B1 (en) 1999-12-22 1999-12-22 Method of cleaning and conditioning plasma reaction chamber
US09/469,286 1999-12-22

Publications (2)

Publication Number Publication Date
KR20020062367A true KR20020062367A (ko) 2002-07-25
KR100789684B1 KR100789684B1 (ko) 2008-01-02

Family

ID=23863210

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027008036A KR100789684B1 (ko) 1999-12-22 2000-12-08 플라즈마 반응 챔버의 세정 및 조절 방법

Country Status (9)

Country Link
US (1) US6350697B1 (ko)
EP (1) EP1252361B1 (ko)
JP (1) JP4995390B2 (ko)
KR (1) KR100789684B1 (ko)
CN (1) CN1252313C (ko)
AU (1) AU2049901A (ko)
DE (1) DE60042892D1 (ko)
TW (1) TW487988B (ko)
WO (1) WO2001046490A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100731124B1 (ko) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 증착 챔버의 세정 방법
KR101289795B1 (ko) * 2005-01-08 2013-07-26 어플라이드 머티어리얼스, 인코포레이티드 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
KR20160038783A (ko) * 2014-09-30 2016-04-07 램 리써치 코포레이션 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6564810B1 (en) * 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US6776851B1 (en) * 2001-07-11 2004-08-17 Lam Research Corporation In-situ cleaning of a polymer coated plasma processing chamber
US7060234B2 (en) * 2001-07-18 2006-06-13 Applied Materials Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers
US20030062064A1 (en) * 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
KR20030078550A (ko) * 2002-03-30 2003-10-08 주식회사 하이닉스반도체 반응기의 세정 방법
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20050087297A1 (en) * 2003-08-06 2005-04-28 Hiroyuki Kitsunai Plasma processing apparatus and method for stabilizing inner wall of processing chamber
CN1332064C (zh) * 2003-09-03 2007-08-15 统宝光电股份有限公司 降低沉积反应室腔体内氟残留的方法
US7022620B2 (en) * 2003-11-18 2006-04-04 Micron Technology, Inc. Conditioning of a reaction chamber
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
JP4490704B2 (ja) 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US20070235058A1 (en) * 2006-04-10 2007-10-11 Daniel Harrington System and method for removing residue from a wafer processing chamber using sound waves
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
JP5089513B2 (ja) * 2008-07-11 2012-12-05 東京エレクトロン株式会社 プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
JP2010153508A (ja) 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104282518B (zh) * 2013-07-12 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置的清洁方法
CN104282519B (zh) * 2013-07-12 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置的清洁方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10128133B1 (en) 2017-06-30 2018-11-13 Infineon Technologies Austria Ag Method of conditioning an etch chamber for contaminant free etching of a semiconductor device
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
CN111919284A (zh) * 2018-03-01 2020-11-10 应用材料公司 在器件制造中形成金属硬掩模的系统和方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220189749A1 (en) * 2020-12-14 2022-06-16 Applied Materials, Inc. Process Kit Conditioning Chamber
KR20230043457A (ko) * 2021-09-24 2023-03-31 주성엔지니어링(주) 기판 처리 장치의 세정 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57201016A (en) 1981-06-05 1982-12-09 Oki Electric Ind Co Ltd Cleaning method for semiconductor manufacturing apparatus
US4811684A (en) 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
JPS61250185A (ja) 1985-04-25 1986-11-07 Anelva Corp 真空処理装置のクリ−ニング方法
US4657616A (en) 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPS63210275A (ja) 1987-02-24 1988-08-31 Semiconductor Energy Lab Co Ltd プラズマ反応装置内を清浄にする方法
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JP2892694B2 (ja) 1989-07-31 1999-05-17 株式会社日立製作所 プラズマクリーニング方法
US5207836A (en) 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
JPH0487329A (ja) * 1990-07-31 1992-03-19 Oki Electric Ind Co Ltd ドライエッチング方法
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
KR100293830B1 (ko) 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
EP0648858A1 (en) 1993-10-15 1995-04-19 Applied Materials, Inc. Methods of coating plasma etch chambers and apparatus for plasma etching workpieces
US5817574A (en) * 1993-12-29 1998-10-06 Intel Corporation Method of forming a high surface area interconnection structure
JPH07335626A (ja) * 1994-06-10 1995-12-22 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JP2962181B2 (ja) * 1995-02-01 1999-10-12 ヤマハ株式会社 ドライエッチング方法及び装置
US6156663A (en) * 1995-10-03 2000-12-05 Hitachi, Ltd. Method and apparatus for plasma processing
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
JP3238137B2 (ja) * 1999-03-23 2001-12-10 株式会社日立製作所 プラズマ処理室のクリーニング方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101289795B1 (ko) * 2005-01-08 2013-07-26 어플라이드 머티어리얼스, 인코포레이티드 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
KR100731124B1 (ko) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 증착 챔버의 세정 방법
KR20160038783A (ko) * 2014-09-30 2016-04-07 램 리써치 코포레이션 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
KR20230010807A (ko) * 2014-09-30 2023-01-19 램 리써치 코포레이션 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치

Also Published As

Publication number Publication date
US6350697B1 (en) 2002-02-26
CN1252313C (zh) 2006-04-19
DE60042892D1 (de) 2009-10-15
JP4995390B2 (ja) 2012-08-08
EP1252361A1 (en) 2002-10-30
WO2001046490A1 (en) 2001-06-28
TW487988B (en) 2002-05-21
EP1252361B1 (en) 2009-09-02
KR100789684B1 (ko) 2008-01-02
AU2049901A (en) 2001-07-03
CN1411514A (zh) 2003-04-16
JP2003518328A (ja) 2003-06-03

Similar Documents

Publication Publication Date Title
KR20020062367A (ko) 플라즈마 반응 챔버의 세정 및 조절 방법
US5756400A (en) Method and apparatus for cleaning by-products from plasma chamber surfaces
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
JP2674488B2 (ja) ドライエッチング室のクリーニング方法
US5376223A (en) Plasma etch process
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
US6110836A (en) Reactive plasma etch cleaning of high aspect ratio openings
US6869542B2 (en) Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
EP0234387B1 (en) Method of removing photoresist on a semiconductor wafer
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US5759360A (en) Wafer clean sputtering process
JPH0336300B2 (ko)
US20050155625A1 (en) Chamber cleaning method
US7183220B1 (en) Plasma etching methods
US6770214B2 (en) Method of reducing aluminum fluoride deposits in plasma etch reactor
JPH0793276B2 (ja) 薄膜形成前処理方法および薄膜形成方法
CN113764269A (zh) 基板处理方法及基板处理装置
JPH0590223A (ja) 半導体装置の製造方法及び半導体製造装置
EP1198822B1 (en) New methodologies to reduce process sensitivity to the chamber condition
JP2003068705A (ja) 半導体素子の製造方法
JP2516099B2 (ja) ドライエッチング方法
KR20240014442A (ko) 에칭 방법 및 플라즈마 처리 장치
JP2003243360A (ja) 半導体素子の製造方法
JPH0444320A (ja) ドライエッチング方法
KR20020071399A (ko) 챔버의 세정 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121207

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131209

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141209

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151207

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161208

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171211

Year of fee payment: 11