KR20020062367A - 플라즈마 반응 챔버의 세정 및 조절 방법 - Google Patents
플라즈마 반응 챔버의 세정 및 조절 방법 Download PDFInfo
- Publication number
- KR20020062367A KR20020062367A KR1020027008036A KR20027008036A KR20020062367A KR 20020062367 A KR20020062367 A KR 20020062367A KR 1020027008036 A KR1020027008036 A KR 1020027008036A KR 20027008036 A KR20027008036 A KR 20027008036A KR 20020062367 A KR20020062367 A KR 20020062367A
- Authority
- KR
- South Korea
- Prior art keywords
- chamber
- cleaning
- plasma
- gas
- wafer
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
Abstract
Description
표 1 | |||||
웨이퍼 없는 리커버리 공정에 대한 공정 조건 | |||||
압력 | TCPTM파워 | 바이어스 파워 | BCL3 | CHF3 | |
전형적인 조건 | 50 mt | 700 와트 | 0 와트 | 15 sccm | 37 sccm |
범위 | 10 - 99 | 400 - 1200 | 0 - 5 | 10 - 30 | 10 - 60 |
표 2 | ||||||
2 단계 웨이퍼 없는 리커버리 공정 | ||||||
압력 | TCPTM파워 | 바이어스 파워 | BCl3 | Cl2 | CHF3 | |
제1단계 | 50 mt | 700 와트 | 0 와트 | 15 sccm | 37 sccm | |
제2단계 | 15 mt | 450 와트 | 0 와트 | 30 sccm | 30 sccm |
표 3 | |||
8분 산소 WAC 공정 전, 후의 알루미늄 식각률 베이스 라인 데이터 | |||
웨이퍼의 ID | 평균 단차 높이(Average Step Height) | 세정에 기인한 변화% (% Change Due to Clean) | 압력 제어 밸브각(Pressure Control Valve Angle, 개방%) |
사전 세정(Pre clean) #1 | 4602 | 36.8 | |
사전 세정 #2 | 4659 | 36.1 | |
WAC 공정 후(Post WAC) | 5254 | 13.5% | 35.5 |
표 4 | |||
테스트된 WRS 식각 조건 | |||
파라미터 | Cl2/BCl3 | Cl2/CHF3 | BCl3/CHF3 |
압력 | 20 mt | 20 mt | 50 mt |
TCPTM | 700 W | 700 W | 700 W |
Cl2 | 162 sccm | 30 sccm | |
BCl3 | 54 sccm | 15 sccm | |
CHF3 | 37 sccm | 37 sccm | |
단계 소요 시간(Step Time) | 8 초 | 15 초 | 20 초 |
표 5 | |||
WRS 공정 테스트에 대한 식각 깊이의 결과 | |||
WRS 공정 | 알루미늄 식각 깊이 | 조절된 챔버와의 차이(Change from Condition Chanber) | |
Cl2/BCl3 | 5445 Å | 17.6 % | |
Cl2/CHF3 | 5300 Å | 14.5 % | |
BCl3/CHF3 | 4671 Å | 0.9 % |
표 6 | |||||
WAC 엔드 포인트 및 단위 세정 시간(초) 대 WAC 진동수 | |||||
WAC 공정 시간 | 10 웨이퍼 | 5 웨이퍼 | 3 웨이퍼 | 1 웨이퍼 | 1 웨이퍼 |
전 WAC 공정 | 265 | 71 | 56 | 37 | 38 |
방출 l = 100 | 143 | 45 | 40 | 23 | 24 |
단위 세정 시간 | |||||
전 WAC 공정 | 26.5 | 14.2 | 18.66667 | 37 | 38 |
방출 l = 100 | 14.3 | 11.4 | 13.33333 | 23 | 24 |
표 7 | ||||||||
알루미늄 식각, WAC, alc WRS 공정 조건 | ||||||||
공정 | 압력 | TCPTM(W) | 바이어스(W) | 유량(sccm) | He 냉각 | 시간(초) | ||
사이클 | 12 mt | 350 | 78 | 74 Cl2 | 30 BCl3 | 5 CHF3 | 10 T | 65 |
알루미늄 식각 깊이 | 12 mt | 350 | 78 | 74 Cl2 | 30 BCl3 | 5 CHF3 | 10 T | 35 |
WAC | 32 mt | 700 | 0 | 500 O2 | 12 | |||
WRS | 50 mt | 700 | 0 | 15 BCl3 | 37 CHF3 |
표 9 | ||||
베이스 라인 공정:제1단계: 50 mt/700W TCPTM/37 sccm CHF3/15 sccm BCl3/ "X" 초제2단계: 15 mt/450W TCPTM/"Y" sccm BCl3/(60 -"Y") sccm Cl2/ "Z" 초 | ||||
매트릭스 | 웨이퍼 16 | 웨이퍼 17 | 웨이퍼 18 | 웨이퍼 19 |
제1단계 시간("X") | 30 초 | 20 초 | 20 초 | 30 초 |
제2단계 시간("Z") | 15 초 | 7 초 | 15 초 | 7 초 |
BCl3/ Cl2흐름("Y") /(60-"Y") | 15 sccm BCl345 sccm Cl2 | 15 sccm BCl345 sccm Cl2 | 30 sccm BCl330 sccm Cl2 | 20 sccm BCl330 sccm Cl2 |
Claims (20)
- 기판에 대하여 소정의 공정이 수행되는 플라즈마 반응 챔버를 세정하고 조절하는 방법에 있어서,상기 챔버 내부의 표면상에 쌓인 퇴적물(deposit)을 제거하기 위하여 상기 플라즈마 반응 챔버를 세정하는 단계;불소를 함유하고 있는 가스 및 탄소를 함유하고 있는 가스를 포함하는 조절용 가스(condition gas)를 상기 챔버 안으로 유입시키는 단계;상기 조절용 가스에 에너지를 가하여 플라즈마 상태로 만드는 단계;상기 플라즈마에 의하여 형성된 폴리머 코팅(polymer coating)을 상기 챔버의 내부 표면상에 퇴적시키는 단계; 및상기 퇴적 단계 이후에 상기 챔버 내에서 기판을 프로세싱하는 단계를 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 플라즈마 상태로 만드는 단계는 고주파(RF) 안테나 코일에서 고주파 전류를 공명시킴으로써 발생하는 유도장(inductive field)에 상기 조절용 가스를 노출시킴으로써 수행하며, 상기 챔버의 밀봉체(enclosure)의 일부를 구성하는 유전체 차폐물(dielectric shield)에 근접해있는, 상기 챔버의 외부에 상기 고주파 안테나 코일이 배치되어 있는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 조절용 가스는 염소를 함유하고 있는 가스를 더 포함하며 그리고 상기 퇴적 단계에서 퇴적되는 상기 폴리머는 그 속에 염소를 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 세정 단계는 상기 챔버를 개방시키고 습식 세정을 실시하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 세정 단계는 세정용 가스(cleaning gas)를 상기 챔버 내로 유입시키고, 상기 세정용 가스에 에너지를 가하여 플라즈마 상태로 만들고, 그리고 상기 퇴적물을 제거하기 위하여 상기 플라즈마로 상기 내부 표면을 접촉시킴으로써 수행하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 조절 단계는 상기 챔버가 그 속에 기판을 포함하고 있지 않는 동안에 실행되는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 기판은 생산 웨이퍼(production wafer)를 포함하고 그리고 상기 생산 웨이퍼를 프로세싱하기 이전에 상기 챔버 내에서 조절용 웨이퍼를 프로세싱하지 않고 상기 프로세싱 단계가 실행되는 것을 특징으로 하는 플라즈마반응 챔버의 세정 및 조절 방법.
- 제7항에 있어서, 상기 프로세싱 단계는 상기 생산 웨이퍼 상에 있는 알루미늄막 적층체(aluminum film stack)를 식각하는 것을 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 프로세싱 단계에서 상기 기판이 서포트되는 기판 서포트의 고주파 바이어스 전극에 파워를 인가함이 없이 상기 조절 단계가 수행되는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 조절용 가스는 Cl2, BCl3, CCl4, SiCl4또는 이들의 혼합물을 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 조절용 가스는 CHF3및 BCl3및/또는 Cl2를 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 조절 단계가 진행되는 동안의 상기 챔버의 압력은 약 5 내지 80 밀리토르(mTorr) 범위 이내인 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제2항에 있어서, 상기 조절 단계가 진행되는 동안에 상기 고주파 안테나에 200 내지 1000 와트(Watt)의 파워가 인가되는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제5항에 있어서, 상기 플라즈마 세정용 가스는 상기 내부 표면에 있는 상기 퇴적물을 가스 부산물(gas by-products)로 바꾸는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 챔버는 플라즈마 식각 챔버인 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제15항에 있어서, 상기 세정 단계 이전에 상기 챔버 내에서 하나 이상의 반도체 기판상에 있는 알루미늄을 식각하는 단계를 더 포함하는 방법으로서, 상기 식각단계는 상기 챔버의 내부 표면상에 상기 퇴적물을 만들어 내는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제15항에 있어서, 하나 이상의 반도체 기판을 상기 챔버 안으로 들여오고 그리고 상기 하나 이상의 기판 상에 있는 알루미늄을 식각하는 단계가 상기 조절 단계에 뒤이어서 수행되는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절방법.
- 제1항에 있어서, 상기 조절 단계는 광학 방출 또는 간섭(interferometry)에 의하여 결정되는 엔드포인트(endpoint)에 도달할 때까지 수행되는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제1항에 있어서, 상기 조절 단계는 제1 및 제2 단계에서 실행이 되고, 상기 제1 단계에서는 상기 조절용 가스가 염소를 함유하고 있는 가스를 더 포함하고 그리고 상기 제2 단계에서는 상기 조절용 가스에는 불소가 없는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
- 제19항에 있어서, 상기 제1 단계에서는 상기 조절용 가스가 1 내지 30 sccm의 BCl3및 10 내지 60 sccm의 CHF3를 포함하고 그리고 상기 제2 단계에서는 상기 조절용 가스가 20 내지 60 sccm의 BCl3및 20 내지 60 sccm의 Cl2를 포함하는 것을 특징으로 하는 플라즈마 반응 챔버의 세정 및 조절 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/469,286 US6350697B1 (en) | 1999-12-22 | 1999-12-22 | Method of cleaning and conditioning plasma reaction chamber |
US09/469,286 | 1999-12-22 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20020062367A true KR20020062367A (ko) | 2002-07-25 |
KR100789684B1 KR100789684B1 (ko) | 2008-01-02 |
Family
ID=23863210
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020027008036A KR100789684B1 (ko) | 1999-12-22 | 2000-12-08 | 플라즈마 반응 챔버의 세정 및 조절 방법 |
Country Status (9)
Country | Link |
---|---|
US (1) | US6350697B1 (ko) |
EP (1) | EP1252361B1 (ko) |
JP (1) | JP4995390B2 (ko) |
KR (1) | KR100789684B1 (ko) |
CN (1) | CN1252313C (ko) |
AU (1) | AU2049901A (ko) |
DE (1) | DE60042892D1 (ko) |
TW (1) | TW487988B (ko) |
WO (1) | WO2001046490A1 (ko) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100731124B1 (ko) * | 2005-12-28 | 2007-06-22 | 동부일렉트로닉스 주식회사 | 증착 챔버의 세정 방법 |
KR101289795B1 (ko) * | 2005-01-08 | 2013-07-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들 |
KR20160038783A (ko) * | 2014-09-30 | 2016-04-07 | 램 리써치 코포레이션 | 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치 |
Families Citing this family (191)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6564810B1 (en) * | 2000-03-28 | 2003-05-20 | Asm America | Cleaning of semiconductor processing chambers |
US7223676B2 (en) * | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US7294563B2 (en) * | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US7166524B2 (en) * | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US7465478B2 (en) * | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7320734B2 (en) * | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US7288491B2 (en) * | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7303982B2 (en) * | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US7430984B2 (en) * | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
US20050230047A1 (en) * | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US7137354B2 (en) * | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
JP2002319571A (ja) | 2001-04-20 | 2002-10-31 | Kawasaki Microelectronics Kk | エッチング槽の前処理方法及び半導体装置の製造方法 |
US6776851B1 (en) * | 2001-07-11 | 2004-08-17 | Lam Research Corporation | In-situ cleaning of a polymer coated plasma processing chamber |
US7060234B2 (en) * | 2001-07-18 | 2006-06-13 | Applied Materials | Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers |
US20030062064A1 (en) * | 2001-09-28 | 2003-04-03 | Infineon Technologies North America Corp. | Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma |
KR20030078550A (ko) * | 2002-03-30 | 2003-10-08 | 주식회사 하이닉스반도체 | 반응기의 세정 방법 |
US7204913B1 (en) * | 2002-06-28 | 2007-04-17 | Lam Research Corporation | In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control |
US6919279B1 (en) | 2002-10-08 | 2005-07-19 | Novellus Systems, Inc. | Endpoint detection for high density plasma (HDP) processes |
US20040134427A1 (en) * | 2003-01-09 | 2004-07-15 | Derderian Garo J. | Deposition chamber surface enhancement and resulting deposition chambers |
US20050087297A1 (en) * | 2003-08-06 | 2005-04-28 | Hiroyuki Kitsunai | Plasma processing apparatus and method for stabilizing inner wall of processing chamber |
CN1332064C (zh) * | 2003-09-03 | 2007-08-15 | 统宝光电股份有限公司 | 降低沉积反应室腔体内氟残留的方法 |
US7022620B2 (en) * | 2003-11-18 | 2006-04-04 | Micron Technology, Inc. | Conditioning of a reaction chamber |
US7258892B2 (en) | 2003-12-10 | 2007-08-21 | Micron Technology, Inc. | Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition |
US7906393B2 (en) | 2004-01-28 | 2011-03-15 | Micron Technology, Inc. | Methods for forming small-scale capacitor structures |
JP4490704B2 (ja) | 2004-02-27 | 2010-06-30 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US7695590B2 (en) * | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US20050211171A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US20050211547A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma reactor and process using plural ion shower grids |
US7291360B2 (en) * | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US7244474B2 (en) * | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US20050211546A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma process using an ion shower grid |
US8133554B2 (en) | 2004-05-06 | 2012-03-13 | Micron Technology, Inc. | Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces |
US20050260354A1 (en) * | 2004-05-20 | 2005-11-24 | Varian Semiconductor Equipment Associates, Inc. | In-situ process chamber preparation methods for plasma ion implantation systems |
US7767561B2 (en) * | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US8058156B2 (en) * | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US20060054183A1 (en) * | 2004-08-27 | 2006-03-16 | Thomas Nowak | Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber |
US7531469B2 (en) * | 2004-10-23 | 2009-05-12 | Applied Materials, Inc. | Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current |
US7666464B2 (en) * | 2004-10-23 | 2010-02-23 | Applied Materials, Inc. | RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor |
US20060090773A1 (en) * | 2004-11-04 | 2006-05-04 | Applied Materials, Inc. | Sulfur hexafluoride remote plasma source clean |
US7422775B2 (en) * | 2005-05-17 | 2008-09-09 | Applied Materials, Inc. | Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US20060260545A1 (en) * | 2005-05-17 | 2006-11-23 | Kartik Ramaswamy | Low temperature absorption layer deposition and high speed optical annealing system |
US7312162B2 (en) * | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
US7323401B2 (en) * | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7429532B2 (en) * | 2005-08-08 | 2008-09-30 | Applied Materials, Inc. | Semiconductor substrate process using an optically writable carbon-containing mask |
US7335611B2 (en) * | 2005-08-08 | 2008-02-26 | Applied Materials, Inc. | Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer |
US7312148B2 (en) * | 2005-08-08 | 2007-12-25 | Applied Materials, Inc. | Copper barrier reflow process employing high speed optical annealing |
US20070235058A1 (en) * | 2006-04-10 | 2007-10-11 | Daniel Harrington | System and method for removing residue from a wafer processing chamber using sound waves |
CN101583736A (zh) * | 2007-01-19 | 2009-11-18 | 应用材料股份有限公司 | 浸没式等离子体室 |
US7871828B2 (en) * | 2007-02-06 | 2011-01-18 | Applied Materials, Inc. | In-situ dose monitoring using optical emission spectroscopy |
US7713757B2 (en) | 2008-03-14 | 2010-05-11 | Applied Materials, Inc. | Method for measuring dopant concentration during plasma ion implantation |
JP5089513B2 (ja) * | 2008-07-11 | 2012-12-05 | 東京エレクトロン株式会社 | プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体 |
JP2010153508A (ja) | 2008-12-24 | 2010-07-08 | Hitachi High-Technologies Corp | 試料のエッチング処理方法 |
KR101794069B1 (ko) * | 2010-05-26 | 2017-12-04 | 삼성전자주식회사 | 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
CN104282518B (zh) * | 2013-07-12 | 2016-12-28 | 中微半导体设备(上海)有限公司 | 等离子体处理装置的清洁方法 |
CN104282519B (zh) * | 2013-07-12 | 2016-12-28 | 中微半导体设备(上海)有限公司 | 等离子体处理装置的清洁方法 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) * | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9613819B2 (en) * | 2014-06-06 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process chamber, method of preparing a process chamber, and method of operating a process chamber |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10128133B1 (en) | 2017-06-30 | 2018-11-13 | Infineon Technologies Austria Ag | Method of conditioning an etch chamber for contaminant free etching of a semiconductor device |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
CN111919284A (zh) * | 2018-03-01 | 2020-11-10 | 应用材料公司 | 在器件制造中形成金属硬掩模的系统和方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US20220189749A1 (en) * | 2020-12-14 | 2022-06-16 | Applied Materials, Inc. | Process Kit Conditioning Chamber |
KR20230043457A (ko) * | 2021-09-24 | 2023-03-31 | 주성엔지니어링(주) | 기판 처리 장치의 세정 방법 |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS57201016A (en) | 1981-06-05 | 1982-12-09 | Oki Electric Ind Co Ltd | Cleaning method for semiconductor manufacturing apparatus |
US4811684A (en) | 1984-11-26 | 1989-03-14 | Semiconductor Energy Laboratory Co., Ltd. | Photo CVD apparatus, with deposition prevention in light source chamber |
JPS61250185A (ja) | 1985-04-25 | 1986-11-07 | Anelva Corp | 真空処理装置のクリ−ニング方法 |
US4657616A (en) | 1985-05-17 | 1987-04-14 | Benzing Technologies, Inc. | In-situ CVD chamber cleaner |
US4786352A (en) | 1986-09-12 | 1988-11-22 | Benzing Technologies, Inc. | Apparatus for in-situ chamber cleaning |
US4842683A (en) | 1986-12-19 | 1989-06-27 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US5158644A (en) | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
JPS63210275A (ja) | 1987-02-24 | 1988-08-31 | Semiconductor Energy Lab Co Ltd | プラズマ反応装置内を清浄にする方法 |
JPH029115A (ja) | 1988-06-28 | 1990-01-12 | Mitsubishi Electric Corp | 半導体製造装置 |
JP2892694B2 (ja) | 1989-07-31 | 1999-05-17 | 株式会社日立製作所 | プラズマクリーニング方法 |
US5207836A (en) | 1989-08-25 | 1993-05-04 | Applied Materials, Inc. | Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus |
JPH03130368A (ja) | 1989-09-22 | 1991-06-04 | Applied Materials Inc | 半導体ウェーハプロセス装置の洗浄方法 |
JPH0487329A (ja) * | 1990-07-31 | 1992-03-19 | Oki Electric Ind Co Ltd | ドライエッチング方法 |
US5877032A (en) * | 1995-10-12 | 1999-03-02 | Lucent Technologies Inc. | Process for device fabrication in which the plasma etch is controlled by monitoring optical emission |
KR100293830B1 (ko) | 1992-06-22 | 2001-09-17 | 리차드 에이치. 로브그렌 | 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법 |
EP0648858A1 (en) | 1993-10-15 | 1995-04-19 | Applied Materials, Inc. | Methods of coating plasma etch chambers and apparatus for plasma etching workpieces |
US5817574A (en) * | 1993-12-29 | 1998-10-06 | Intel Corporation | Method of forming a high surface area interconnection structure |
JPH07335626A (ja) * | 1994-06-10 | 1995-12-22 | Hitachi Ltd | プラズマ処理装置およびプラズマ処理方法 |
JP2962181B2 (ja) * | 1995-02-01 | 1999-10-12 | ヤマハ株式会社 | ドライエッチング方法及び装置 |
US6156663A (en) * | 1995-10-03 | 2000-12-05 | Hitachi, Ltd. | Method and apparatus for plasma processing |
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US5647953A (en) | 1995-12-22 | 1997-07-15 | Lam Research Corporation | Plasma cleaning method for removing residues in a plasma process chamber |
US6136211A (en) * | 1997-11-12 | 2000-10-24 | Applied Materials, Inc. | Self-cleaning etch process |
JP3238137B2 (ja) * | 1999-03-23 | 2001-12-10 | 株式会社日立製作所 | プラズマ処理室のクリーニング方法 |
-
1999
- 1999-12-22 US US09/469,286 patent/US6350697B1/en not_active Expired - Lifetime
-
2000
- 2000-12-08 KR KR1020027008036A patent/KR100789684B1/ko active IP Right Grant
- 2000-12-08 AU AU20499/01A patent/AU2049901A/en not_active Abandoned
- 2000-12-08 JP JP2001546982A patent/JP4995390B2/ja not_active Expired - Lifetime
- 2000-12-08 CN CNB008174482A patent/CN1252313C/zh not_active Expired - Lifetime
- 2000-12-08 DE DE60042892T patent/DE60042892D1/de not_active Expired - Lifetime
- 2000-12-08 EP EP00983789A patent/EP1252361B1/en not_active Expired - Lifetime
- 2000-12-08 WO PCT/US2000/032441 patent/WO2001046490A1/en active Application Filing
- 2000-12-14 TW TW089126728A patent/TW487988B/zh not_active IP Right Cessation
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101289795B1 (ko) * | 2005-01-08 | 2013-07-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들 |
KR100731124B1 (ko) * | 2005-12-28 | 2007-06-22 | 동부일렉트로닉스 주식회사 | 증착 챔버의 세정 방법 |
KR20160038783A (ko) * | 2014-09-30 | 2016-04-07 | 램 리써치 코포레이션 | 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치 |
KR20230010807A (ko) * | 2014-09-30 | 2023-01-19 | 램 리써치 코포레이션 | 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치 |
Also Published As
Publication number | Publication date |
---|---|
US6350697B1 (en) | 2002-02-26 |
CN1252313C (zh) | 2006-04-19 |
DE60042892D1 (de) | 2009-10-15 |
JP4995390B2 (ja) | 2012-08-08 |
EP1252361A1 (en) | 2002-10-30 |
WO2001046490A1 (en) | 2001-06-28 |
TW487988B (en) | 2002-05-21 |
EP1252361B1 (en) | 2009-09-02 |
KR100789684B1 (ko) | 2008-01-02 |
AU2049901A (en) | 2001-07-03 |
CN1411514A (zh) | 2003-04-16 |
JP2003518328A (ja) | 2003-06-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR20020062367A (ko) | 플라즈마 반응 챔버의 세정 및 조절 방법 | |
US5756400A (en) | Method and apparatus for cleaning by-products from plasma chamber surfaces | |
KR102158307B1 (ko) | 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스 | |
JP2674488B2 (ja) | ドライエッチング室のクリーニング方法 | |
US5376223A (en) | Plasma etch process | |
US6893893B2 (en) | Method of preventing short circuits in magnetic film stacks | |
US6110836A (en) | Reactive plasma etch cleaning of high aspect ratio openings | |
US6869542B2 (en) | Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials | |
EP0234387B1 (en) | Method of removing photoresist on a semiconductor wafer | |
US6923189B2 (en) | Cleaning of CVD chambers using remote source with cxfyoz based chemistry | |
US5759360A (en) | Wafer clean sputtering process | |
JPH0336300B2 (ko) | ||
US20050155625A1 (en) | Chamber cleaning method | |
US7183220B1 (en) | Plasma etching methods | |
US6770214B2 (en) | Method of reducing aluminum fluoride deposits in plasma etch reactor | |
JPH0793276B2 (ja) | 薄膜形成前処理方法および薄膜形成方法 | |
CN113764269A (zh) | 基板处理方法及基板处理装置 | |
JPH0590223A (ja) | 半導体装置の製造方法及び半導体製造装置 | |
EP1198822B1 (en) | New methodologies to reduce process sensitivity to the chamber condition | |
JP2003068705A (ja) | 半導体素子の製造方法 | |
JP2516099B2 (ja) | ドライエッチング方法 | |
KR20240014442A (ko) | 에칭 방법 및 플라즈마 처리 장치 | |
JP2003243360A (ja) | 半導体素子の製造方法 | |
JPH0444320A (ja) | ドライエッチング方法 | |
KR20020071399A (ko) | 챔버의 세정 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20121207 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20131209 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20141209 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20151207 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20161208 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20171211 Year of fee payment: 11 |