JP2003518328A - プラズマ反応チャンバを洗浄および条件付けする方法 - Google Patents

プラズマ反応チャンバを洗浄および条件付けする方法

Info

Publication number
JP2003518328A
JP2003518328A JP2001546982A JP2001546982A JP2003518328A JP 2003518328 A JP2003518328 A JP 2003518328A JP 2001546982 A JP2001546982 A JP 2001546982A JP 2001546982 A JP2001546982 A JP 2001546982A JP 2003518328 A JP2003518328 A JP 2003518328A
Authority
JP
Japan
Prior art keywords
chamber
conditioning
cleaning
gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001546982A
Other languages
English (en)
Other versions
JP2003518328A5 (ja
JP4995390B2 (ja
Inventor
ブレット, シー. リチャードソン,
デュアン アウトカ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2003518328A publication Critical patent/JP2003518328A/ja
Publication of JP2003518328A5 publication Critical patent/JP2003518328A5/ja
Application granted granted Critical
Publication of JP4995390B2 publication Critical patent/JP4995390B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 シリコン・ウェハなど基板が処理されるプラズマ・チャンバの内部表面を洗浄し、かつ条件付けするための方法。この方法は、湿式洗浄またはin−situプラズマ洗浄などによってチャンバを洗浄すること、条件付け用ガスをチャンバ内に導入すること、条件付け用ガスを付勢してプラズマ状態にすること、内部表面上にポリマー被覆を堆積させること、基板を処理することを含む。条件付けステップは、チャンバ内にウェハなど基板がない状態で行うことができ、処理ステップは、生産用ウェハを処理する前にチャンバを介して条件付け用ウェハを処理することなく行うことができる。アルミニウムをエッチングするために使用されるプラズマ・チャンバの場合は、条件付け用ガスが、フッ素含有ガス、炭素含有ガス、塩素含有ガスを含むことができる。

Description

【発明の詳細な説明】
【0001】 (発明の背景) 半導体ウェハの処理中には、従来、プラズマ・エッチングおよびCVD反応炉
の定期的なインサイチュー(in−situ)洗浄を行う。米国特許第5,12
9,958号は、半導体ウェハ処理装置内でCVD堆積チャンバを洗浄するため
の方法を開示しており、先のフッ素プラズマ洗浄ステップで残ったフッ素残留物
を、シラン(SiH)、アンモニア、水素、リン(PH)、ジボラン(B)、アルシン(AsH)など、1つまたは複数の還元ガスと接触させる。
プラズマCVD反応炉の内部表面を洗浄し、かつ条件付け(conditioning)するた
めの他の技法は、本願の所有者が所有する米国特許第5,647,953号に開
示されており、その主題は参照により本明細書に組み込む。過去には、これらの
洗浄が、チャンバ内にウェハを有し、電極を覆って行われたが、ウェハ無し洗浄
を行うことがより一般的になった。
【0002】 プラズマ反応チャンバを洗浄するための他の技法は、本願の所有者が所有する
米国特許第5,356,478号、米国特許第4,657,616号、第4,7
86,352号、第4,816,113号、第4,842,683号、第4,8
57,139号、第5,006,192号、第5,129,958号、第5,1
58,644号、第5,207,836号、および日本特許公開第57−201
016号、第61−250185号、第62−214175号、第63−267
430号、第3−62520号に開示されている。たとえば、SiO堆積物を
除去するために、プラズマへと付勢(energize)されるフッ素含有ガスを使用し、
チャンバ内の内部表面を洗浄した。反応炉洗浄の後に残るフッ素残留物は、水素
(H)、シラン(SiH)、アンモニア(NH4)、リン(PH)、ジボ
ラン(B)、アルシン(AsH)など、還元ガスを反応炉に通すことに
よって除去することができる。
【0003】 チャンバ条件付けプロセスもまた一般に使用され、その場合、条件付け中に電
極を保護するためにウェハがチャンバ内に配置される。これら条件付けプロセス
は、堆積反応炉にとってプラズマ洗浄の後で非常に一般的であり、また、エッチ
ング反応炉について湿式洗浄の後で一般的である。ウェハは、条件付けプロセス
の際に支援する膜がその上に堆積されることがある。一例として、一般に、レジ
スト被覆されたウェハが条件付けを加速するために使用されている。そのような
条件付けステップは、下部電極電力のない状態でプロセス条件を使用してチャン
バ壁をならすことがある。
【0004】 プラズマ・チャンバをならすために条件付け用ウェハを使用して、生産用ウェ
ハを処理するとき再現可能なプロセス条件を得ることの問題は、そのような条件
付け用ウェハがコストを付加し、かつ生産時にスローダウンを引き起こすことで
ある。したがって、コストと生産効率の点からより経済的な条件付け処理が望ま
しいであろう。
【0005】 (発明の概要) 本発明は、基板が処理されるプラズマ反応チャンバを洗浄し、かつ条件付け(c
onditioning)する方法であって、チャンバ内の表面上に蓄積した堆積物を除去す
るようにプラズマ反応チャンバを洗浄するステップと、フッ素含有ガスおよび炭
素含有ガスを含む条件付け用ガスをチャンバ内に導入するステップと、条件付け
用ガスを付勢(energize)してプラズマ状態にするステップと、チャンバの内部表
面上にポリマー被覆を堆積させるステップと、堆積ステップ後にチャンバ内で基
板を処理するステップとを含む方法を提供する。「ポリマー」被覆という用語は
、エッチングまたは堆積副生物を含むことができる有機膜を述べるものとする。
【0006】 本発明の好ましい実施形態によれば、付勢ステップが、チャンバの囲壁の一部
を形成する誘電シールドに近接して、チャンバの外部に配置されるRFアンテナ
・コイル内で無線周波数電流を共振させることによって生成される誘導磁界に、
条件付け用ガスをさらすことによって実行される。さらに、チャンバを開いて湿
式洗浄を行うことによって洗浄ステップを実行すること、または、洗浄ガスをチ
ャンバ内に導入し、洗浄ガスを付勢してプラズマ状態にし、内部表面をプラズマ
と接触させて堆積物を除去することによって洗浄ステップを実行することができ
る。好ましい方法では、条件付けステップが、チャンバに基板が入っていない、
かつ/または基板が生産用ウェハを含む間に実行され、処理ステップが、生産用
ウェハを処理する前に、チャンバ内に処理条件付け用ウェハのない状態で実行さ
れる。条件付けステップはまた、処理ステップ中に基板が支持される基板支持体
のRFバイアス電極に電力を加えることなく実行することができる。
【0007】 アルミニウム・エッチング反応炉を洗浄する際には、堆積ステップ時に堆積さ
れるポリマーが塩素を含み、処理ステップが生産用ウェハ上のアルミニウム層を
エッチングすることを含むように、条件付け用ガスが塩素含有ガスをさらに含む
ことができる。そのような場合には、条件付け用ガスが、Cl、BCl、C
Cl、SiClまたはその混合物を含むことができる。たとえば、条件付け
ステップは、条件付け用ガスとしてCHF、BCl、および/またはCl を使用して1つまたは複数のステップで実行することができる。条件付けステッ
プ中のチャンバ圧力は約5〜80mTorrの範囲内とすることができ、かつ/
または、プラズマ生成アンテナに200〜1000ワットを条件付けステップ中
に供給することができる。
【0008】 (好ましい実施の形態の詳細な説明) 本発明は、基板が処理されるプラズマ反応チャンバを洗浄し、かつ条件付けす
る経済的な方法を提供する。この方法は、チャンバ内の表面上に蓄積した堆積物
を除去するようにプラズマ反応チャンバを洗浄すること、フッ素含有ガスおよび
炭素含有ガスを含む条件付け用ガスをチャンバ内に導入すること、条件付け用ガ
スを付勢してプラズマ状態にすること、チャンバの内部表面上にプラズマによっ
て形成されるポリマー被覆を堆積させること、堆積ステップ後にチャンバ内で基
板を処理することを含む。
【0009】 好ましい実施形態では、本発明は、アルミニウム膜スタックをプラズマ・エッ
チングするために使用される条件付けチャンバ用としてウェハ無しプラズマ洗浄
回復プロセスを提供する。Alのプラズマ・エッチング用プロセスは、反応炉全
体にわたって堆積する副生物を生じる。最終的にこの副生物は、もはや反応炉壁
に貼り付いていられない厚さに達し、粒子が離脱してこれがエッチングされてい
る基板を汚染する。これが発生したときは、反応炉を開いて、湿式洗浄プロセス
で洗浄しなければならない。
【0010】 反応炉を洗浄するために準備するプロセスは、実際の洗浄プロセスと、開放チ
ャンバ洗浄に続いてチャンバを条件付けするのに必要とされるステップとに加え
て、少なからぬ時間を必要とすることがある。ウェハ作製時の生産性を最大にす
るためには、そのような開放チャンバ洗浄を行うためにチャンバを開く合間の時
間を延ばすことが望ましい。そうするための一方法はプラズマ洗浄を実行するこ
とであり、それによりチャンバ内に堆積されるエッチング副生物を減少させるこ
とができ、開放チャンバ洗浄の合間の時間が延びる。しかし、プラズマ洗浄は、
反応炉堆積物を除去することによってチャンバ条件を変えるため、一般にプラズ
マ洗浄に続いてプロセス・シフトがある。その結果、アルミニウム・エッチング
・レート、エッチング・プロフィル、フィーチャCD(Critical Di
mension)が、製造されているデバイスにとって許容可能な範囲の外にシ
フトすることがある。プラズマ洗浄を使用する場合、生産用ウェハをエッチング
する前に、チャンバを介してウェハを循環させて再条件付けする。
【0011】 条件付けのためにチャンバ内でウェハが必要とされるプロセスでは、特別なウ
ェハ・タイプが必要とされる可能性もある。これは、プラズマ洗浄後に特別なウ
ェハをチャンバ内に載荷して条件付けプロセスを実行しなければならないことが
生産の流れを中断させることになるため、生産環境内でAlエッチングのための
プラズマ洗浄プロセスの適用可能性を制限する。その結果、生産時にプラズマ洗
浄が使用されないことになり、長い湿式洗浄手順のために反応炉をより頻繁に開
く必要があろう。したがって、プラズマ洗浄を使用して湿式洗浄時間を延ばすこ
との反応炉稼働率上の利点は、特別なウェハ・タイプを用いてチャンバを再条件
付けすることの負担によって打ち消される。
【0012】 本発明は、チャンバ内にウェハがない状態で実行し、プラズマ洗浄の後でチャ
ンバを再条件付けすることができるプロセスを提供する。Alエッチングからの
副生堆積物は、大量の有機物質を含むことが示されている。堆積は、エッチング
・プロセス全体にわたって見られ、側壁不動態化の源、ならびに反応種の再結合
および吸着場所を提供することによって関与する。堆積物は、プラズマ含有O によって洗浄することができる。しかし、これによってチャンバ内にいくらかの
残留Oが残る。さらに、OをAlエッチング・ガスに追加すると、Alエッ
チング・レートが上がることが知られ、エッチング・プロフィルの結果に作用す
ることがある。
【0013】 プラズマ洗浄の後でチャンバを再条件付けするために、エッチング・プロセス
が影響されないように残留Oを除去し、または結合することが望ましい。また
、副生堆積物と同様にプロセス内で関与する有機堆積物を提供することが望まし
い。ウェハ無し条件付けプロセスでは、プロセス中に覆われない、または保護さ
れないウェハ・チャックを侵食することなくこれを行わなければならない。
【0014】 そうするために好ましい方法は、BClおよびCHFを使用してプラズマ
処理を実行することである。LAM Research Corporatio
nより入手可能なTCP 9600(商標)エッチャなど、誘導結合プラズマ・
エッチャでは、上部電極(TCP(商標)電力)源に高いRF電力を加える一方
、ウェハ処理中にRF基板バイアスを提供する下部電極に電力を加えないことに
よってプロセスが実行される。これによりプラズマ電位が低くなり、ウェハ・チ
ャックの侵食が防止される。BClは、チャンバから残留Oを除去すること
が可能であり、一方、CHFは、チャンバ壁上の有機物質の堆積源である。B
Clはまた、エッチング副生堆積物内に存在するClの源である。TCP 9
600(商標)エッチング反応炉のための典型的なプロセス条件および範囲を表
1に示す。
【0015】
【表1】
【0016】 Alエッチング・スタックおよびプロセス要件に応じて、ウェハ無し回復プロ
セスの第2ステップが必要となる可能性がある。Alエッチング・プロセスが、
CHFを含まないステップで終了する場合、CHFを解消する回復プロセス
の最終ステップがプロセス回復を改善する。2ステップ・ウェハ無し回復プロセ
スの一例を表2に示す。
【0017】
【表2】
【0018】 BClをそのO除去能力で選択したが、CClおよびSiClなど他
のガスは適切な代替となろう。塩素濃度は、Clを追加することによって上昇
させることができよう。同様に、多数のクロロカーボン、過フッ化炭化水素、C
FCガスは、ポリマー源として容易にCHFの代わりに使用することができよ
う。効果的なプロセスを維持しながら、HeおよびArなど希釈ガスを追加する
ことができよう。
【0019】 ウェハ無し回復プロセスは、特別なウェハ・タイプを用いず、操作者介入の必
要性をなくして実行することができる。回復プロセス用のウェハを必要としない
ことの追加の利点は、洗浄または条件付けステップのためにチャンバ内にウェハ
を載荷するために使用されるオーバーヘッド時間がないため、生産時間の損失が
最小限であることである。この直接的な結果が、貴重な製品をエッチングするた
めの反応炉のより高い稼働率である。
【0020】 反応炉湿式洗浄の後でウェハ無し回復ステップを使用することには、湿式洗浄
回復時間を短縮する利点もある。たとえば、湿式洗浄されたチャンバを介してレ
ジスト・ウェハ50枚を処理するのに約90分かかる条件付けプロセスに比べて
、本発明によれば、条件付けプロセスを約5分以下で完了させることができる。
したがって、本発明による条件付けプロセスは、チャンバ洗浄に続く回復時間を
、50%を超えて、さらには80%以上短縮することができる。さらに、条件付
け用ウェハを使用することなく、またはその使用を最小限に抑えてより短時間で
チャンバの条件付けをすることができる。製品に関して向上された反応炉の稼働
率も、削減された非生産用ウェハ要件も、顧客の収益性向上にとって有利である
【0021】 (試験データおよび結果、TCP(商標)9600SE(商標)システム、シ
ステム基礎) この調査は、9600SE(商標)システムの基礎から開始した。チャンバを
湿式洗浄し、ブランケット・フォトレジスト(PR)ウェハを処理してチャンバ
の条件付けをした。アルミニウム・エッチング・レート・ウェハを条件付け済み
チャンバ上で処理し、ウェハ無し回復プロセス開発作業のためにエッチング・レ
ート目標を確定した。長いOウェハ無し自動洗浄(WAC)の実行によるプロ
セス・エッチング・レート・シフト量を確定した。基礎テストからのエッチング
・レート結果を表3に示す。
【0022】
【表3】
【0023】 (チャンバ/プロセス回復の考慮すべき点) WACの後のエッチング・レート増加を補償するためにいくつかの機構が提案
されている。エッチング・レート回復のための一提案は、Alエッチング・レー
トがCl流量に比例するため、圧力制御弁の位置ではなくCl流量制御を使
用して圧力を調節することである。清浄な条件付け済みチャンバ壁とのCl
相互作用は異なり(吸着、再結合など)、これが正味Cl濃度に影響を及ぼす
場合には、固定された圧力制御弁の位置についてこれをチャンバ圧力に反映させ
ることが可能であろう。
【0024】 少量のOをいくつかのアルミニウム・エッチング・プロセスに追加すること
が、Alエッチング・レートを増大させることもまた報告されている。チャンバ
内の残留OがWAC後のエッチング・レート増加の可能な原因として提案され
た。残留Oを除去する条件付けプロセスがこの課題に対処することができよう
【0025】 レジスト・ウェハを循環させることは、チャンバ条件付けにとって非常に効果
的な方法であり、湿式洗浄後に推奨される回復手順である。これは、チャンバ条
件付け時に有意な要素としてエッチング副生物内の炭素の役割を示す。また、チ
ャンバ洗浄にとってのO WACの有効性は、チャンバ壁が有機堆積物で条件
付けられることを示した。チャンバ条件付けに関して有機堆積の化学的性質を使
用するとこの課題に対処することができよう。
【0026】 提案された機構について考察し、有意な効果を決定するために一連の実験を行
った。
【0027】 Cl流量制御の選択が機能するために、ウェハ無し洗浄前後で固定された圧
力制御弁の位置について測定可能な圧力の差がなければならない。あるいは、C
流量制御が効果的であろう場合、WAC後に設定圧力を維持するように圧力
制御弁がより大きく開くことが期待できよう。WACの前(ウェハ2枚)および
後の圧力制御弁の位置を測定した。Cl流量制御がエッチング・レート回復に
とって効果的であるためには、位置の変化は小さく、著しい場合には方向が違う
【0028】 これに基づき、チャンバ回復について調査された手法は、ウェハ無し回復ステ
ップ(WRS)の実現可能性を探ることであった。提案された機構を考慮して、
3つの化学的性質、すなわち、Cl/BCl(O除去)、Cl/CHF (有機物堆積)、BCl/CHF(除去および堆積の組合せ)を調査した
【0029】 可能なWRSプロセスを試験するために、各ウェハ間でWAC(12秒)およ
びWRSプロセス処理と共に基礎Alエッチング・プロセスを使用してブランケ
ット・レジスト・ウェハを循環させるようにシステムをセット・アップした。試
験された3つのWRSステップを表4に示す。各試験について、Alエッチング
・レート試験を実行する前に、ブランケット・レジスト・ウェハ30〜40枚を
循環させた。表5は、エッチング・レートの結果を示す。条件付け済みチャンバ
の場合、Alエッチング深さは約4630Aである。BCl/CHFプロセ
スは、WACに続いてWRSを使用して所望のAlエッチング・レートを回復す
ることが可能であることを示す。エッチング・レートをプロセス・シフトの主な
指標と仮定して、WACの後でWRSを使用して所望のプロセス安定性を回復す
ることの実現可能性は、非常に良好と思われる。
【0030】
【表4】
【0031】
【表5】
【0032】 (ウェハ無し洗浄の頻度) WAC実施の重要な一部は、清浄なチャンバを維持する際に有効性を最大にし
ながら、スループットへの影響を最小限に抑えるWAC頻度を選択することであ
る。703nmの光放射が、適切なWAC終点指標であると決定された。この信
号はWACプロセス全体にわたって劣化し、チャンバが適切に洗浄されたとき平
坦になる。
【0033】 一連の試験を実行して、必要とされるWAC時間がWAC間でウェハ枚数(N
)にどのように比例するかを判定した。チャンバは、基礎条件に達するように、
延長されたOプラズマで洗浄した。これに引き続いて、WAC実行間でウェハ
枚数を変え、WACステップ中に703nm放射を監視してチャンバを洗浄する
のに必要とされる時間を決定する試験を行った。図1は、この試験から得た終点
トレースを示す。終点信号は、RFがオンになるとき急激に増大する。Nが増加
するにつれて、終点信号が基礎まで劣化するのにかかる時間の長さが増し、洗浄
時間がNの関数であることを示す。
【0034】 表6は、WAC対Nの終点時間、ならびにウェハの洗浄時間を示す。終点信号
が基礎まで劣化し、さらに基礎より上の100カウントまで劣化する時間を示す
。これらプロセス条件の場合、Nが1から5に増加するとき単位洗浄時間が減少
するが、N=10で単位洗浄時間が増加している。これは、最適な洗浄頻度が、
ウェハ5〜20枚の間にある可能性があることを示唆している。
【0035】
【表6】
【0036】 (WRSエッチング・レート回復) 表7に示すAlエッチング、WAC、WRSステップ向けのプロセス条件を使
用して9600PTX(商標)システム上でBCl/CHF WRSを試験
した。最初に、Alエッチング・プロセス条件を用いて、ブランケット・レジス
ト・ウェハ57枚を循環させることによってシステムの条件付けをした。条件付
け済みチャンバについてのAlエッチング・レートを測定した。これに引き続い
て、7分の洗浄時間でWACプロセス条件を使用してチャンバをオーバー洗浄し
た。703nm終点信号を使用して、洗浄時間が十分であることを確認した。次
いで、Alエッチング・プロセスを使用して、システムを介して追加のブランケ
ット・レジスト・ウェハ29枚を循環させたが、各ウェハ間でWACおよびWR
Sステップを使用した。次いで、WACおよびWRSステップの後でAlエッチ
ング・レートを再度チェックした。エッチング深さの結果を表8に示す。WAC
およびWRSの後のエッチング・レートは、ならし済みチャンバのエッチング・
レートよりもあまり異ならなかった(1.6%低い)。
【0037】
【表7】
【0038】
【表8】
【0039】 (プロフィル・エッチング試験) エッチング・テストを行って、エッチング・プロフィルに対するWACおよび
WRSの作用を決定した。図2は、エッチング前のプロフィル試験ウェハ構造を
示す。
【0040】 小さなマトリクスを実行して、エッチング・プロフィルに対する回復ステップ
のステップ時間およびBCl:Cl比の重要性を評価した。表9は、1/2
階乗マトリクスで実行された4つのプロセスを示す。図3は、2ステップ回復プ
ロセスの後でエッチングされたウェハについて終点トレースを示す。終点トレー
スは、レジスト条件付け済みチャンバ内でエッチングされたウェハのトレースと
密接に整合している。さらに、BARC、Ti、TiN層を介したエッチング・
レートは、レジスト条件付け済みチャンバ内のそのような層のエッチング・レー
トと整合している。2ステップ回復プロセスの後でエッチングされたウェハは、
すべて同様なトレースを有した。
【0041】
【表9】
【0042】 図4a〜eおよび5a〜eは、レジスト条件付け済みチャンバ内でのエッチン
グの結果に比べて、WACおよびWRSマトリクスの一部としてエッチングされ
たウェハから得たエッチング・プロフィルを示す。ウェハ間のエッチング・プロ
フィルの差は、たいていの場合でわずかであり、2ステップ回復プロセスが合理
的なプロセス・ウィンドウを有することを示す。マトリクスから得たいくつかの
顕著な傾向は、以下を含む。
【0043】 −塩素を主体とする第2回復ステップが、エッチング・プロフィル回復を改善
する。
【0044】 −第2回復ステップでより高い割合のClを使用すると、基準ウェハに比べ
てフィーチャ上部でCD成長が減少することが明らかである。この効果は、短い
第1回復ステップ時間についてより強い(ウェハ17参照)。
【0045】 −より長い第1回復ステップを使用すると、側壁の滑らかさについてプロセス
・ラチチュードが改善される。
【0046】 −より短い第2ステップ時間でエッチングされたウェハは、基準ウェハ上の残
りの酸化物により密接に整合した。
【0047】 図6a〜fは、ウェハ19の結果を条件付け済みチャンバの結果と比較した追
加のSEMを示す。これらのSEMでは、分離されたフィーチャのプロフィルも
また再現されており、レジスト・プロフィルおよび側壁堆積物も2ステップ回復
プロセスによって整合されている。
【0048】 第1回復ステップを、チャンバ内でいくらかの有機膜を生成するのに十分な長
さで実行し、その後に続いて短い塩素化学反応を実行することは、WAC後、プ
ロセスを回復するのに十分であることが明らかである。塩素化学反応ステップは
、大量の有機堆積と高い衝撃エネルギーに依拠してエッチング側壁を保護するプ
ロセスについて必要でない可能性がある。
【0049】 WAC後にアルミニウムをエッチングするためのプロセス回復は、チャンバ内
での有機堆積とチャンバ壁の塩素化との間で適切なバランスを達成することを必
要とする。エッチング・プロフィルが化学反応バランスにより敏感なCHF
加剤のためのプロセス回復が示されている。WRSマトリクスは、2ステップ回
復プロセスを、チャンバ内で有機および塩素化組成を釣り合わせるように調整し
、WACの後で「条件付けられた」状態にすることができることを示す。
【0050】 以上、本発明についてその特定の実施形態を参照しながら詳しく述べたが、添
付の特許請求の範囲から逸脱することなく、様々な変更および修正を行い、均等
物を使用することができることは、当業者には明らかであろう。
【図面の簡単な説明】
【図1】 本発明によるウェハ無し自動洗浄プロセス中の703nm放射データを示す図
である。
【図2】 0.25μmプロフィル・テスト・ウェハのエッチング前構造の図である。
【図3】 レジスト・ウェハを用いたチャンバ条件付けの後、および本発明によるウェハ
無し自動洗浄プロセスの後のプロフィル・ウェハ終点トレースを比較した図であ
る。
【図4a】、
【図4b】、
【図4c】、
【図4d】 本発明によるウェハ無し自動洗浄プロセスおよびウェハ無し回復ステップの後
のエッチング済み構造の顕微鏡写真である。
【図4e】 レジスト・ウェハを用いて条件付けされるチャンバ内で処理されたエッチング
済み構造の顕微鏡写真である。
【図5a】、
【図5b】、
【図5c】、
【図5d】 本発明によるウェハ無し自動洗浄プロセスおよびウェハ無し回復ステップの
後のエッチング済み構造の顕微鏡写真である。
【図5e】 レジスト・ウェハを用いて条件付けされるチャンバ内で処理されたエッチング
済み構造の顕微鏡写真である。
【図6a】、
【図6b】、
【図6c】 本発明によるウェハ無し自動洗浄プロセスおよびウェハ無し回復ステップの
後のエッチング済み構造の顕微鏡写真である。
【図6d】、
【図6e】、
【図6f】 レジスト・ウェハを用いて条件付けされるチャンバ内で処理されたエッチング
済み構造の顕微鏡写真である。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE,TR),OA(BF ,BJ,CF,CG,CI,CM,GA,GN,GW, ML,MR,NE,SN,TD,TG),AP(GH,G M,KE,LS,MW,MZ,SD,SL,SZ,TZ ,UG,ZW),EA(AM,AZ,BY,KG,KZ, MD,RU,TJ,TM),AE,AG,AL,AM, AT,AU,AZ,BA,BB,BG,BR,BY,B Z,CA,CH,CN,CR,CU,CZ,DE,DK ,DM,DZ,EE,ES,FI,GB,GD,GE, GH,GM,HR,HU,ID,IL,IN,IS,J P,KE,KG,KP,KR,KZ,LC,LK,LR ,LS,LT,LU,LV,MA,MD,MG,MK, MN,MW,MX,MZ,NO,NZ,PL,PT,R O,RU,SD,SE,SG,SI,SK,SL,TJ ,TM,TR,TT,TZ,UA,UG,US,UZ, VN,YU,ZA,ZW (72)発明者 アウトカ, デュアン アメリカ合衆国 カリフォルニア州 94536, フレモント, ガザニア テラ ス 15 Fターム(参考) 4K030 CA04 CA12 DA06 LA15 5F004 AA15 BA20 BB25 BD03 CA02 CA03 CB02 DA04 DA05 DA11 DA16 DB09

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 基板が処理されるプラズマ反応チャンバを洗浄し、かつ条件
    付けする方法であって、 チャンバ内の表面上に蓄積した堆積物を除去するように前記プラズマ反応チャ
    ンバを洗浄するステップと、 フッ素含有ガスおよび炭素含有ガスを含む条件付け用ガスをチャンバ内に導入
    するステップと、 前記条件付け用ガスを付勢してプラズマ状態にするステップと、 チャンバの内部表面上に前記プラズマによって形成されるポリマー被覆を堆積
    させるステップと、 堆積ステップ後にチャンバ内で基板を処理するステップとを含む方法
  2. 【請求項2】 付勢ステップが、チャンバの囲壁の一部を形成する誘電シー
    ルドに近接して、チャンバの外部に配置されるRFアンテナ・コイル内で無線周
    波数電流を共振させることによって生成される誘導磁界に、前記条件付け用ガス
    をさらすことによって実行される、請求項1に記載の方法。
  3. 【請求項3】 前記条件付け用ガスが塩素含有ガスをさらに含み、堆積ステ
    ップ時に堆積される前記ポリマーが塩素を含む、請求項1に記載の方法。
  4. 【請求項4】 洗浄ステップが、チャンバを開いて湿式洗浄を行うことによ
    って実行される、請求項1に記載の方法。
  5. 【請求項5】 洗浄ステップが、洗浄ガスをチャンバ内に導入し、前記洗浄
    ガスを付勢してプラズマ状態にし、前記内部表面を前記プラズマと接触させて前
    記堆積物を除去することによって実行される、請求項1に記載の方法。
  6. 【請求項6】 条件付けステップが、チャンバに基板が入っていない間に実
    行される、請求項1に記載の方法。
  7. 【請求項7】 前記基板が生産用ウェハを含み、処理ステップが、前記生産
    用ウェハを処理する前に、チャンバ内に処理条件付け用ウェハのない状態で実行
    される、請求項1に記載の方法。
  8. 【請求項8】 処理ステップが、前記生産用ウェハ上のアルミニウム膜スタ
    ックをエッチングすることを含む、請求項7に記載の方法。
  9. 【請求項9】 条件付けステップが、処理ステップ中に前記基板が支持され
    る基板支持体のRFバイアス電極に電力を加えることなく実行される、請求項1
    に記載の方法。
  10. 【請求項10】 前記条件付け用ガスが、Cl、BCl、CCl、S
    iClまたはその混合物を含む、請求項1に記載の方法。
  11. 【請求項11】 前記条件付け用ガスが、CHF、BCl、および/ま
    たはClを含む、請求項1に記載の方法。
  12. 【請求項12】 条件付けステップ中のチャンバ圧力が、約5〜80mTo
    rrの範囲内である、請求項1に記載の方法。
  13. 【請求項13】 条件付けステップ中に前記RFアンテナに200〜100
    0ワットを供給する、請求項2に記載の方法。
  14. 【請求項14】 プラズマ洗浄ガスが、前記内部表面上の前記堆積物をガス
    副生物に変換する、請求項5に記載の方法。
  15. 【請求項15】 チャンバがプラズマ・エッチング・チャンバである、請求
    項1に記載の方法。
  16. 【請求項16】 洗浄ステップの前にチャンバ内で1枚または複数の半導体
    基板上のアルミニウムをエッチングするステップであって、チャンバの前記内部
    表面上で前記堆積物を生じるステップをさらに含む、請求項15に記載の方法。
  17. 【請求項17】 条件付けステップの後に、1枚または複数の半導体基板を
    チャンバ内に導入すること、および前記1枚または複数の基板上のアルミニウム
    をエッチングすることが続く、請求項15に記載の方法。
  18. 【請求項18】 条件付けステップが、光放射または干渉法によって決定さ
    れる終点に達するまで行われる、請求項1に記載の方法。
  19. 【請求項19】 条件付けステップが第1および第2ステップで実行され、
    前記条件付け用ガスが前記第1ステップで塩素含有ガスをさらに含み、前記条件
    付け用ガスに前記第2ステップでフッ素が含まれない、請求項1に記載の方法。
  20. 【請求項20】 前記条件付け用ガスが、前記第1ステップで1〜30sc
    cmのBClおよび10〜60sccmのCHFを含み、前記条件付け用ガ
    スが、前記第2ステップで20〜60sccmのBClおよび20〜60sc
    cmのClを含む、請求項19に記載の方法。
JP2001546982A 1999-12-22 2000-12-08 プラズマ反応チャンバを洗浄および条件付けする方法 Expired - Lifetime JP4995390B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/469,286 1999-12-22
US09/469,286 US6350697B1 (en) 1999-12-22 1999-12-22 Method of cleaning and conditioning plasma reaction chamber
PCT/US2000/032441 WO2001046490A1 (en) 1999-12-22 2000-12-08 Method of cleaning and conditioning plasma reaction chamber

Publications (3)

Publication Number Publication Date
JP2003518328A true JP2003518328A (ja) 2003-06-03
JP2003518328A5 JP2003518328A5 (ja) 2008-02-07
JP4995390B2 JP4995390B2 (ja) 2012-08-08

Family

ID=23863210

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001546982A Expired - Lifetime JP4995390B2 (ja) 1999-12-22 2000-12-08 プラズマ反応チャンバを洗浄および条件付けする方法

Country Status (9)

Country Link
US (1) US6350697B1 (ja)
EP (1) EP1252361B1 (ja)
JP (1) JP4995390B2 (ja)
KR (1) KR100789684B1 (ja)
CN (1) CN1252313C (ja)
AU (1) AU2049901A (ja)
DE (1) DE60042892D1 (ja)
TW (1) TW487988B (ja)
WO (1) WO2001046490A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6939435B1 (en) 2004-02-27 2005-09-06 Hitachi, Ltd. Plasma processing apparatus and processing method
US8114244B2 (en) 2008-12-24 2012-02-14 Hitachi High-Technologies Corporation Method for etching a sample

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6564810B1 (en) * 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US6776851B1 (en) * 2001-07-11 2004-08-17 Lam Research Corporation In-situ cleaning of a polymer coated plasma processing chamber
US7060234B2 (en) * 2001-07-18 2006-06-13 Applied Materials Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers
US20030062064A1 (en) * 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
KR20030078550A (ko) * 2002-03-30 2003-10-08 주식회사 하이닉스반도체 반응기의 세정 방법
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20050087297A1 (en) * 2003-08-06 2005-04-28 Hiroyuki Kitsunai Plasma processing apparatus and method for stabilizing inner wall of processing chamber
CN1332064C (zh) * 2003-09-03 2007-08-15 统宝光电股份有限公司 降低沉积反应室腔体内氟残留的方法
US7022620B2 (en) * 2003-11-18 2006-04-04 Micron Technology, Inc. Conditioning of a reaction chamber
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
KR100731124B1 (ko) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 증착 챔버의 세정 방법
US20070235058A1 (en) * 2006-04-10 2007-10-11 Daniel Harrington System and method for removing residue from a wafer processing chamber using sound waves
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
JP5089513B2 (ja) * 2008-07-11 2012-12-05 東京エレクトロン株式会社 プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104282519B (zh) * 2013-07-12 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置的清洁方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN104282518B (zh) * 2013-07-12 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置的清洁方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10128133B1 (en) 2017-06-30 2018-11-13 Infineon Technologies Austria Ag Method of conditioning an etch chamber for contaminant free etching of a semiconductor device
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR20200117052A (ko) * 2018-03-01 2020-10-13 어플라이드 머티어리얼스, 인코포레이티드 디바이스 제작에서의 금속 하드마스크 형성 시스템들 및 방법들
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220189749A1 (en) * 2020-12-14 2022-06-16 Applied Materials, Inc. Process Kit Conditioning Chamber
KR20230043457A (ko) * 2021-09-24 2023-03-31 주성엔지니어링(주) 기판 처리 장치의 세정 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0487329A (ja) * 1990-07-31 1992-03-19 Oki Electric Ind Co Ltd ドライエッチング方法
JPH07335626A (ja) * 1994-06-10 1995-12-22 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JPH08213370A (ja) * 1995-02-01 1996-08-20 Yamaha Corp ドライエッチング方法及び装置
JPH11340215A (ja) * 1999-03-23 1999-12-10 Hitachi Ltd プラズマ処理室のクリ―ニング方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57201016A (en) 1981-06-05 1982-12-09 Oki Electric Ind Co Ltd Cleaning method for semiconductor manufacturing apparatus
US4811684A (en) 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
JPS61250185A (ja) 1985-04-25 1986-11-07 Anelva Corp 真空処理装置のクリ−ニング方法
US4657616A (en) 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPS63210275A (ja) 1987-02-24 1988-08-31 Semiconductor Energy Lab Co Ltd プラズマ反応装置内を清浄にする方法
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JP2892694B2 (ja) 1989-07-31 1999-05-17 株式会社日立製作所 プラズマクリーニング方法
US5207836A (en) 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
EP0648858A1 (en) 1993-10-15 1995-04-19 Applied Materials, Inc. Methods of coating plasma etch chambers and apparatus for plasma etching workpieces
US5817574A (en) * 1993-12-29 1998-10-06 Intel Corporation Method of forming a high surface area interconnection structure
US6156663A (en) * 1995-10-03 2000-12-05 Hitachi, Ltd. Method and apparatus for plasma processing
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0487329A (ja) * 1990-07-31 1992-03-19 Oki Electric Ind Co Ltd ドライエッチング方法
JPH07335626A (ja) * 1994-06-10 1995-12-22 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JPH08213370A (ja) * 1995-02-01 1996-08-20 Yamaha Corp ドライエッチング方法及び装置
JPH11340215A (ja) * 1999-03-23 1999-12-10 Hitachi Ltd プラズマ処理室のクリ―ニング方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6939435B1 (en) 2004-02-27 2005-09-06 Hitachi, Ltd. Plasma processing apparatus and processing method
US8114244B2 (en) 2008-12-24 2012-02-14 Hitachi High-Technologies Corporation Method for etching a sample

Also Published As

Publication number Publication date
TW487988B (en) 2002-05-21
AU2049901A (en) 2001-07-03
CN1411514A (zh) 2003-04-16
WO2001046490A1 (en) 2001-06-28
KR20020062367A (ko) 2002-07-25
EP1252361B1 (en) 2009-09-02
DE60042892D1 (de) 2009-10-15
JP4995390B2 (ja) 2012-08-08
US6350697B1 (en) 2002-02-26
KR100789684B1 (ko) 2008-01-02
CN1252313C (zh) 2006-04-19
EP1252361A1 (en) 2002-10-30

Similar Documents

Publication Publication Date Title
JP2003518328A (ja) プラズマ反応チャンバを洗浄および条件付けする方法
US5756400A (en) Method and apparatus for cleaning by-products from plasma chamber surfaces
US6869542B2 (en) Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US6014979A (en) Localizing cleaning plasma for semiconductor processing
US6699399B1 (en) Self-cleaning etch process
KR100738850B1 (ko) 플라즈마 에칭 챔버에 대한 다단계 세정
CN100411118C (zh) 蚀刻用的高压无晶片自动清洗
JP5061231B2 (ja) 水素含有ラジカルによる未変性酸化物の洗浄
US5431772A (en) Selective silicon nitride plasma etching process
US6852242B2 (en) Cleaning of multicompositional etchant residues
US6547978B2 (en) Method of heating a semiconductor substrate
JPH1096082A (ja) 基板処理システム構成部材の寿命を延ばす炭素ベース膜の使用
US5188704A (en) Selective silicon nitride plasma etching
US20080178906A1 (en) Bare aluminum baffles for resist stripping chambers
US20050161435A1 (en) Method of plasma etching
US6537919B1 (en) Process to remove micro-scratches
US6770214B2 (en) Method of reducing aluminum fluoride deposits in plasma etch reactor
US6329294B1 (en) Method for removing photoresist mask used for etching of metal layer and other etching by-products
US6808647B1 (en) Methodologies to reduce process sensitivity to the chamber condition
JP2003068705A (ja) 半導体素子の製造方法
JP3365142B2 (ja) プラズマ装置及びこれを用いたプラズマ処理方法
JPH04242927A (ja) 半導体装置の製造方法
JPH07307329A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101122

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110818

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120423

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120510

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150518

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4995390

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term