CN110895380A - 图案形成方法 - Google Patents

图案形成方法 Download PDF

Info

Publication number
CN110895380A
CN110895380A CN201910864595.8A CN201910864595A CN110895380A CN 110895380 A CN110895380 A CN 110895380A CN 201910864595 A CN201910864595 A CN 201910864595A CN 110895380 A CN110895380 A CN 110895380A
Authority
CN
China
Prior art keywords
film
pattern
silicon
organic underlayer
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910864595.8A
Other languages
English (en)
Inventor
荻原勤
矢野俊治
前田和规
三井亮
永田岳志
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Publication of CN110895380A publication Critical patent/CN110895380A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • G03F7/343Lamination or delamination methods or apparatus for photolitographic photosensitive material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明的目的在于提供一种能够解决产品的性能劣化或成品率下降的问题的图案形成方法。所述图案形成方法的特征在于包括以下工序:(1)在基板上形成有机下层膜,在其上形成含硅中间膜,进一步在其上形成上层抗蚀剂膜的工序;(2)对所述上层抗蚀剂膜进行曝光、显影,形成上层抗蚀剂图案的工序;(3)通过干法蚀刻在含硅中间膜上转印所述上层抗蚀剂图案,进一步在所述有机下层膜上转印所述上层抗蚀剂图案,形成有机下层膜图案的工序;(4)通过CVD法或ALD法形成无机硅膜的工序;(5)通过干法蚀刻去除所述无机硅膜的一部分,使所述有机下层膜图案的上部露出的工序;及(6)使用剥离液去除所述有机下层膜图案,形成无机硅膜图案的工序。

Description

图案形成方法
技术领域
本发明涉及一种基于侧壁隔片(sidewall spacer)法的图案形成方法。
背景技术
作为在形成抗蚀剂图案时使用的曝光光,在1980年代,广泛使用了以汞灯的g线(436nm)或i线(365nm)为光源的光曝光。作为用于进一步精细化的手段,认为对曝光波长进行短波长化的方法是有效的,在1990年代的64M位(加工尺寸为0.25μm以下)DRAM(动态随机存取存储器,Dynamic random access memory)之后的量产工艺中,利用短波长的KrF准分子激光(248nm)代替i线(365nm)作为曝光光源。
然而,在需要进一步精细的加工技术(加工尺寸为0.2μm以下)的集成度256M及1G以上的DRAM的制造中,需要更短波长的光源,从约10年前开始对使用了ArF准分子激光(193nm)的光刻进行了认真研究。在当初,ArF平版印刷本应应用于180nm节点的装置的制作,但KrF准分子平版印刷的寿命被延长至130nm节点设备的量产,ArF平版印刷的正式应用从90nm节点开始。进一步,与将NA提高至0.9的透镜进行组合而量产65nm节点设备。在之后的45nm节点设备中,推行了曝光波长的短波长化,波长157nm的F2平版印刷成为了备选。然而,由于在投影透镜中大量使用高价的CaF2单晶所导致的扫描器的成本上升、因软质薄膜的耐久性极低而引入硬质薄膜所造成的光学系统的变化、抗蚀剂膜的蚀刻耐性下降等各种问题,F2平版印刷的开发被中止,引入了ArF浸没式平版印刷。
在ArF浸没式平版印刷中,折射率为1.44的水通过部分填充方式插入投影透镜与晶圆之间,由此能够高速扫描,通过NA1.3级的透镜进行45nm节点设备的量产。
作为32nm节点的平版印刷技术,波长13.5nm的真空紫外线(EUV)平版印刷逐渐成为了备选。作为EUV平版印刷的问题点,可列举出激光的高输出化、抗蚀剂膜的高灵敏度化、高分辨率化、低线边缘粗糙度(LER)化、无缺陷MoSi层叠掩模、反射镜的低像差化等,需要克服的问题很多。作为32nm节点的另一个备选,高折射率浸没式平版印刷的开发因作为高折射率透镜备选的LUAG的透射率低、液体的折射率达不到目标值1.8而被中止。像这样,作为通用技术使用的光曝光不断接近来自光源的波长的本质分辨率的极限。
在这种背景下,近年来受到瞩目的精细化技术之一为一种双重图案化(doublepatterning)工艺,其在第一次曝光与显影中形成图案,在第二次曝光中准确地在第一次的图案之间形成图案(非专利文献1)。作为双重图案化的方法,提出了多种工艺。例如,(1)在第一次曝光与显影中,形成线宽(line)与间距(space)为1:3的间隔的光致抗蚀剂图案,通过干法蚀刻加工下层的硬掩模,在其上再覆盖一层硬掩模,通过光致抗蚀剂膜的曝光与显影在第一次曝光的间距部分形成线条图案,通过干法蚀刻加工硬掩模,形成节距(pitch)为最初的图案的一半的线宽间距图案(line and space pattern)的方法。此外,(2)在第一次曝光与显影中形成间距与线宽为1:3的间隔的光致抗蚀剂图案,通过干法蚀刻加工下层的硬掩模,在其上涂布光致抗蚀剂膜,在残留有硬掩模的部分对第二次的间距图案(spacepattern)进行曝光,通过干法蚀刻加工硬掩模。均通过两次干法蚀刻加工硬掩模。
在前一种方法中,需要铺覆两次硬掩模,在后一种方法中,虽然只需要一层硬掩模,但需要形成解像比线条图案困难的沟槽图案。此外,在后一种方法中,有在沟槽图案的形成中使用负型抗蚀剂材料的方法。在该方法中,虽然能够使用与通过正型显影图案形成线条时所使用的光具有相同的高对比度的光,但与正型抗蚀剂材料相比,负型抗蚀剂材料的溶解对比度低。因此,若将使用正型抗蚀剂材料形成线条的情况与使用负型抗蚀剂材料形成相同尺寸的沟槽图案的情况进行比较,则使用负型抗蚀剂材料时分辨率低。在后一种方法中,可考虑应用如下方法:在使用正型抗蚀剂材料形成较宽的沟槽图案之后加热基板从而使沟槽图案收缩的热流法;或在显影后的沟槽图案上涂覆水溶性膜后进行加热而使抗蚀剂膜表面交联,从而使沟槽收缩的RELACS法,但会产生临近偏差(proximity bias)变差这一缺点,或者工艺进一步复杂化、生产量下降的缺点。
在前一种、后一种中的任意一种方法中,需要进行两次加工基板的蚀刻,因此存在生产能力下降与因两次蚀刻而产生图案的变形或错位的问题。
为了一次性地完成蚀刻,有在第一次曝光中使用负型抗蚀剂材料,在第二次曝光中使用正型抗蚀剂材料的方法。还有在第一次曝光中使用正型抗蚀剂材料,在第二次曝光中使用溶解于不溶解正型抗蚀剂材料的碳原子数为4以上的高级醇的负型抗蚀剂材料的方法。此时,由于使用了分辨率低的负型抗蚀剂材料,因此发生了分辨力的劣化。
作为其他方法,提出了使用反应性的金属化合物,对在第一次曝光与显影中形成的图案进行处理,对图案进行不溶解化后,通过曝光、显影,重新在第一次的图案与图案之间形成第二次的图案的方法(专利文献1)。
在这样的双重图案化中,最重要的问题在于第一次的图案与第二次的图案的对准精度。由于错位的大小会造成线条尺寸的变化,因此,例如若以10%的精度形成32nm的线条,则需要3.2nm以内的对准精度。以往的扫描器的对准精度在8nm左右,因此需要大幅度地提高精度。
由于扫描器的对准精度的问题、或将一个图案分割为两个是困难的,因此研究了通过一次曝光而使节距成为一半的方法。例如,提出了一种通过将膜附着到线条图案两侧的侧壁从而使节距成为一半的方法(非专利文献2)。作为该侧壁隔片法,提出了将抗蚀剂下层的硬掩模与嵌入在附着于所述硬掩模的侧壁的膜与膜之间的空间的膜用作蚀刻图案的隔片间距法(spacer space method)、将附着在抗蚀剂下层的硬掩模侧壁的膜用作蚀刻图案的隔片线宽法(spacer line method)(非专利文献3)。
作为侧壁隔片法,进一步提出了通过CVD法、使用SiO2、α-Si、α-C等在作为芯的图案上形成侧壁后,通过干法蚀刻去除芯图案,由此将侧壁作为图案,使图案节距成为一半的方法。然而,此时,形成侧壁时的加热温度需要为150℃以上。因此,在将通过曝光而形成的抗蚀剂图案制成芯时,图案会在这样的高温下倒塌,因此作为隔片的芯,其强度尚不充分。因此,与原来的抗蚀剂图案相比,所形成的图案的平滑性差。
现有技术文献
专利文献
专利文献1:日本特开2008-33174号公报
非专利文献
非专利文献1:Proc.SPIEVol.5754p1508(2005)
非专利文献2:J.Vac.Sci.Technol.B17(6),Nov/Dec1999
非专利文献3:第四次液浸研讨会(2007年)演讲编号:PR-01,标题名:Implementation of immersion lithography to NAND/CMOS device manufacturing
发明内容
本发明要解决的技术问题
因此,不将该抗蚀剂图案直接用作芯图案,而是通过使用由SiO2或α-C构成的芯材,通过干法蚀刻在该芯材上转印抗蚀剂图案后,在转印有该图案的芯材上形成侧壁,接着去除芯材,由此能够形成图案节距为1/2的图案。此时,由于由SiO2或α-C构成的芯材通过CVD或ALD形成,因此强度非常高,作为芯材的性能良好。然而,在形成侧壁后,在对不需要的芯材进行干法蚀刻去除时,由于强度高,因此无法充分地得到与基板的蚀刻选择比,基板在去除芯材的干法蚀刻工序中受到损伤。由此,产生未达到产品的性能或成品率下降的问题。
如上所述,随着近年来图案尺度(pattern rule)的精细化,要求一种能够简便且有效地形成更精细的图案及平滑度更高的图案的图案形成方法。
本发明是对上述状况进行改善而完成的,其目的在于提供一种能够解决产品的性能劣化或成品率下降的问题的图案形成方法。
解决技术问题的技术手段
为了达成上述技术问题,本发明提供一种图案形成方法,该方法包括以下工序:
(1)在基板上形成有机下层膜,在所述有机下层膜上形成含硅中间膜,进一步在所述含硅中间膜上形成上层抗蚀剂膜的工序;
(2)对所述上层抗蚀剂膜进行曝光、显影,形成上层抗蚀剂图案的工序;
(3)将形成有所述上层抗蚀剂图案的所述上层抗蚀剂膜作为掩模,通过干法蚀刻在所述含硅中间膜上转印所述上层抗蚀剂图案,进一步,将转印有所述上层抗蚀剂图案的所述含硅中间膜作为掩模,通过干法蚀刻在所述有机下层膜上转印所述上层抗蚀剂图案,形成有机下层膜图案的工序;
(4)以覆盖所述有机下层膜图案的方式,通过CVD法或ALD法形成无机硅膜的工序;
(5)通过干法蚀刻去除所述无机硅膜的一部分,使所述有机下层膜图案的上部露出的工序;及
(6)使用剥离液去除所述有机下层膜图案,形成图案节距为所述上层抗蚀剂图案的1/2的无机硅膜图案的工序。
若为所述图案形成方法,则能够使用对形成侧壁的无机硅膜或基板不造成损伤的剥离液,清洗去除有机下层膜,而在干法蚀刻后仍残留有硅中间膜时,同时清洗去除有机下层膜与硅中间膜,因此能够形成图案节距为上层抗蚀剂图案的1/2的无机硅膜图案(侧壁图案)而不对侧壁或基板造成损伤。
此外,优选所述无机硅膜由多晶硅、非晶硅、氧化硅、氮化硅、氮氧化硅、碳化硅或这些物质的复合材料构成。
在本发明中,无机硅膜可以为上述物质。
此外,在所述工序(1)中,可在所述上层抗蚀剂膜上进一步形成防水性涂布膜。
在形成上层抗蚀剂图案时,为了使用浸没式曝光而需要上层抗蚀剂的保护膜时,可设为所述图案形成方法。
此外,在所述工序(3)中,所述有机下层膜图案可以为在所述有机下层膜上残留有所述含硅中间膜的部分。
或,在所述工序(3)中,所述有机下层膜图案可以为未在所述有机下层膜上残留所述含硅中间膜的部分。
在本发明的图案形成方法中,在通过干法蚀刻进行图案转印后,在无论是否具有作为掩模的材料的残留物的情况下,均能够形成无机硅膜图案(侧壁图案)而不对侧壁或基板造成损伤。
此外,在所述工序(6)中,优选剥离液含有过氧化氢、硫酸中的任意一种或两种。
若为所述剥离液,则能够更确实地清洗去除有机下层膜图案,形成无机硅膜图案(侧壁图案)而不对形成侧壁的无机硅膜或基板造成损伤。
此外,所述含硅中间膜优选由硅中间膜形成用组合物形成,所述硅中间膜形成用组合物含有具有交联性有机结构的化合物。
若为所述含硅中间膜,则能够通过干法蚀刻后的利用剥离液的清洗,更确实地与有机下层膜同时去除。
此时,所述交联性有机结构优选为选自环氧乙烷环、氧杂环丁烷环、羟基或羧基中的一种以上。
若为所述交联性有机结构,则含硅中间膜通过干法蚀刻后的利用剥离液的清洗,能够进一步确实地与有机下层膜同时去除。
此外,优选所述硅中间膜形成用组合物进一步含有通过热、光中的一种或两种而产生酸的产酸剂。
此外,优选所述硅中间膜形成用组合物进一步含有交联剂。
若为所述硅中间膜形成用组合物,则作为交联性有机结构而含有的环氧乙烷环、氧杂环丁烷环、羟基或羧基等的交联得到促进,且同时可形成即使在干法蚀刻后也能够确实地与有机下层膜同时清洗去除的含硅中间膜。
发明效果
根据本发明,若使用有机下层膜作为侧壁隔片工艺的芯材,则能够在干法蚀刻后使用剥离液清洗去除芯材而不对基板造成损伤,因此不产生产品的性能变差或成品率下降的问题,能够形成平滑性高的图案。因此,本发明可简便且有效地形成更精细的图案,能够提供一种可应用于半导体的制造工艺的实用性高的图案形成方法。
附图说明
图1为本发明的图案形成方法的一个例子的说明图。
附图标记说明
1:基板;2:有机下层膜;3:含硅中间膜;4:上层抗蚀剂膜;5:上层抗蚀剂图案;6:有机下层膜图案;7:无机硅膜;8:无机硅膜图案。
具体实施方式
如上所述,随着近年来图案尺度的精细化,要求一种可简便且有效地形成更精细的图案、能够应用于半导体的制造工艺的实用性高的图案形成方法。
本申请的发明人为了达成上述目的而进行了认真研究,结果发现,将通过曝光、显影而形成的抗蚀剂图案转印至有机下层膜,并利用CVD或ALD在该经过图案转印的有机下层膜上形成侧壁,能够使用剥离液简便地去除成为芯材的有机下层膜而不对基板造成损伤。
即,本发明为一种图案形成方法,该方法包括以下工序:
(1)在基板上形成有机下层膜,在所述有机下层膜上形成含硅中间膜,进一步在所述含硅中间膜上形成上层抗蚀剂膜的工序;
(2)对所述上层抗蚀剂膜进行曝光、显影,形成上层抗蚀剂图案的工序;
(3)将形成有所述上层抗蚀剂图案的所述上层抗蚀剂膜作为掩模,通过干法蚀刻在所述含硅中间膜上转印所述上层抗蚀剂图案,进一步,将转印有所述上层抗蚀剂图案的所述含硅中间膜作为掩模,通过干法蚀刻在所述有机下层膜上转印所述上层抗蚀剂图案,形成有机下层膜图案的工序;
(4)以覆盖所述有机下层膜图案的方式,通过CVD法或ALD法形成无机硅膜的工序;
(5)通过干法蚀刻去除所述无机硅膜的一部分,使所述有机下层膜图案的上部露出的工序;及
(6)使用剥离液去除所述有机下层膜图案,形成图案节距为所述上层抗蚀剂图案的1/2的无机硅膜图案的工序。
以下对本发明进行详细说明,但本发明并不受这些内容限定。
通过附图对本发明的一个实施方式进行说明,但本发明并不限定于这一实施方式。图1为表示本发明的图案形成方法的一个例子的说明图。首先,在工序(1)中,在基板(被加工物)上形成有机下层膜(涂布型有机下层膜)2,在有机下层膜2上形成含硅中间膜(含硅涂布型中间膜)3,进一步在含硅中间膜3上形成上层抗蚀剂膜4(图1的(a)、(b)、(c)、(d))。接着,在工序(2)中,对上层抗蚀剂膜4进行曝光(图1的(e))、显影、冲洗,得到上层抗蚀剂图案5(图1的(f))。接着,在工序(3)中,将上层抗蚀剂图案5作为掩模,通过干法蚀刻将图案转印至含硅中间膜3上(图1的(g)),进一步,将形成在含硅中间膜上的图案作为掩模,在有机下层膜2上进行图案转印,形成有机下层膜图案6(图1的(h))。此时,由于有机下层膜图案的截面形状为矩形,因此将含硅中间膜3残留在有机下层膜图案6的上部的干法蚀刻条件为通常条件,但在本发明中并不一定要残留含硅中间膜3。然后,在工序(4)中,通过CVD法或ALD法,用无机硅膜7覆盖在工序(3)中得到的有机下层膜图案6(图1的(i))。然后,在工序(5)中,通过干法蚀刻对无机硅膜7进行蚀刻,使有机下层膜图案6的上部露出(图1的(j))。此时,在含硅中间膜3残留在有机下层膜图案6的上部时,实际上作为有机下层膜图案6的上部而露出的是在干法蚀刻工序后残留的含硅中间膜3。然后,在工序(6)中,使用剥离液同时清洗去除作为芯而残留在无机硅膜7之间的有机下层膜图案6及含硅中间膜残渣,由此能够形成节距为上层抗蚀剂图案5的节距的1/2的无机硅膜图案8(图1的(k))。然后,可使用得到的无机硅膜图案8,进行基板加工(图1的(l))。
以下,依次对各工序进行详细说明。
[工序(1)]
工序(1)为在基板上形成有机下层膜,在所述有机下层膜上形成含硅中间膜,进一步在所述含硅中间膜上形成上层抗蚀剂膜的工序。
<基板>
作为基板,能够使用在半导体制造用基板上成膜有作为被加工层(被加工部分)的金属膜、金属碳化膜、金属氧化膜、金属氮化膜及这些膜的复合体中的任意一种的基板等。
作为半导体制造用基板,通常使用硅基板,但没有特别限定,也可使用Si、非晶硅(α-Si)、p-Si、SiO2、SiN、SiON、W、TiN、Al等与被加工层不同的材质。
作为构成被加工层的金属,可使用硅、钛、钨、铪、锆、铬、锗、铜、铝及铁中的任意一种或它们的合金,作为含有所述金属的被加工层,例如可使用Si、SiO2、SiN、SiON、SiOC、p-Si、α-Si、TiN、WSi、BPSG、SOG、Cr、CrO、CrON、MoSi、W、W-Si、Al、Cu、Al-Si等以及各种低电介质膜及其阻蚀膜,通常可形成为50~10,000nm的厚度,特别是可形成为100~5,000nm的厚度。
<有机下层膜>
作为用于本发明的有机下层膜(涂布型有机下层膜),没有特别限定。构成有机下层膜的树脂多数为公知树脂,在本发明中,优选包含含萘骨架化合物、含芴骨架化合物、含咔唑骨架化合物、含苊烯骨架化合物、含萘酚骨架化合物及含双萘酚骨架化合物等含芳香族骨架化合物的树脂。
作为双萘酚化合物,例如可例示出如下所示的日本特开2007-199653号、日本特开2010-122656号等中记载的树脂。
[化学式1]
Figure BDA0002200888090000101
上述式中,R1与R2独立地为相同或不同的氢原子,碳原子数为1~10的直链状、支链状、环状的烷基,碳原子数为6~10的芳基或碳原子数为2~10的烯基,R3为单键或者具有碳原子数为1~30的直链、支链状或环状结构的亚烷基,也可具有桥环式烃基、双键、杂原子或碳原子数为6~30的芳香族基团,R4与R5各自独立地为氢原子或缩水甘油基,n为1~4的整数。
[化学式2]
Figure BDA0002200888090000102
上述式中,R1与R2独立地为相同或不同的氢原子,碳原子数为1~10的直链状、支链状、环状的烷基,碳原子数为6~10的芳基或碳原子数为2~10的烯基,R3为单键或者具有碳原子数为1~30的直链、支链状或环状结构的亚烷基,也可具有桥环式烃基、双键、杂原子或碳原子数为6~30的芳香族基团,R4与R5各自独立地为氢原子或缩水甘油基,R6为单键或者碳原子数为1~10的直链状或支链状的亚烷基。
[化学式3]
Figure BDA0002200888090000111
上述式中,R1与R2为相同或不同的氢原子,碳原子数为1~10的直链状、支链状、环状的烷基,碳原子数为6~10的芳基,碳原子数为2~10的烯基。R3、R4分别为氢原子或缩水甘油基,R5为单键、碳原子数为1~10的直链状、支链状的亚烷基,R6、R7为苯环、萘环。p、q分别为1或2。n为0<n≤1。
作为芴化合物,例如可例示出如下所示的日本特开2008-274250号等中记载的树脂。
[化学式4]
Figure BDA0002200888090000112
式中,环Z1及环Z2为缩合多环芳香族烃环,R1a、R1b、R2a及R2b相同或不同,表示取代基。k1及k2相同或不同,表示0或1~4的整数,m1及m2分别表示0或1以上的整数,n1及n2分别表示0或1以上的整数。其中,n1+n2≥1。
作为萘化合物,例如可例示出如下所示的日本特开2004-264710号、日本特开2005-043471号、日本特开2005-250434号、日本特开2007-293294号、日本特开2008-65303号等中记载的树脂。
[化学式5]
Figure BDA0002200888090000121
上述式中,R1及R2表示氢原子或碳原子数为1~3的烷基、芳基,R3表示碳原子数为1~3的烷基、乙烯基、烯丙基、可被取代的芳基,n表示0或1,m表示0、1或2。
[化学式6]
Figure BDA0002200888090000122
式中,R1为除了氢原子以外的一价原子或基团,n为0~4的整数。其中,在n为2~4时,多个R1可以相同或不同。R2及R3独立地为一价原子或基团。X为二价基团。
[化学式7]
Figure BDA0002200888090000131
上述通式(7)中,R1为氢原子或甲基。R2为单键,碳原子数为1~20的直链状、支链状、环状的亚烷基,碳原子数为6~10的亚芳基中的任意一种,可具有醚、酯、内酯、酰胺中的任意一种。R3、R4分别为氢原子或缩水甘油基。X表示含茚骨架的烃、碳原子数为3~10的环烯烃、马来酰亚胺中的任意一种的聚合物,可具有醚、酯、内酯、羧酸酐中的任意一种。R5、R6分别为氢原子、氟原子、甲基、三氟甲基中的任意一种。R7为氢原子,碳原子数为1~6的直链状、支链状、环状的烷基,羟基,烷氧基羰基中的任意一种。p、q分别为1~4的整数。r为0~4的整数。a、b、c分别为0.5≤a+b+c≤1、0≤a≤0.8、0≤b≤0.8、0.1≤a+b≤0.8、0.1≤c≤0.8的范围。
[化学式8]
Figure BDA0002200888090000132
式(8)中,R1表示氢原子或一价有机基团,R2及R3相互独立地表示一价原子或一价有机基团。
作为萘酚化合物,例如可例示出如下所示的日本特开2004-205685号、日本特开2007-171895号、日本特开2009-14816号等中记载的树脂。
[化学式9]
Figure BDA0002200888090000141
式中,R1~R8相互独立为氢原子、羟基、碳原子数为1~6的可取代烷基、碳原子数为1~6的可取代烷氧基、碳原子数为2~6的可取代烷氧基羧基、碳原子数为6~10的可取代芳基、碳原子数为1~6的羟基烷基、异氰酸酯基或缩水甘油基。m、n为正整数。
[化学式10]
Figure BDA0002200888090000142
上述通式(10)中,R1、R6为氢原子或甲基。R2、R3、R4为氢原子、碳原子数为1~4的烷基、烷氧基、羟基、乙酰氧基或烷氧基羰基、或碳原子数为6~10的芳基,R5为碳原子数为13~30的缩合多环烃基、-O-R7、-C(=O)-O-R7、-O-C(=O)-R7或-C(=O)-NR8-R7,m为1或2,n为0~4的整数,p为0~6的整数。R7为碳原子数为7~30的有机基团,R8为氢原子或碳原子数为1~6的烃基。a、b、c、d、e分别为0<a<1.0、0≤b≤0.8、0≤c≤0.8、0≤d≤0.8、0≤e≤0.8、0<b+c+d+e<1.0的范围。
[化学式11]
Figure BDA0002200888090000151
在通式(11)中,n表示0或1。R1表示可被取代的亚甲基、碳原子数为2~20的可被取代的亚烷基或碳原子数为6~20的可被取代的亚芳基。R2表示氢原子、碳原子数为1~20的可被取代的烷基或碳原子数为6~20的可被取代的芳基。R3~R7表示羟基、碳原子数为1~6的可被取代的烷基、碳原子数为1~6的可被取代的烷氧基、碳原子数为2~10的可被取代的烷氧基羰基、碳原子数为6~14的可被取代的芳基或碳原子数为2~6的可被取代的缩水甘油醚基。R9表示氢原子,碳原子数为1~10的直链状、支链状或环状的烷基,碳原子数为1~10的直链状、支链状或环状的烷基醚基或碳原子数为6~10的芳基。
除此之外,可例示出WO2007-105776号、WO2009-72465号、WO2010-61774号、WO2010-147155号、WO2011-125839号、WO2012-50064号、WO2012-77640号、WO2013-5797号、WO2013-47106号、WO2013-47516号、WO2013-80929号、WO2013-115097号、WO2013-146670号、WO2014-24836号、WO2014-208324号、WO2014-208499号、WO2015-170736号、WO2015-194273号、WO2016-147989号、日本特开2001-40293号、日本特开2002-214777号、日本特开2002-296789号、日本特开2005-128509号、日本特开2006-259249号、日本特开2006-285046号、日本特开2008-65081号、日本特开2009-229666号、日本特开2009-251130号、日本特开2010-15112号、日本特开2010-271654号、日本特开2011-107684号、日本特开2011-170059号、日本特开2012-1687号、日本特开2012-77295号、日本特开2012-214720号、日本特开2012-215842号、日本特开2013-83939号、日本特开2014-24831号、日本特开2014-157169号、日本特开2015-131954号、日本特开2015-183046号、日本特开2016-29160号、日本特开2016-44272号、日本特开2016-60886号、日本特开2016-145849号、日本特开2016-167047号、日本特开2016-216367号、日本特开2017-3959号、日本特开2017-119670号、日本特开2017-119671号、日本特表2013-516643号、日本特表2015-515112号等中所示的树脂、组合物。
<含硅中间膜>
作为在本发明的图案形成方法中使用的含硅中间膜(含硅涂布型中间膜),没有特别限定。其中,能够使用的含硅中间膜多数为公知,在本发明中,当有机下层膜图案在有机下层膜上残留有含硅中间膜时,需要使用剥离液同时清洗去除经过干法蚀刻的含硅膜残渣与有机下层膜。因此,含硅中间膜中的硅成分优选为40重量%以下,更优选为35重量%以下,特别优选为30重量%以下。
此外,优选含硅中间膜由硅中间膜形成用组合物形成,所述硅中间膜形成用组合物含有具有交联性有机结构的化合物。
若为所述含硅中间膜,则通过干法蚀刻后的利用剥离液的清洗,能够更确实地与有机下层膜同时去除。
此时,优选交联性有机结构为选自环氧乙烷环、氧杂环丁烷环、羟基或羧基中的一种以上。
若为所述交联性有机结构,则含硅中间膜通过干法蚀刻后的利用剥离液的清洗,能够更确实地与有机下层膜同时去除。
此外,优选硅中间膜形成用组合物进一步含有通过热、光中的一种或两种而产生酸的产酸剂。
此外,优选硅中间膜形成用组合物进一步含有交联剂。
若为所述硅中间膜形成用组合物,则作为交联性有机结构而含有的环氧乙烷环、氧杂环丁烷环、羟基或羧基等的交联得到促进,且同时可形成即使在干法蚀刻后也能够确实地与有机下层膜同时清洗去除的含硅中间膜。
作为所述硅中间膜形成用组合物及在该组合物中使用的树脂,没有特别限定,例如可例示出日本特开2004-310019号、日本特开2005-15779号、日本特开2005-18054号、日本特开2005-352104号、日本特开2007-226170号等中所示的树脂、组合物。
作为在本发明中使用的硅中间膜形成用组合物所含有的树脂的具体例,可列举出含有下述通式(A-1)所示的化合物、该化合物的水解物、该化合物的缩合物、该化合物的水解缩合物中的一种以上的聚硅氧烷。
[化学式12]
R1A A1R2A A2R3A A3Si(OROA)(4-A1-A2-A3) (A-1)
式中,R0A为碳原子数为1~6的烃基,R1A、R2A、R3A为氢原子或一价有机基团。此外,A1、A2、A3为0或1,0≤A1+A2+A3≤3。
作为该R1A、R2A、R3A所表示的有机基团的其他例子,可列举出具有1个以上碳-氧单键或碳-氧双键的有机基团。具体而言,为具有选自由环氧乙烷环、氧杂环丁烷环、酯键、烷氧基、羟基组成的组中的一种以上基团的有机基团。作为该例子,可列举出下述通式(A-2)所示的基团。
[化学式13]
(P-Q1-(S1)v1-Q2-)u-(T)v2-Q3-(S2)v3-Q4- (A-2)
通式(A-2)中,P为氢原子、环氧乙烷环、氧杂环丁烷环、羟基、碳原子数为1~4的烷氧基、碳原子数为1~6的烷基羰氧基(alkyl carbonyloxy)或碳原子数为1~6的烷基羰基,Q1、Q2、Q3及Q4各自独立地表示-CqH(2q-p)Pp-(式中,P与上述P相同,p为0~3的整数,q为0~10的整数(其中,q=0表示其为单键)),u为0~3的整数,S1与S2各自独立地表示-O-、-CO-、-OCO-、-COO-或-OCOO-。v1、v2及v3各自独立地表示0或1。同时,T为由可含有杂原子及环氧乙烷环、氧杂环丁烷环的脂环或芳香环形成的二价基团,以下示出T的可含有氧原子等杂原子的脂环或芳香环的例子。在T中,与Q2和Q3键合的位置没有特别限定,可考虑由空间因素造成的反应性或用于反应的市售试剂的获得性等而进行适当选择。
<上层抗蚀剂膜>
作为能够在本发明的图案形成方法中使用的上层抗蚀剂膜,没有特别限定,也可使用以往已知的各种抗蚀剂膜中的任意一种。
<防水性涂布膜>
此外,在形成上层抗蚀剂图案时,在为了使用浸没式曝光而需要上层抗蚀剂的保护膜时,可在上层抗蚀剂膜上进一步形成防水性涂布膜。作为防水性涂布膜,没有特别限定,可使用各种防水性涂布膜。
[工序(2)]
工序(2)为对上层抗蚀剂膜进行曝光、显影,形成上层抗蚀剂图案的工序。
在工序(2)中,能够通过以下方式得到抗蚀剂图案:按照常规方法,使用适合上层抗蚀剂膜的光源,例如使用KrF准分子激光或ArF准分子激光对该抗蚀剂膜进行图案曝光,通过适合各个抗蚀剂膜的条件进行加热处理后,使用显影液进行显影操作。
[工序(3)]
工序(3)为如下工序,即,将形成有上层抗蚀剂图案的上层抗蚀剂膜作为掩模,通过干法蚀刻在含硅中间膜上转印上层抗蚀剂图案,进一步,将转印有上层抗蚀剂图案的含硅中间膜作为掩模,通过干法蚀刻在有机下层膜上转印上层抗蚀剂图案,形成有机下层膜图案的工序。
在工序(3)中,若将上层抗蚀剂图案作为蚀刻掩模,并以含硅中间膜的蚀刻速度显著高于有机下层膜的干法蚀刻条件、例如通过利用氟类气体等离子体的干法蚀刻进行含硅中间膜的蚀刻,则几乎不会受到抗蚀剂膜的侧面蚀刻所引起的图案变化的影响,能够在含硅中间膜上得到上层抗蚀剂图案。
接着,以有机下层膜的蚀刻速度显著高于具有转印有上层抗蚀剂图案的含硅中间膜的基板的干法蚀刻条件、例如进行利用含有氧气的气体等离子体的反应性干法蚀刻或利用含有氢气-氮气的气体等离子体的反应性干法蚀刻,对有机下层膜进行蚀刻加工。
通过该蚀刻工序可得到机下层膜图案,同时通常最上层的抗蚀剂层会损失,但如后文所述,成为了蚀刻掩模的含硅中间膜的一部分可残留在有机下层膜图案上部。
此外,在工序(3)中,有机下层膜图案可以为在有机下层膜上残留有含硅中间膜的部分。
或者,在工序(3)中,有机下层膜图案可以为未在有机下层膜上残留含硅中间膜的部分。
在本发明的图案形成方法中,在通过干法蚀刻进行图案转印后,在无论是否存在作为掩模的材料的残留物的情况下,均能够形成无机硅膜图案(侧壁图案)而不对侧壁或基板造成损伤。
另外,为了确保干法蚀刻后的图案形状的矩形性,应用于实际的半导体装置的制造工艺中的通过干法蚀刻进行的多层抗蚀剂的图案转印,多设定为使成为掩模的图案材料的一部分残留在转印后的图案的上部的条件。即,在本发明的图案形成方法中,在将上层抗蚀剂作为掩模并通过干法蚀刻在含硅中间膜上进行图案转印时,为了确保含硅中间膜的图案的截面形状的矩形性,也可在残留上层抗蚀剂的一部分的条件下进行工序。接着,同样地,在将含硅中间膜作为掩模而在有机下层膜上进行图案转印时,为了确保有机下层膜的图案的截面形状的矩形性,可在于有机下层膜的上部残留含硅中间膜的一部分的状态下进行图案转印工序。然后,以该有机下层膜图案为芯材,通过侧壁隔片法、即在无机硅膜上形成侧壁后,去除有机下层膜图案,形成无机硅膜的图案。然而,若要通过干法蚀刻去除残留在有机下层膜图案上部的含硅中间膜残留物,则在无机硅膜上形成的侧壁或基板会因干法蚀刻而受到损伤,产生产品的性能劣化或成品率下降的问题。因此,在本发明的图案形成方法中,可如后文所述,通过使用剥离液的湿法处理,去除含硅中间膜,从而不产生所述问题。
[工序(4)]
工序(4)为以覆盖有机下层膜图案的方式,通过CVD法或ALD法形成无机硅膜的工序。
此时,作为无机硅膜,没有特别限定,例如优选由多晶硅、非晶硅、氧化硅、氮化硅、氮氧化硅、碳化硅或这些物质的复合材料形成。
[工序(5)]
工序(5)为通过干法蚀刻去除无机硅膜的一部分,使有机下层膜图案的上部露出的工序。
作为此时的干法蚀刻条件,没有特别限定,可根据无机硅膜的组成决定气体条件等。
[工序(6)]
工序(6)为使用剥离液去除有机下层膜图案,形成图案节距为上层抗蚀剂图案的1/2的无机硅膜图案的工序。
此外,在工序(6)中,优选剥离液含有过氧化氢、硫酸中的一种或两种。
例如在日本特开2009-212163号中,提出了一种通过湿法处理去除芯材的方法。具体而言,在该公报第[0010]段中公开了,若使用以碳为主要成分的下层膜作为芯材,则为了确保干法蚀刻的选择比,优选在上层抗蚀剂与下层膜之间形成无机膜。另一方面,该公报第[0019]段中公开了,在去除芯材时,若以碳为主要成分,则能够进行使用硫酸与双氧水的处理(SH处理)。然而,在实际的工艺中,为了保持干法蚀刻后的芯材的矩形性,无机膜残留在下层膜的上部。在通过湿法去除该无机膜时,在使用氢氟酸或热磷酸等去除无机膜的残留部分后,通过SH处理去除残留的碳成分,因此去除工序冗长、不经济。此外,在基板、侧壁隔片、芯材及上层抗蚀剂下部的无机膜之间,能够确保干法蚀刻加工的选择性与湿法处理的选择性的组合非常复杂,在构建半导体装置制造工艺上有时会成为较大的阻碍。
在本发明的工序(6)中,使用剥离液,能够去除有机下层膜图案,在有机下层膜图案的上部残留有含硅膜的残渣时,能够同时去除有机下层膜图案及含硅膜的残渣。为了对该有机下层膜图案进行湿法剥离,更优选使用含有过氧化氢的剥离液。此时,为了促进剥离,进一步优选加入酸或碱来调节pH。作为该pH调节剂,可例示出盐酸或硫酸等无机酸,乙酸、草酸、酒石酸、柠檬酸、乳酸等有机酸,氨、乙醇胺、四甲基氢氧化铵等含氮的碱,EDTA(乙二胺四乙酸)等含氮的有机氧化合物等。
上述剥离液通常为水溶液,视情况也可以含有有机溶剂。作为该有机溶剂,可例示出水溶性的醇、醚、酮、酯、酰胺、酰亚胺等。具体而言,可例示出甲醇、乙醇、丙醇、丁醇、乙二醇、丙二醇、二乙二醇、二丙二醇、乙二醇甲醚、乙二醇二甲醚、乙二醇乙醚、乙二醇二乙醚、二乙二醇甲醚、二乙二醇二甲醚、二乙二醇乙醚、二乙二醇二乙醚、丙二醇甲醚、丙二醇二甲醚、丙二醇乙醚、丙二醇二乙醚、二丙二醇甲醚、二丙二醇二甲醚、二丙二醇乙醚、二丙二醇二乙醚、四氢呋喃、四氢糠醇、丙酮、甲基乙基酮、乳酸乙酯、N-甲基吡咯烷酮、N,N-二甲基甲酰胺、N,N-二甲基乙酰胺等。
湿法剥离中,只要准备0℃~200℃、优选为20℃~180℃的剥离液,在其中浸渍形成有欲处理的被加工基板的硅晶圆即可。进一步,可根据需要,通过在表面喷雾剥离液或边旋转晶圆边涂布剥离液等常规步骤而容易地去除有机膜图案。
实施例
以下,使用实施例及比较例对本发明进行具体说明,但本发明并不受这些例子限定。
<实施例1>
使用Shin-Etsu Chemical Co.,Ltd.制造的ODL-306,通过旋涂在Si晶圆上进行涂布,以350℃烘烤60秒,在基板上制作膜厚为80nm的碳膜作为有机下层膜。碳膜的碳比率为88%。使用由下述原料组成的组合物,通过旋涂在有机下层膜上进行涂布,以200℃烘烤60秒,在所述有机下层膜上制作膜厚为30nm的含硅中间膜作为含硅中间膜。
含硅聚合物(1)
[化学式14]
Figure BDA0002200888090000221
产酸剂
[化学式15]
Figure BDA0002200888090000222
有机溶剂:PGMEA(丙二醇单甲醚乙酸酯)
进一步,通过旋涂在含硅中间膜上涂布下述组成的上层抗蚀剂膜,以110℃烘烤60秒,将抗蚀剂膜的厚度制成120nm。
聚合物1
分子量(Mw)=8,100
分散度(Mw/Mn)=1.77
[化学式16]
Figure BDA0002200888090000223
产酸剂:PAG1
[化学式17]
Figure BDA0002200888090000231
碱性化合物:Quencher1
[化学式18]
Figure BDA0002200888090000232
有机溶剂:PGMEA(丙二醇单甲醚乙酸酯)
CyH(环己酮)
使用ArF准分子激光扫描器(Nikon Corporation制造,NSR-S307E,NA0.85,σ0.93/0.69,20度双极照明,6%半色调相移掩模)对其进行曝光,曝光后立即以100℃烘烤60秒,使用2.38质量%的四甲基氢氧化铵的水溶液进行30秒显影,得到尺寸为50nm、节距为130nm的正型孤立图案(抗蚀剂图案)。
以上述抗蚀剂图案为掩模,通过下述条件(1)的干法蚀刻进行含硅中间膜的加工,接着,以下述条件(2)将图案转印至有机下层膜。
(1)在CHF3/CF4类气体下的蚀刻条件
装置:Tokyo Electron Limited.制造的干法蚀刻装置Telius SP
蚀刻条件(1):
Figure BDA0002200888090000233
Figure BDA0002200888090000241
(2)在O2/N2类气体下的蚀刻条件
装置:Tokyo Electron Limited.制造的干法蚀刻装置Telius SP
蚀刻条件(2):
Figure BDA0002200888090000242
通过日本特开2005-197561号公报的实施例第[0043]~[0053]段所记载的方法、使用ALD装置,在得到的有机下层膜图案上形成厚度为30nm的硅氧化膜(ALD膜)。接着,为了使有机下层膜图案的上部露出,以下述条件(3)对ALD膜进行干法蚀刻,得到芯材露出的测试晶圆A。
(3)在CHF3/CF4类气体下的蚀刻条件
装置:Tokyo Electron Limited.制造的干法蚀刻装置Telius SP
蚀刻条件(3):
Figure BDA0002200888090000243
使用硫酸过氧化氢水(H2SO4/H2O2/H2O=96/1/3)对得到的测试晶圆A进行处理后,使用Hitachi High-Technologies Corporation.制造的(S-4700)观察其截面形状,其结果,在去除芯材时未确认到对侧壁图案及基板的损伤。
<实施例2>
同样地,除了使用由下述原料组成的组合物制作含硅中间膜以外,进行与上述相同的处理,未确认到对侧壁图案及基板的损伤。
含硅聚合物(2)
[化学式19]
Figure BDA0002200888090000251
产酸剂
[化学式20]
Figure BDA0002200888090000252
有机溶剂:PGMEA(丙二醇单甲醚乙酸酯)
<比较例>
以下述干法蚀刻条件对在实施例1中得到的测试晶圆A进行处理,去除芯材。其结果,在去除芯材时确认到了对侧壁图案及基板的损伤。
(4)在O2/N2类气体下的蚀刻条件
装置:Tokyo Electron Limited.制造的干法蚀刻装置Telius SP
蚀刻条件(4):
Figure BDA0002200888090000253
Figure BDA0002200888090000261
根据以上的结果可知,在使用了本发明的图案形成方法的实施例中,没有由去除芯图案造成的对侧壁图案及基板的损伤,因此该图案形成方法能够解决产品的性能劣化或成品率下降的问题。另一方面,在比较例中,无法在去除芯图案时使用湿法处理而使用了干法蚀刻,结果产生了对侧壁图案及基板的损伤。
另外,本发明不受上述实施方式限定。上述实施方式为例示,具有与本发明的权利要求书中记载的技术构思实质相同的构成、并发挥相同作用效果的技术方案均包含在本发明的技术范围内。

Claims (19)

1.一种图案形成方法,其特征在于,其包括以下工序:
(1)在基板上形成有机下层膜,在所述有机下层膜上形成含硅中间膜,进一步在所述含硅中间膜上形成上层抗蚀剂膜的工序;
(2)对所述上层抗蚀剂膜进行曝光、显影,形成上层抗蚀剂图案的工序;
(3)将形成有所述上层抗蚀剂图案的所述上层抗蚀剂膜作为掩模,通过干法蚀刻在所述含硅中间膜上转印所述上层抗蚀剂图案,进一步,将转印有所述上层抗蚀剂图案的所述含硅中间膜作为掩模,通过干法蚀刻在所述有机下层膜上转印所述上层抗蚀剂图案,形成有机下层膜图案的工序;
(4)以覆盖所述有机下层膜图案的方式,通过CVD法或ALD法形成无机硅膜的工序;
(5)通过干法蚀刻去除所述无机硅膜的一部分,使所述有机下层膜图案的上部露出的工序;及
(6)使用剥离液去除所述有机下层膜图案,形成图案节距为所述上层抗蚀剂图案的1/2的无机硅膜图案的工序。
2.根据权利要求1所述的图案形成方法,其特征在于,所述无机硅膜由多晶硅、非晶硅、氧化硅、氮化硅、氮氧化硅、碳化硅或这些物质的复合材料形成。
3.根据权利要求1所述的图案形成方法,其特征在于,在所述工序(1)中,在所述上层抗蚀剂膜上进一步形成防水性涂布膜。
4.根据权利要求2所述的图案形成方法,其特征在于,在所述工序(1)中,在所述上层抗蚀剂膜上进一步形成防水性涂布膜。
5.根据权利要求1所述的图案形成方法,其特征在于,在所述工序(3)中,所述有机下层膜图案为在所述有机下层膜上残留有所述含硅中间膜的部分。
6.根据权利要求2所述的图案形成方法,其特征在于,在所述工序(3)中,所述有机下层膜图案为在所述有机下层膜上残留有所述含硅中间膜的部分。
7.根据权利要求3所述的图案形成方法,其特征在于,在所述工序(3)中,所述有机下层膜图案为在所述有机下层膜上残留有所述含硅中间膜的部分。
8.根据权利要求4所述的图案形成方法,其特征在于,在所述工序(3)中,所述有机下层膜图案为在所述有机下层膜上残留有所述含硅中间膜的部分。
9.根据权利要求1所述的图案形成方法,其特征在于,在所述工序(3)中,所述有机下层膜图案为未在所述有机下层膜上残留所述含硅中间膜的部分。
10.根据权利要求2所述的图案形成方法,其特征在于,在所述工序(3)中,所述有机下层膜图案为未在所述有机下层膜上残留所述含硅中间膜的部分。
11.根据权利要求3所述的图案形成方法,其特征在于,在所述工序(3)中,所述有机下层膜图案为未在所述有机下层膜上残留所述含硅中间膜的部分。
12.根据权利要求4所述的图案形成方法,其特征在于,在所述工序(3)中,所述有机下层膜图案为未在所述有机下层膜上残留所述含硅中间膜的部分。
13.根据权利要求1~12中任一项所述的图案形成方法,其特征在于,在所述工序(6)中,剥离液含有过氧化氢、硫酸中的任意一种或两种。
14.根据权利要求1~12中任一项所述的图案形成方法,其特征在于,所述含硅中间膜由硅中间膜形成用组合物形成,所述硅中间膜形成用组合物含有具有交联性有机结构的化合物。
15.根据权利要求14所述的图案形成方法,其特征在于,所述交联性有机结构为选自环氧乙烷环、氧杂环丁烷环、羟基或羧基中的一种以上。
16.根据权利要求14所述的图案形成方法,其特征在于,所述硅中间膜形成用组合物进一步含有通过热、光中的一种或两种而产生酸的产酸剂。
17.根据权利要求15所述的图案形成方法,其特征在于,所述硅中间膜形成用组合物进一步含有通过热、光中的一种或两种而产生酸的产酸剂。
18.根据权利要求14所述的图案形成方法,其特征在于,所述硅中间膜形成用组合物进一步含有交联剂。
19.根据权利要求15所述的图案形成方法,其特征在于,所述硅中间膜形成用组合物进一步含有交联剂。
CN201910864595.8A 2018-09-13 2019-09-12 图案形成方法 Pending CN110895380A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018171333A JP6981945B2 (ja) 2018-09-13 2018-09-13 パターン形成方法
JP2018-171333 2018-09-13

Publications (1)

Publication Number Publication Date
CN110895380A true CN110895380A (zh) 2020-03-20

Family

ID=67734543

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910864595.8A Pending CN110895380A (zh) 2018-09-13 2019-09-12 图案形成方法

Country Status (6)

Country Link
US (1) US20200090935A1 (zh)
EP (1) EP3623867A1 (zh)
JP (1) JP6981945B2 (zh)
KR (1) KR102290945B1 (zh)
CN (1) CN110895380A (zh)
TW (1) TWI722561B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113725081A (zh) * 2021-08-30 2021-11-30 上海华力微电子有限公司 一种改善nand闪存有源区光刻显影缺陷的方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220091513A1 (en) * 2020-09-18 2022-03-24 Applied Materials, Inc. Film structure for electric field assisted bake process
JP2024068637A (ja) * 2022-11-08 2024-05-20 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、及びパターン形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101878451A (zh) * 2007-11-30 2010-11-03 日产化学工业株式会社 具有封端异氰酸酯基且含有硅的形成抗蚀剂下层膜的组合物
US20130034963A1 (en) * 2011-08-02 2013-02-07 Chung Byung-Hong Methods of forming fine patterns for semiconductor device
JP2018018038A (ja) * 2016-07-29 2018-02-01 富士フイルム株式会社 パターン形成方法及びこれを用いた電子デバイスの製造方法

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4288776B2 (ja) 1999-08-03 2009-07-01 Jsr株式会社 反射防止膜形成組成物
JP3852107B2 (ja) 2000-11-14 2006-11-29 Jsr株式会社 反射防止膜形成組成物
JP4729803B2 (ja) 2001-03-29 2011-07-20 Jsr株式会社 多層レジストプロセス用下層膜形成組成物
JP3981825B2 (ja) 2002-12-24 2007-09-26 信越化学工業株式会社 パターン形成方法及び下層膜形成材料
JP4134760B2 (ja) 2003-03-04 2008-08-20 Jsr株式会社 反射防止膜形成組成物および反射防止膜
JP4369203B2 (ja) 2003-03-24 2009-11-18 信越化学工業株式会社 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
JP4430986B2 (ja) 2003-06-03 2010-03-10 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP4700929B2 (ja) 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP4206851B2 (ja) 2003-07-23 2009-01-14 Jsr株式会社 反射防止膜形成組成物及び反射防止膜の形成方法
JP4355943B2 (ja) 2003-10-03 2009-11-04 信越化学工業株式会社 フォトレジスト下層膜形成材料及びパターン形成方法
JP2005197561A (ja) 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
JP4388429B2 (ja) 2004-02-04 2009-12-24 信越化学工業株式会社 レジスト下層膜材料ならびにパターン形成方法
JP4491283B2 (ja) 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
JP4553113B2 (ja) * 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
JP4539845B2 (ja) 2005-03-17 2010-09-08 信越化学工業株式会社 フォトレジスト下層膜形成材料及びパターン形成方法
JP4639915B2 (ja) 2005-04-01 2011-02-23 Jsr株式会社 レジスト下層膜用組成物
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP4666166B2 (ja) 2005-11-28 2011-04-06 信越化学工業株式会社 レジスト下層膜材料及びパターン形成方法
JP4659678B2 (ja) 2005-12-27 2011-03-30 信越化学工業株式会社 フォトレジスト下層膜形成材料及びパターン形成方法
JP4638380B2 (ja) 2006-01-27 2011-02-23 信越化学工業株式会社 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
TWI414893B (zh) 2006-03-14 2013-11-11 Jsr Corp 底層膜形成用組成物及圖型之形成方法
JP4893402B2 (ja) 2006-03-27 2012-03-07 Jsr株式会社 微細パターン形成方法
JP4772618B2 (ja) 2006-07-31 2011-09-14 東京応化工業株式会社 パターン形成方法、金属酸化物膜形成用材料およびその使用方法
JP4823959B2 (ja) 2006-08-10 2011-11-24 信越化学工業株式会社 レジスト下層膜材料及びパターン形成方法
JP2008058591A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 基板処理方法および電子デバイスの製造方法
JP4910168B2 (ja) 2006-09-07 2012-04-04 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
JP4778535B2 (ja) 2007-04-06 2011-09-21 大阪瓦斯株式会社 フェノール樹脂およびその製造方法
JP4877101B2 (ja) 2007-07-02 2012-02-15 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
JP5370158B2 (ja) 2007-12-07 2013-12-18 三菱瓦斯化学株式会社 リソグラフィー用下層膜形成組成物及び多層レジストパターン形成方法
JP2009152243A (ja) * 2007-12-18 2009-07-09 Toshiba Corp 半導体装置の製造方法
JP4630906B2 (ja) 2008-02-29 2011-02-09 株式会社東芝 半導体装置の製造方法
JP5157560B2 (ja) 2008-03-21 2013-03-06 Jsr株式会社 レジスト下層膜形成用組成物及びそれを用いたパターン形成方法
JP5077026B2 (ja) 2008-04-02 2012-11-21 Jsr株式会社 レジスト下層膜形成用組成物及びそれを用いたデュアルダマシン構造の形成方法
JP5125825B2 (ja) 2008-07-07 2013-01-23 Jsr株式会社 多層レジストプロセス用下層膜形成組成物
JP5015892B2 (ja) * 2008-10-02 2012-08-29 信越化学工業株式会社 ケイ素含有膜形成用組成物、ケイ素含有膜形成基板及びパターン形成方法
JP5336306B2 (ja) 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
KR101766796B1 (ko) 2008-11-27 2017-08-09 닛산 가가쿠 고교 가부시키 가이샤 아웃가스 발생이 저감된 레지스트 하층막 형성 조성물
JP5385006B2 (ja) 2009-05-25 2014-01-08 信越化学工業株式会社 レジスト下層膜材料及びこれを用いたパターン形成方法
KR101860385B1 (ko) 2009-06-19 2018-05-23 닛산 가가쿠 고교 가부시키 가이샤 카바졸 노볼락 수지
KR101414278B1 (ko) 2009-11-13 2014-07-02 제일모직 주식회사 레지스트 하층막용 고분자, 이를 포함하는 레지스트 하층막 조성물 및 소자의 패턴 형성 방법
KR101344794B1 (ko) 2009-12-31 2014-01-16 제일모직주식회사 레지스트 하층막용 방향족 고리 함유 중합체 및 이를 포함하는 레지스트 하층막 조성물
JP5538941B2 (ja) 2010-02-18 2014-07-02 Jsr株式会社 レジスト下層膜形成方法、パターン形成方法、および組成物、レジスト下層膜形成材料用添加剤、架橋剤並びにレジスト下層膜
WO2011125839A1 (ja) 2010-03-31 2011-10-13 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
JP5229278B2 (ja) 2010-06-21 2013-07-03 信越化学工業株式会社 ナフタレン誘導体、レジスト下層膜材料、レジスト下層膜形成方法及びパターン形成方法
JP5556773B2 (ja) 2010-09-10 2014-07-23 信越化学工業株式会社 ナフタレン誘導体及びその製造方法、レジスト下層膜材料、レジスト下層膜形成方法及びパターン形成方法
KR101866828B1 (ko) 2010-10-14 2018-06-14 닛산 가가쿠 고교 가부시키 가이샤 폴리에테르 구조를 함유하는 수지를 포함하는 리소그래피용 레지스트 하층막 형성 조성물
KR101909222B1 (ko) 2010-12-09 2018-10-17 닛산 가가쿠 가부시키가이샤 수산기 함유 카바졸 노볼락 수지를 포함하는 레지스트 하층막 형성 조성물
JP5598489B2 (ja) 2011-03-28 2014-10-01 信越化学工業株式会社 ビフェニル誘導体、レジスト下層膜材料、レジスト下層膜形成方法及びパターン形成方法
US8513133B2 (en) 2011-03-31 2013-08-20 Jsr Corporation Composition for forming resist underlayer film and method for forming pattern
JP2014157169A (ja) 2011-06-24 2014-08-28 Nissan Chem Ind Ltd ポリヒドロキシベンゼンノボラック樹脂を含むレジスト下層膜形成組成物
JP6041104B2 (ja) 2011-07-07 2016-12-07 日産化学工業株式会社 脂環式骨格含有カルバゾール樹脂を含むレジスト下層膜形成組成物
JP5453361B2 (ja) * 2011-08-17 2014-03-26 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
KR101989313B1 (ko) 2011-09-29 2019-06-14 닛산 가가쿠 가부시키가이샤 디아릴아민 노볼락 수지
WO2013047106A1 (ja) 2011-09-30 2013-04-04 三菱瓦斯化学株式会社 フルオレン構造を有する樹脂及びリソグラフィー用下層膜形成材料
JP5915452B2 (ja) 2011-09-30 2016-05-11 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法
WO2013080929A1 (ja) 2011-12-01 2013-06-06 Jsr株式会社 多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法
US9725389B2 (en) 2011-12-30 2017-08-08 Cheil Industries, Inc. Monomer for a hardmask composition, hardmask composition comprising the monomer, and method for forming a pattern using the hardmask composition
JP6137486B2 (ja) 2012-02-01 2017-05-31 日産化学工業株式会社 複素環を含む共重合樹脂を含むレジスト下層膜形成組成物
US8993215B2 (en) 2012-03-27 2015-03-31 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing phenylindole-containing novolac resin
JP5833492B2 (ja) * 2012-04-23 2015-12-16 信越化学工業株式会社 ケイ素化合物、ポリシロキサン化合物、これを含むレジスト下層膜形成用組成物及びパターン形成方法
JP5894106B2 (ja) 2012-06-18 2016-03-23 信越化学工業株式会社 レジスト下層膜形成用化合物、これを用いたレジスト下層膜材料、レジスト下層膜形成方法、パターン形成方法
US9244353B2 (en) 2012-08-10 2016-01-26 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition
US8759220B1 (en) * 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
JP2016145849A (ja) 2013-06-17 2016-08-12 日産化学工業株式会社 トリヒドロキシナフタレンノボラック樹脂を含むレジスト下層膜形成組成物
WO2014208324A1 (ja) 2013-06-24 2014-12-31 Jsr株式会社 膜形成用組成物、レジスト下層膜及びその形成方法、パターン形成方法並びに化合物
CN105324719A (zh) 2013-06-25 2016-02-10 日产化学工业株式会社 包含吡咯酚醛清漆树脂的抗蚀剂下层膜形成用组合物
JP6114157B2 (ja) * 2013-10-02 2017-04-12 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
CN104710588B (zh) 2013-12-12 2017-04-12 罗门哈斯电子材料有限公司 用于底层的芳族树脂
JP6213328B2 (ja) 2014-03-20 2017-10-18 Jsr株式会社 膜形成用組成物、レジスト下層膜及びその形成方法並びにパターン形成方法
KR20170008735A (ko) 2014-05-08 2017-01-24 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 리소그래피용 막형성재료, 리소그래피용 막형성용 조성물, 리소그래피용 막, 패턴 형성방법 및 정제방법
WO2015194273A1 (ja) 2014-06-16 2015-12-23 日産化学工業株式会社 レジスト下層膜形成組成物
US9880469B2 (en) 2014-07-15 2018-01-30 Rohm And Haas Electronic Materials Llc Resins for underlayers
JP6248865B2 (ja) 2014-08-25 2017-12-20 Jsr株式会社 膜形成用組成物、膜、パターンが形成された基板の製造方法及び化合物
JP6243815B2 (ja) * 2014-09-01 2017-12-06 信越化学工業株式会社 半導体装置基板の製造方法
JP6264246B2 (ja) 2014-09-19 2018-01-24 Jsr株式会社 膜形成用組成物、膜、パターンが形成された基板の製造方法及び化合物
JP6641879B2 (ja) 2015-03-03 2020-02-05 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
US10577323B2 (en) 2015-03-13 2020-03-03 Mitsubishi Gas Chemical Company, Inc. Compound, resin, material for forming underlayer film for lithography, composition for forming underlayer film for lithography, underlayer film for lithography, pattern forming method, and method for purifying compound or resin
JP6372887B2 (ja) 2015-05-14 2018-08-15 信越化学工業株式会社 有機膜材料、有機膜形成方法、パターン形成方法、及び化合物
JP6404799B2 (ja) 2015-06-04 2018-10-17 信越化学工業株式会社 レジスト下層膜材料及びパターン形成方法
JP6714492B2 (ja) 2015-12-24 2020-06-24 信越化学工業株式会社 有機膜形成用化合物、有機膜形成用組成物、有機膜形成方法、及びパターン形成方法
JP6714493B2 (ja) 2015-12-24 2020-06-24 信越化学工業株式会社 有機膜形成用化合物、有機膜形成用組成物、有機膜形成方法、及びパターン形成方法
US10790146B2 (en) * 2016-12-05 2020-09-29 Rohm And Haas Electronic Materials Llc Aromatic resins for underlayers
JP6894364B2 (ja) * 2017-12-26 2021-06-30 信越化学工業株式会社 有機膜形成用組成物、半導体装置製造用基板、有機膜の形成方法、及びパターン形成方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101878451A (zh) * 2007-11-30 2010-11-03 日产化学工业株式会社 具有封端异氰酸酯基且含有硅的形成抗蚀剂下层膜的组合物
US20130034963A1 (en) * 2011-08-02 2013-02-07 Chung Byung-Hong Methods of forming fine patterns for semiconductor device
JP2018018038A (ja) * 2016-07-29 2018-02-01 富士フイルム株式会社 パターン形成方法及びこれを用いた電子デバイスの製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113725081A (zh) * 2021-08-30 2021-11-30 上海华力微电子有限公司 一种改善nand闪存有源区光刻显影缺陷的方法

Also Published As

Publication number Publication date
EP3623867A1 (en) 2020-03-18
JP2020042224A (ja) 2020-03-19
TW202020575A (zh) 2020-06-01
KR102290945B1 (ko) 2021-08-17
KR20200031052A (ko) 2020-03-23
JP6981945B2 (ja) 2021-12-17
TWI722561B (zh) 2021-03-21
US20200090935A1 (en) 2020-03-19

Similar Documents

Publication Publication Date Title
TWI798185B (zh) 微影圖案化的方法
CN102338982B (zh) 形成光刻图案的方法
JP5038354B2 (ja) ケイ素含有反射防止膜形成用組成物、ケイ素含有反射防止膜形成基板及びパターン形成方法
JP5756134B2 (ja) 金属酸化物含有膜形成用組成物及びパターン形成方法
TWI515767B (zh) 使用聚矽氮烷以形成反向曝光影像之硬罩製程
CN101021684B (zh) 形成掩模坯板用抗蚀剂下层的膜的组合物、掩模坯板和掩模
US9696629B2 (en) Photoresist pattern trimming compositions and methods
US8313889B2 (en) Double patterning method using metallic compound mask layer
KR101568570B1 (ko) 패턴 형성 방법
CN110895380A (zh) 图案形成方法
TWI576668B (zh) 含鈦之光阻下層膜形成用組成物及圖案形成方法
TW201305737A (zh) 光阻下層膜形成用組成物及圖案形成方法
KR101783513B1 (ko) 규소 함유 레지스트 하층막 형성용 조성물 및 패턴 형성 방법
TWI737856B (zh) 微影圖案化方法
TW536734B (en) Process for manufacturing a microelectronic device
JP2004205699A (ja) パターン形成方法及びパターン形成材料
KR101831745B1 (ko) 자외선흡수제, 레지스트 하층막 형성용 조성물, 및 패턴 형성방법
TW202117447A (zh) 負光阻及其顯影的方法
KR20070122011A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination