WO2013080929A1 - 多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法 - Google Patents

多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法 Download PDF

Info

Publication number
WO2013080929A1
WO2013080929A1 PCT/JP2012/080518 JP2012080518W WO2013080929A1 WO 2013080929 A1 WO2013080929 A1 WO 2013080929A1 JP 2012080518 W JP2012080518 W JP 2012080518W WO 2013080929 A1 WO2013080929 A1 WO 2013080929A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
underlayer film
resist
resist underlayer
forming
Prior art date
Application number
PCT/JP2012/080518
Other languages
English (en)
French (fr)
Inventor
慎也 中藤
暁 村上
嘉夫 滝本
和彦 香村
元成 正之
溝口 勝久
Original Assignee
Jsr株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jsr株式会社 filed Critical Jsr株式会社
Priority to KR1020147014323A priority Critical patent/KR20140104420A/ko
Publication of WO2013080929A1 publication Critical patent/WO2013080929A1/ja
Priority to US14/290,744 priority patent/US20150198882A9/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0384Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the main chain of the photopolymer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • B05D3/0254After-treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/34Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives
    • C08G65/38Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols
    • C08G65/40Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols from phenols (I) and other compounds (II), e.g. OH-Ar-OH + X-Ar-X, where X is halogen atom, i.e. leaving group
    • C08G65/4006(I) or (II) containing elements other than carbon, oxygen, hydrogen or halogen as leaving group (X)
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers

Definitions

  • the present invention relates to a resist underlayer film forming composition used in a multilayer resist process, a resist underlayer film, a method for forming the resist underlayer film, and a pattern forming method.
  • a multilayer resist process is used to obtain a high degree of integration.
  • a resist underlayer film forming composition is applied on a substrate to be processed to form a resist underlayer film, and the resist composition is applied onto the resist underlayer film to form a resist film.
  • the resist film is exposed through a mask pattern with a reduction projection exposure apparatus (stepper) or the like, and developed with an appropriate developer to form a resist pattern.
  • the resist underlayer film is dry-etched using the resist pattern as a mask, and the substrate to be processed is further dry-etched using the obtained resist underlayer film pattern as a mask, whereby a desired pattern can be formed on the substrate to be processed.
  • the resist underlayer film used in such a multilayer resist process is required to have general characteristics such as optical characteristics and etching resistance.
  • the resist underlayer film using the above conventional composition has difficulty in heat resistance, and due to the low heat resistance, the resist underlayer film component is heated by the resist underlayer film formation. May sublimate, and the sublimated components may reattach to the substrate and deteriorate the manufacturing yield of semiconductor devices.
  • the resist underlayer film is also required to improve solvent resistance and bending resistance.
  • the present invention has been made based on the above circumstances, and its purpose is to sufficiently satisfy general characteristics such as etching resistance and to form a resist underlayer film having high heat resistance and solvent resistance.
  • Another object of the present invention is to provide a resist underlayer film forming composition used in such a multilayer resist process, a resist underlayer film using this composition, a method for forming the resist underlayer film, and a pattern forming method using the composition.
  • composition for forming a resist underlayer film used in a multilayer resist process containing a polymer having a structural unit (I) represented by the following formula (1) (hereinafter also referred to as “[A] polymer”) (Hereinafter also simply referred to as “resist underlayer film forming composition” or “composition”).
  • [A] polymer a polymer having a structural unit (I) represented by the following formula (1)
  • resist underlayer film forming composition hereinafter also simply referred to as “resist underlayer film forming composition” or “composition”.
  • Ar 1 , Ar 2 , Ar 3 and Ar 4 are each independently a divalent aromatic hydrocarbon group or a divalent heteroaromatic group, provided that the above aromatic carbonization is performed.
  • R 1 is a single bond or a divalent hydrocarbon group having 1 to 20 carbon atoms, provided that Some or all of the hydrogen atoms of the divalent hydrocarbon group having 1 to 20 carbon atoms may be substituted, and the divalent hydrocarbon group having 1 to 20 carbon atoms may be an ester group or an ether group.
  • a carbonyl group may be present in the structure, Y is a carbonyl group or a sulfonyl group, m is 0 or 1, and n is 0 or 1.
  • the resist underlayer film forming composition contains the [A] polymer, so that the resist underlayer film formed from the composition sufficiently satisfies general characteristics such as optical characteristics and etching resistance, and additionally has high heat resistance. Property, solvent resistance and bending resistance.
  • Ar 1 , Ar 2 , Ar 3 and Ar 4 in the above formula (1) are preferably each independently represented by the following formula (2).
  • Q 1 is a (k + 2) -valent aromatic hydrocarbon group or a (k + 2) -valent heteroaromatic group.
  • R 2 is a halogen atom, a hydroxy group, a cyano group, a formyl group, or A monovalent hydrocarbon group having 1 to 20 carbon atoms, provided that a part or all of the hydrogen atoms of the monovalent hydrocarbon group having 1 to 20 carbon atoms are a halogen atom, a hydroxy group, a cyano group, or (It may be substituted with a formyl group.
  • K is an integer of 0 to 6. However, when k is 2 or more, a plurality of R 2 may be the same or different.
  • R 1 in the above formula (1) is preferably represented by a single bond or the following formula (3).
  • Q 2 is an (a + 2) -valent aromatic hydrocarbon group or an (a + 2) -valent heteroaromatic group.
  • Q 3 is a (b + 2) -valent aromatic hydrocarbon group or ( b + 2) -valent heteroaromatic group
  • R 3 and R 4 each independently represents a halogen atom, a hydroxy group or a cyano group, a is an integer of 0 to 4, and b is 0 to 4 is an integer .
  • R 3 and optionally R 4 is plural respective plurality of R 3 and R 4 may each be the same or different.
  • the structural unit (I) contains the specific group, the heat resistance of the resist underlayer film formed from the resist underlayer film forming composition can be further enhanced.
  • the resist underlayer film forming composition preferably further contains a [B] solvent.
  • paintability can be improved because the said composition for resist lower layer film formation contains a [B] solvent further.
  • the resist underlayer film of the present invention is formed from the resist underlayer film forming composition.
  • the resist underlayer film sufficiently satisfies general characteristics such as etching resistance and has high heat resistance, solvent resistance and bending resistance.
  • the resist underlayer film forming method of the present invention comprises: (1) The process which forms a coating film on a to-be-processed substrate using the said composition for resist underlayer film formation, (2) It has the process of heating the said coating film and forming a resist underlayer film.
  • the resist underlayer film forming method can form a resist underlayer film having the above-mentioned specific steps, sufficiently satisfying general characteristics such as etching resistance, and additionally having high heat resistance, solvent resistance and bending resistance. .
  • the pattern forming method of the present invention comprises: (1) forming a resist underlayer film on a substrate to be processed using the resist underlayer film forming composition; (2) forming a resist film on the upper surface side of the resist underlayer film using a resist composition; (3) a step of exposing the resist film by selective irradiation; (4) a step of developing the exposed resist film to form a resist pattern; and (5) a step of sequentially dry-etching the resist underlayer film and the substrate to be processed using the resist pattern as a mask.
  • the pattern forming method has the above specific steps to sufficiently satisfy general characteristics such as etching resistance and to easily and reliably form a resist underlayer film having high heat resistance, solvent resistance and bending resistance. Can do. As a result, the pattern forming method contributes to the formation of a finer pattern on the substrate to be processed.
  • (1 ′) further includes a step of forming an intermediate layer on the resist underlayer film.
  • the intermediate layer may be dry etched.
  • the pattern forming method further includes the above specific step, whereby, for example, an intermediate layer having a desired function such as an antireflection function and etching resistance can be formed. As a result, it contributes to the formation of a finer pattern on the substrate to be processed.
  • the composition for forming a resist underlayer film used in the multilayer resist process of the present invention it is possible to form a resist underlayer film that sufficiently satisfies general characteristics such as etching resistance and has high heat resistance and solvent resistance. it can. Therefore, the composition for forming a resist underlayer film, the resist underlayer film, a method for forming the resist underlayer, and a pattern forming method are suitably used for a pattern forming process using a multilayer resist process in a semiconductor device in which further miniaturization of the pattern proceeds. be able to.
  • composition for forming a resist underlayer film used in the multilayer resist process of the present invention contains a [A] polymer.
  • the said composition for resist lower layer film formation may contain a [B] solvent as a suitable component.
  • the resist underlayer film forming composition is not limited to the effects of the present invention, and other such as [C] acid generator, [D] cross-linking agent, [E] surfactant and [F] adhesion aid. These optional components may be contained.
  • the said composition for resist lower layer film formation may contain 2 or more types of [A] polymers. Hereinafter, each component will be described in detail.
  • the polymer is a polymer having the structural unit (I).
  • the polymer may contain other structural units as long as the effects of the present invention are not impaired.
  • the [A] polymer may have 2 or more types of each structural unit, and the [A] polymer in this case may be any of a random copolymer and a block copolymer.
  • each structural unit will be described in detail.
  • the structural unit (I) is a structural unit represented by the above formula (1).
  • the resist underlayer film formed from the resist underlayer film forming composition sufficiently satisfies general characteristics such as etching resistance, and additionally has high heat resistance and resistance. Solvent resistance and bending resistance.
  • the high heat resistance and the like are stabilized by the two bonds of the ether group being directly bonded to the aromatic hydrocarbon group or heteroaromatic group in the main chain of the [A] polymer. It is presumed to be caused by
  • Ar 1 , Ar 2 , Ar 3 and Ar 4 are each independently a divalent aromatic hydrocarbon group or a divalent heteroaromatic group. However, part or all of the hydrogen atoms of the aromatic hydrocarbon group and heteroaromatic group may be substituted.
  • R 1 is a single bond or a divalent hydrocarbon group having 1 to 20 carbon atoms. However, part or all of the hydrogen atoms of the divalent hydrocarbon group having 1 to 20 carbon atoms may be substituted.
  • the divalent hydrocarbon group having 1 to 20 carbon atoms may have an ester group, an ether group or a carbonyl group in the structure.
  • Y is a carbonyl group or a sulfonyl group.
  • m is 0 or 1.
  • n is 0 or 1.
  • the divalent aromatic hydrocarbon group represented by Ar 1 , Ar 2 , Ar 3 and Ar 4 is preferably a divalent aromatic hydrocarbon group having 6 to 20 carbon atoms, such as a phenylene group or naphthylene. Group, anthranylene group and the like.
  • the divalent heteroaromatic group represented by Ar 1 , Ar 2 , Ar 3 and Ar 4 is preferably a divalent heteroaromatic group having 3 to 20 carbon atoms, such as furan, pyrrole, thiophene, Examples include groups obtained by removing two hydrogen atoms from a heteroaromatic compound such as phosphole, pyrazole, oxazole, isoxazole, thiazole, pyridine, pyrazine, pyrimidine, pyridazine, triazine, indole, quinoline and acridine.
  • Examples of the substituent that may be substituted with the divalent aromatic hydrocarbon group and the divalent heteroaromatic group include, for example, a halogen atom, a hydroxy group, a cyano group, a nitro group, a formyl group, or a monovalent organic group. Etc.
  • halogen atom examples include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom.
  • Examples of the monovalent organic group include a group consisting of —CO—, —COO—, —OCO—, —O—, —NR—, —CS—, —S—, —SO— and —SO 2 —.
  • the thing which the hydrogen atom which group has is substituted by the substituent is mentioned.
  • R is a hydrogen atom or a monovalent organic group having 1 to 10 carbon atoms.
  • Examples of the substituent include a hydroxy group, a cyano group, a carboxy group, and an ethynyl group.
  • the monovalent aromatic group having 3 to 20 carbon atoms is preferably a monovalent aromatic hydrocarbon group having 6 to 20 carbon atoms or a monovalent heteroaromatic group having 3 to 20 carbon atoms.
  • the monovalent aromatic hydrocarbon group having 6 to 20 carbon atoms include a phenyl group, a naphthyl group, and an anthranyl group.
  • the monovalent heteroaromatic group having 3 to 20 carbon atoms include furan, pyrrole, thiophene, phosphole, pyrazole, oxazole, isoxazole, thiazole, pyridine, pyrazine, pyrimidine, pyridazine, triazine, indole, quinoline. And groups obtained by removing one hydrogen atom from a heteroaromatic compound such as acridine.
  • Examples of the monovalent group in combination with the monovalent aromatic group of 3 to 20 include a phenoxy group, a naphthyloxy group, an anthranyloxy group, and an anilino group.
  • Ar 1 , Ar 2 , Ar 3 and Ar 4 in the above formula (1) are preferably each independently a group represented by the above formula (2).
  • Ar 1 , Ar 2 , Ar 3 and Ar 4 into the specific groups, respectively the heat resistance and the like of the resist underlayer film can be further improved.
  • Q 1 is a (k + 2) -valent aromatic hydrocarbon group or a (k + 2) -valent heteroaromatic group.
  • R 2 is a halogen atom, a hydroxy group, a cyano group, a formyl group, or a monovalent hydrocarbon group having 1 to 20 carbon atoms. However, part or all of the hydrogen atoms contained in the monovalent hydrocarbon group having 1 to 20 carbon atoms may be substituted with a halogen atom, a hydroxy group, a cyano group, or a formyl group.
  • k is an integer of 0 to 6. However, when k is 2 or more, the plurality of R 2 may be the same or different.
  • Examples of the (k + 2) -valent aromatic hydrocarbon group represented by Q 1 include a group obtained by removing k hydrogen atoms from a divalent aromatic hydrocarbon group.
  • the divalent aromatic hydrocarbon group for example, the divalent aromatic hydrocarbon group exemplified for Ar 1 , Ar 2 , Ar 3 and Ar 4 can be applied.
  • Examples of the (k + 2) -valent heteroaromatic group represented by Q 1 include a group in which k hydrogen atoms have been removed from a divalent heteroaromatic group.
  • the divalent heteroaromatic group for example, the divalent heteroaromatic groups exemplified for Ar 1 , Ar 2 , Ar 3 and Ar 4 can be applied.
  • Examples of the halogen atom represented by R 2 include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom.
  • Examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms represented by R 2 include, for example, an alkyl group having 1 to 20 carbon atoms, a monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms, and carbon. Examples thereof include monovalent aromatic hydrocarbon groups of 6 to 20.
  • alkyl group having 1 to 20 carbon atoms examples include linear alkyl groups such as methyl group, ethyl group, n-propyl group, and n-butyl group; i-propyl group, i-butyl group, sec- Examples thereof include branched alkyl groups such as a butyl group and a t-butyl group.
  • Examples of the monovalent alicyclic hydrocarbon group having 3 to 20 carbon atoms include cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, cycloheptyl group, cyclooctyl group, cyclodecyl group, methylcyclohexyl group, ethyl Monocyclic saturated hydrocarbon group such as cyclohexyl group; cyclobutenyl group, cyclopentenyl group, cyclohexenyl group, cycloheptenyl group, cyclooctenyl group, cyclodecenyl group, cyclopentadienyl group, cyclohexadienyl group, cyclooctadienyl group, cyclo Monocyclic unsaturated hydrocarbon group such as decadienyl group; bicyclo [2.2.1] heptyl group, bicyclo [2.2.2] oc
  • Examples of the monovalent aromatic hydrocarbon group having 6 to 20 carbon atoms include a phenyl group, a biphenyl group, and a naphthyl group.
  • Q 1 in Ar 1 and Ar 2 each independently has a benzene ring or a naphthalene ring.
  • Examples of the divalent hydrocarbon group having 1 to 20 carbon atoms represented by R 1 include, for example, an alkanediyl group having 1 to 20 carbon atoms, a divalent alicyclic hydrocarbon group having 3 to 20 carbon atoms, Examples thereof include a divalent aromatic hydrocarbon group having 6 to 20 carbon atoms, or a divalent group obtained by combining two or more of these groups.
  • alkanediyl group having 1 to 20 carbon atoms examples include methanediyl group, ethanediyl group, propanediyl group, butanediyl group, pentanediyl group, and hexanediyl group.
  • Examples of the divalent alicyclic hydrocarbon group having 3 to 20 carbon atoms include monocyclic saturated hydrocarbon groups such as cyclopropanediyl group, cyclobutanediyl group, and cyclopentanediyl group; cyclobutenediyl group, cyclopentene Monocyclic unsaturated hydrocarbon group such as diyl group, cyclohexenediyl group; bicyclo [2.2.1] heptanediyl group, bicyclo [2.2.2] octanediyl group, tricyclo [5.2.1.0 2 , 6 ] decanediyl group and other polycyclic saturated hydrocarbon groups; bicyclo [2.2.1] heptenediyl group, bicyclo [2.2.2] octenediyl group, tricyclo [5.2.1.0 2,6 ] And polycyclic unsaturated hydrocarbon groups such as decenediyl groups.
  • monocyclic saturated hydrocarbon groups such as cyclo
  • Examples of the divalent aromatic hydrocarbon group having 6 to 20 carbon atoms include a phenylene group, a naphthylene group, and an anthranylene group.
  • divalent group in which two or more of these groups are combined include, for example, the above alkanediyl group having 1 to 20 carbon atoms, a divalent alicyclic hydrocarbon group having 3 to 20 carbon atoms, and 6 to 6 carbon atoms.
  • Examples of the substituent that may be substituted with the divalent hydrocarbon group having 1 to 20 carbon atoms represented by R 1 include, for example, the above divalent aromatic hydrocarbon group and divalent heteroaromatic group.
  • the group illustrated as a substituent which may be substituted can be applied.
  • M in the above formula (1) is 0, or m in the above formula (1) is 1 and R 1 in the above formula (1) is preferably represented by a single bond or the above formula (3).
  • structural unit (I ′) the structural unit (I ′) having this structure is also referred to as “structural unit (I ′”).
  • Q 2 is an (a + 2) -valent aromatic hydrocarbon group or an (a + 2) -valent heteroaromatic group.
  • Q 3 is a (b + 2) -valent aromatic hydrocarbon group or a (b + 2) -valent heteroaromatic group.
  • R 3 and R 4 are each independently a halogen atom, a hydroxy group or a cyano group.
  • a is an integer of 0 to 4.
  • b is an integer of 0 to 4. If R 3 and R 4 are a plurality of each of the plurality of R 3 and R 4 may each be the same or different.
  • Examples of the (a + 2) -valent aromatic hydrocarbon group represented by Q 2 include a group obtained by removing a hydrogen atom from a divalent aromatic hydrocarbon group.
  • the divalent aromatic hydrocarbon group for example, the divalent aromatic hydrocarbon groups exemplified for Ar 1 , Ar 2 , Ar 3 and Ar 4 can be applied.
  • Examples of the (a + 2) -valent heteroaromatic group represented by Q 2 include a group obtained by removing a hydrogen atom from a divalent heteroaromatic group.
  • the divalent heteroaromatic group for example, the divalent heteroaromatic groups exemplified for Ar 1 , Ar 2 , Ar 3 and Ar 4 can be applied.
  • Examples of the (b + 2) -valent aromatic hydrocarbon group represented by Q 3 include groups obtained by removing b hydrogen atoms from a divalent aromatic hydrocarbon group.
  • the divalent aromatic hydrocarbon group for example, the divalent aromatic hydrocarbon groups exemplified for Ar 1 , Ar 2 , Ar 3 and Ar 4 can be applied.
  • Examples of the (b + 2) -valent heteroaromatic group represented by Q 3 include a group obtained by removing b hydrogen atoms from a divalent heteroaromatic group.
  • the divalent heteroaromatic group for example, the divalent heteroaromatic groups exemplified for Ar 1 , Ar 2 , Ar 3 and Ar 4 can be applied.
  • halogen atom represented by R 3 and R 4 for example, those exemplified as the halogen atom represented by R 2 can be applied.
  • Examples of the structural unit (I) include structural units represented by the following formulas (1-1) to (1-15).
  • the structural units (I ′) are preferably the formulas (1-1) to (1-14).
  • the content ratio of the structural unit (I) to the total structural unit in the polymer is preferably 60 mol% or more and 100 mol% or less, and more preferably 80 mol% or more and 100 mol% or less. Furthermore, it is particularly preferable that the content ratio of the structural unit (I ′) with respect to all the structural units in the [A] polymer is 80 mol% or more and 100 mol% or less.
  • the polymer may contain other structural units as long as the effects of the present invention are not impaired.
  • the component (A) containing a compound represented by the following formula (4) is reacted with an alkali metal or an alkali metal compound in an organic solvent to react with the alkali of the component (A).
  • the obtained alkali metal salt is reacted with a component (B) containing a compound represented by the following formula (5).
  • the alkali metal salt of a component (A) and a component (B) can also be made to react by performing reaction with a component (A) and an alkali metal or an alkali metal compound in presence of a component (B).
  • the polymer obtained by the reaction can be recovered by a reprecipitation method.
  • the reprecipitation solvent an alcohol solvent or the like can be used.
  • Ar 1, Ar 2, R 1 and m are as defined in the above formula (1).
  • Ar ⁇ 3 >, Ar ⁇ 4 >, Y and n are synonymous with the said Formula (1).
  • X is each independently a halogen atom.
  • halogen atom examples include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom. Among these, a fluorine atom and a chlorine atom are preferable.
  • alkali metal used in the reaction examples include lithium, potassium, sodium and the like.
  • alkali metal compound used in the reaction examples include alkali metal hydrides such as lithium hydride, potassium hydride and sodium hydride; alkali metal hydroxides such as lithium hydroxide, potassium hydroxide and sodium hydroxide; lithium carbonate Alkali metal carbonates such as potassium carbonate and sodium carbonate; alkali metal hydrogen carbonates such as lithium hydrogen carbonate, potassium hydrogen carbonate and sodium hydrogen carbonate. These can be used alone or in combination of two or more.
  • the amount of the metal atom in the alkali metal or the alkali metal compound is usually 1 to 3 times equivalent to all —OH in the component (A). It is used in an amount of 1 to 2 equivalents, more preferably 1.2 to 1.5 equivalents.
  • Examples of the organic solvent used in the reaction include dimethylacetamide, dimethylformamide, N-methyl-2-pyrrolidone, 1,3-dimethyl-2-imidazolidinone, ⁇ -butyrolactone, sulfolane, dimethyl sulfoxide, diethyl sulfoxide, dimethyl
  • Examples include sulfone, diethyl sulfone, diisopropyl sulfone, diphenyl sulfone, diphenyl ether, benzophenone, dialkoxybenzene (alkoxy group having 1 to 4 carbon atoms), trialkoxybenzene (alkoxy group having 1 to 4 carbon atoms), and the like.
  • polar organic solvents having a high dielectric constant such as N-methyl-2-pyrrolidone, dimethylacetamide, sulfolane, diphenylsulfone, and dimethylsulfoxide are preferable.
  • the said organic solvent can be used individually or in combination of 2 or more types.
  • a solvent azeotropic with water such as benzene, toluene, xylene, hexane, cyclohexane, octane, chlorobenzene, dioxane, tetrahydrofuran, anisole, phenetole and the like can be further used. These can be used alone or in combination of two or more.
  • component (A) includes at least one compound represented by the following formula from the viewpoint of improving solubility in a solvent as a part of the compound represented by formula (4). May be.
  • the proportion of component (A) and component (B) used is preferably 45 mol% or more and 55 mol% or less when component (A) and component (B) are 100 mol% in total. 48 mol% or more and 50 mol% or less is more preferable, and 48 mol% or more and less than 50 mol% is particularly preferable.
  • Component (B) is preferably 45 mol% or more and 55 mol% or less, more preferably 50 mol% or more and 52 mol% or less, and particularly preferably more than 50 mol% and 52 mol% or less.
  • the reaction temperature is preferably 60 ° C to 250 ° C, more preferably 80 ° C to 200 ° C.
  • the reaction time is preferably 15 minutes to 100 hours, more preferably 1 hour to 24 hours.
  • the weight average molecular weight (Mw) in terms of polystyrene by gel permeation chromatography (GPC) of the polymer is preferably 1,000 to 20,000, more preferably 1,500 to 15,000, and more preferably 2,000 to 12,000 is particularly preferred.
  • the solvent is a suitable component that the resist underlayer film forming composition may contain.
  • the solvent is not particularly limited as long as it can dissolve or disperse the [A] polymer and optional components contained as necessary.
  • coatability can be improved.
  • solvents examples include alcohol solvents, ketone solvents, amide solvents, ether solvents, ester solvents, and the like.
  • a [B] solvent can be used individually or in combination of 2 or more types.
  • Examples of the alcohol solvent include methanol, ethanol, n-propanol, iso-propanol, n-butanol, iso-butanol, sec-butanol, t-butanol, n-pentanol, iso-pentanol and sec-pen.
  • Monoalcohol solvents such as tanol and t-pentanol; ethylene glycol, 1,2-propylene glycol, 1,3-butylene glycol, 2,4-pentanediol, 2-methyl-2,4-pentanediol, 2,
  • polyhydric alcohol solvents such as 5-hexanediol and 2,4-heptanediol.
  • ketone solvent examples include acetone, methyl ethyl ketone, methyl-n-propyl ketone, methyl-n-butyl ketone, diethyl ketone, methyl-iso-butyl ketone, methyl-n-pentyl ketone, ethyl-n-butyl ketone, methyl- aliphatic ketone solvents such as n-hexyl ketone, di-iso-butyl ketone and trimethylnonanone; cyclic ketone solvents such as cyclopentanone, cyclohexanone, cycloheptanone, cyclooctanone and methylcyclohexanone; 2,4-pentane Examples include dione, acetonyl acetone, diacetone alcohol, acetophenone, methyl n-amyl ketone, and the like.
  • amide solvent examples include 1,3-dimethyl-2-imidazolidinone, N-methylformamide, dimethylformamide, diethylformamide, acetamide, N-methylacetamide, dimethylacetamide, N-methylpropionamide, N- And methyl-2-pyrrolidone.
  • ether solvent examples include alkyl ethers of polyhydric alcohols such as ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, and ethylene glycol dimethyl ether; ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, propylene glycol methyl ether Alkyl ether acetates of polyhydric alcohols such as acetate; aliphatic ethers such as diethyl ether, dipropyl ether, dibutyl ether, butyl methyl ether, butyl ethyl ether and diisoamyl ether; aliphatics such as anisole and phenyl ethyl ether Aromatic ethers; cyclic ethers such as tetrahydrofuran, tetrahydropyran, dioxane, etc.
  • alkyl ethers of polyhydric alcohols such as ethylene glycol monomethyl ether, ethylene glycol
  • ester solvent examples include diethyl carbonate, propylene carbonate, methyl lactate, ethyl lactate, methyl acetate, ethyl acetate, ⁇ -butyrolactone, ⁇ -valerolactone, n-propyl acetate, iso-propyl acetate, and n-butyl acetate.
  • cyclohexanone propylene glycol methyl ether acetate, cyclopentanone, ⁇ -butyrolactone, ethyl lactate, methyl n-amyl ketone, and a mixed solvent thereof are preferable.
  • the composition for forming a resist underlayer film is an optional component other than the [A] polymer that is an essential component and the [B] solvent that is a suitable component within a range not impairing the effects of the present invention (for example, [C] Acid generator, [D] cross-linking agent, [E] surfactant, [F] adhesion aid and the like). Further, the content of other optional components can be appropriately determined according to the purpose.
  • the acid generator is a component that generates an acid by the action of heat or light and promotes crosslinking of the polymer [A].
  • the composition for forming a resist underlayer film contains a [C] acid generator, the crosslinking reaction of the [A] polymer is promoted, and the hardness of the resist underlayer film can be further increased.
  • a [C] acid generator can be used individually or in combination of 2 or more types.
  • Examples of the acid generator include onium salt compounds and sulfonimide compounds. Of these, onium salt compounds are preferred.
  • onium salt compounds examples include sulfonium salts, tetrahydrothiophenium salts, iodonium salts, and the like.
  • sulfonium salt examples include triphenylsulfonium trifluoromethanesulfonate, triphenylsulfonium nonafluoro-n-butanesulfonate, triphenylsulfonium perfluoro-n-octanesulfonate, triphenylsulfonium 2-bicyclo [2.2.1] hept.
  • triphenylsulfonium trifluoromethanesulfonate triphenylsulfonium nonafluoro-n-butanesulfonate
  • triphenylphosphonium 1,1,2,2-tetrafluoro-6- (1-adamantanecarbonyloxy) -hexane-1 -Sulfonate triphenylphosphonium 1,1,2,2-tetrafluoro-6- (1-adamantanecarbonyloxy) -hexane-1 -Sulfonate
  • 4-cyclohexylphenyldiphenylsulfonium nonafluoro-n-butanesulfonate is preferred.
  • tetrahydrothiophenium salt examples include 1- (4-n-butoxynaphthalen-1-yl) tetrahydrothiophenium trifluoromethanesulfonate and 1- (4-n-butoxynaphthalen-1-yl) tetrahydrothiophenium.
  • Nonafluoro-n-butanesulfonate 1- (4-n-butoxynaphthalen-1-yl) tetrahydrothiophenium perfluoro-n-octanesulfonate, 1- (4-n-butoxynaphthalen-1-yl) tetrahydrothio Phenium 2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonate, 1- (6-n-butoxynaphthalen-2-yl) tetrahydrothiophenium trifluoro Lomethanesulfonate, 1- (6-n-butoxynaphthalene) 2-yl) tetrahydrothiophenium nonafluoro-n-butanesulfonate, 1- (6-n-butoxynaphthalen-2-yl) tetrahydrothiophenium perfluoro-n-octanesulfonate, 1- (6-n-butoxy)
  • iodonium salt examples include diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoro-n-butanesulfonate, diphenyliodonium perfluoro-n-octanesulfonate, diphenyliodonium 2-bicyclo [2.2.1] hept-2-yl.
  • sulfonimide compound examples include N- (trifluoromethanesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N- (nonafluoro-n-butanesulfonyloxy) bicyclo [2.2.1] Hept-5-ene-2,3-dicarboximide, N- (perfluoro-n-octanesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3 -Dicarboximide, N- (2-bicyclo [2.2.1] hept-2-yl-1,1,2,2-tetrafluoroethanesulfonyloxy) bicyclo [2.2.1] hept-5 Examples include ene-2,3-dicarboximide.
  • the content when the acid generator is contained is 100 parts by weight of the [A] polymer (however, when the polymer further contains a polymer other than the [A] polymer, the total weight 1 mass part or more and 20 mass parts or less are preferable, and 3 mass parts or more and 10 mass parts or less are more preferable. [C] By making content of an acid generator into the said specific range, a crosslinking reaction can be promoted effectively.
  • the crosslinking agent is a component that forms a bond with a compounded composition such as a resin or other crosslinking agent molecules by the action of heat or acid.
  • a [D] crosslinking agent can be used individually or in combination of 2 or more types.
  • Examples of the [D] crosslinking agent include polyfunctional (meth) acrylate compounds, epoxy compounds, hydroxymethyl group-substituted phenol compounds, alkoxyalkyl group-containing phenol compounds, compounds having an alkoxyalkylated amino group, acenaphthylene and hydroxy And random copolymers with methyl acenaphthylene, compounds represented by the following formulas (6-1) to (6-12), and the like.
  • polyfunctional (meth) acrylate compound examples include trimethylolpropane tri (meth) acrylate, ditrimethylolpropane tetra (meth) acrylate, pentaerythritol tri (meth) acrylate, pentaerythritol tetra (meth) acrylate, and dipentaerythritol.
  • epoxy compound examples include novolac type epoxy resins, bisphenol type epoxy resins, alicyclic epoxy resins, and aliphatic epoxy resins.
  • hydroxymethyl group-substituted phenol compound examples include 2-hydroxymethyl-4,6-dimethylphenol, 1,3,5-trihydroxymethylbenzene, 3,5-dihydroxymethyl-4-methoxytoluene [2,6 -Bis (hydroxymethyl) -p-cresol] and the like.
  • alkoxyalkyl group-containing phenol compound examples include methoxymethyl group-containing phenol compounds and ethoxymethyl group-containing phenol compounds.
  • Examples of the compound having an alkoxyalkylated amino group include, for example, (poly) methylolated melamine, (poly) methylolated glycoluril, (poly) methylolated benzoguanamine, (poly) methylolated urea in one molecule.
  • the compound having an alkoxyalkylated amino group may be a mixture in which a plurality of substituted compounds are mixed, or may include an oligomer component that is partially self-condensed.
  • Me represents a methyl group
  • Et represents an ethyl group
  • Ac represents an acetyl group
  • the compounds represented by the above formulas (6-1) to (6-12) can be synthesized by referring to the following documents, respectively.
  • Compound represented by formula (6-1) Guo, Qun-Shen; Lu, Yong-Na; Liu, Bing; Xiao, Jian; Li, Jin-Shan Journal of Organometallic Chemistry, 2006, vol. 691, # 6 p. 1282-1287
  • crosslinking agents methoxymethyl group-containing phenol compounds, compounds having an alkoxyalkylated amino group, and random copolymers of acenaphthylene and hydroxymethylacenaphthylene are preferred.
  • the content in the case of containing a crosslinking agent is as follows: 100 parts by mass of [A] polymer (provided that when other polymer other than [A] polymer is further contained, the total polymer 0.5 parts by weight or more and 50 parts by weight or less, preferably 1 part by weight or more and 40 parts by weight or less, and more preferably 2 parts by weight or more and 35 parts by weight or less. [D] By making content of a crosslinking agent into the said specific range, a crosslinking reaction can be caused effectively.
  • [E] surfactant is a component which improves applicability
  • [E] surfactant can be used individually or in combination of 2 or more types.
  • Surfactants include, for example, polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene oleyl ether, polyoxyethylene-n-octylphenyl ether, polyoxyethylene-n-nonylphenyl ether, polyethylene Nonionic surfactants such as glycol dilaurate and polyethylene glycol distearate, and commercially available products such as KP341 (manufactured by Shin-Etsu Chemical Co., Ltd.), Polyflow No. 75, no.
  • the content when the surfactant is contained is 100 parts by weight of the [A] polymer (provided that when the polymer further contains a polymer other than the [A] polymer, the total weight 0.001 to 5 parts by mass is preferable, and 0.005 to 1 part by mass is more preferable. [E] By making content of surfactant into the said specific range, applicability
  • paintability can be improved effectively.
  • Adhesion aid is a component that improves adhesion to the substrate.
  • the resist underlayer film forming composition contains [F] adhesion assistant, so that a substrate as a base (if there is another film between the resist underlayer film and the substrate, another film in contact with the resist underlayer film) ) Can be improved.
  • adhesion assistant for example, a known adhesion assistant can be used.
  • the content of the adhesion assistant is 100 parts by mass of the polymer (A) (provided that the polymer further contains another polymer other than the polymer [A], 100 parts by mass of the whole polymer). ), Preferably 0.01 parts by weight or more and 10 parts by weight or less, more preferably 0.01 parts by weight or more and 5 parts by weight or less.
  • the resist underlayer film forming composition includes an essential component [A] polymer, suitable components [B] solvent, [C] acid generator and [D] cross-linking agent, and [E] as necessary. It can be prepared by mixing other optional components such as a surfactant and [F] adhesion aid in a predetermined ratio.
  • the resist underlayer film forming method of the present invention comprises: (1) The process which forms a coating film on a to-be-processed substrate using the said composition for resist underlayer film formation, (2) It has the process of heating the said coating film and forming a resist underlayer film.
  • the substrate to be processed examples include a silicon wafer and a wafer coated with aluminum.
  • coating method of the said composition for resist underlayer film formation to a to-be-processed substrate is not specifically limited, For example, it can implement by appropriate methods, such as spin coating, cast coating, and roll coating.
  • the heating of the coating film is usually performed in the atmosphere.
  • the heating temperature is usually 150 ° C. to 500 ° C., preferably 200 ° C. to 450 ° C. When the heating temperature is less than 150 ° C., the oxidative crosslinking does not proceed sufficiently, and there is a possibility that the characteristics necessary for the resist underlayer film are not exhibited.
  • the heating time is usually 30 seconds to 1,200 seconds, preferably 60 seconds to 600 seconds.
  • the oxygen concentration during heating is preferably 5% by volume or more.
  • the oxygen concentration at the time of heating is low, the oxidative crosslinking of the resist underlayer film does not proceed sufficiently, and there is a possibility that the characteristics necessary for the resist underlayer film cannot be expressed.
  • the coating film Before heating the coating film at a temperature of 150 ° C. to 500 ° C., it may be preheated at a temperature of 60 ° C. to 250 ° C.
  • the heating time in the preheating is not particularly limited, but is preferably 10 seconds to 300 seconds, and more preferably 30 seconds to 180 seconds.
  • the coating film is heated to form a resist underlayer film, but when the resist underlayer film forming composition contains a photoacid generator,
  • the resist underlayer film can also be formed by curing the coating film by combining exposure and heating.
  • the radiation used for this exposure is appropriately selected from visible light, ultraviolet light, far ultraviolet light, X-rays, electron beams, ⁇ -rays, molecular beams, ion beams, etc., depending on the type of photoacid generator.
  • the resist underlayer film of the present invention is formed from the resist underlayer film forming composition by, for example, the resist underlayer film forming method described above. Since the resist underlayer film is formed from the resist underlayer film forming composition, the resist underlayer film sufficiently satisfies general characteristics such as etching resistance required for the resist underlayer film, and additionally has high heat resistance, solvent resistance, and bending resistance. Have Therefore, the resist underlayer film can be suitably applied to a pattern formation process using a multilayer resist process in a semiconductor device in which the pattern is further miniaturized.
  • the pattern forming method of the present invention comprises: (1) A step of forming a resist underlayer film on a substrate to be processed using the resist underlayer film forming composition (hereinafter, also referred to as “step (1)”), (2) a step of forming a resist film on the upper surface side of the resist underlayer film using the resist composition (hereinafter also referred to as “step (2)”), (3) a step of exposing the resist film by selective irradiation (hereinafter also referred to as “step (3)”), (4) a step of developing the exposed resist film to form a resist pattern (hereinafter also referred to as “step (4)”), and (5) using the resist pattern as a mask, A process of sequentially dry-etching the substrate to be processed (hereinafter also referred to as “process (5)”).
  • the pattern forming method is also referred to as (1 ′) a step of forming an intermediate layer on the resist underlayer film (hereinafter referred to as “step (1 ′)”) between the step (1) and the step (2).
  • the intermediate layer may be further dry etched.
  • Step (1) a resist underlayer film is formed on the substrate to be processed using the resist underlayer film forming composition.
  • the above-mentioned formation method of a resist underlayer film can be applied as it is.
  • the thickness of the resist underlayer film formed in this step (1) is usually 0.05 ⁇ m to 5 ⁇ m.
  • the pattern forming method may further include a step (1 ′) of forming an intermediate layer (intermediate layer coating) on the resist underlayer film, if necessary, after the step (1).
  • This intermediate layer is a layer to which these functions are added in order to further supplement the functions of the resist underlayer film and / or resist film in the formation of the resist pattern, or to give them the functions that they do not have. That is.
  • the antireflection film is formed as an intermediate layer, the antireflection function of the resist underlayer film can be further supplemented.
  • This intermediate layer can be formed of an organic compound or an inorganic oxide.
  • the organic compound include commercially available products such as “DUV-42”, “DUV-44”, “ARC-28”, “ARC-29” (above, manufactured by Brewer Science); “AR-3”, “ AR-19 “(above, manufactured by Rohm and Haas).
  • As said inorganic oxide "NFC SOG01”, “NFC SOG04”, “NFC SOG080” (above, JSR make) etc. are mentioned as a commercial item, for example.
  • polysiloxane, titanium oxide, alumina oxide, tungsten oxide, or the like formed by a CVD method can be used.
  • the method for forming the intermediate layer is not particularly limited, and for example, a coating method, a CVD method, or the like can be used. Among these, a coating method is preferable.
  • the intermediate layer can be formed continuously after forming the resist underlayer film.
  • the film thickness of the intermediate layer is not particularly limited, and is appropriately selected according to the function required for the intermediate layer, but is preferably 10 nm to 3,000 nm, and more preferably 20 nm to 300 nm.
  • Step (2) a resist film is formed on the upper surface side of the resist underlayer film using a resist composition. Specifically, after applying the resist composition so that the obtained resist film has a predetermined thickness, the resist film is formed by volatilizing the solvent in the coating film by pre-baking.
  • the resist composition examples include a positive or negative chemically amplified resist composition containing a photoacid generator, a positive resist composition comprising an alkali-soluble resin and a quinonediazide-based photosensitizer, and an alkali-soluble resin.
  • examples thereof include a negative resist composition composed of a crosslinking agent.
  • the total solid concentration of the resist composition is usually 1% by mass to 50% by mass.
  • the resist composition is generally filtered through a filter having a pore diameter of about 0.2 ⁇ m and provided for forming a resist film. In this step, a commercially available resist composition can be used as it is.
  • the coating method of the resist composition is not particularly limited, and examples thereof include a spin coating method.
  • the pre-baking temperature is appropriately adjusted according to the type of resist composition used and the like, but is usually 30 ° C. to 200 ° C., preferably 50 ° C. to 150 ° C.
  • Step (3) the resist film is exposed by selective irradiation.
  • the radiation used for the exposure is appropriate from visible light, ultraviolet light, far ultraviolet light, X-rays, electron beams, ⁇ rays, molecular beams, ion beams, etc., depending on the type of photoacid generator used in the resist composition. Selected.
  • the resist pattern may be formed without a development step such as a nanoimprint method.
  • post-baking can be performed to improve resolution, pattern profile, developability, and the like.
  • the post-baking temperature is appropriately adjusted according to the type of resist composition used, but is usually 50 ° C. to 200 ° C., preferably 70 ° C. to 150 ° C.
  • Step (4) the exposed resist film is developed to form a resist pattern.
  • the developer used in this step is appropriately selected according to the type of resist composition used. Examples of the developer include sodium hydroxide, potassium hydroxide, sodium carbonate, sodium silicate, sodium metasilicate, ammonia, ethylamine, n-propylamine, diethylamine, di-n-propylamine, triethylamine, methyldiethylamine, dimethylethanol.
  • An appropriate amount of a water-soluble organic solvent such as alcohols such as methanol and ethanol, a surfactant, and the like can be added to these alkaline aqueous solutions.
  • the resist pattern is formed by washing and drying.
  • Step (5) when the resist pattern is used as a mask and the step (1 ′) is included, the intermediate layer, the resist underlayer film, and the substrate to be processed are sequentially dry-etched in this order, and the step (1 ′)
  • dry etching is sequentially performed in the order of the resist underlayer film and the substrate to be processed, and a predetermined pattern is formed on the substrate to be processed through a multilayer resist process.
  • gas plasma such as oxygen plasma is used.
  • examples of the pattern forming method using the resist underlayer film forming composition include a pattern forming method using a nanoimprint method or the like in addition to the pattern forming method described above.
  • the polystyrene conversion weight average molecular weight (Mw) of [A] polymer used the Tosoh GPC column (G2000HXL: 2 pieces, G3000HXL: 1 piece), flow volume: 1.0 mL / min, elution solvent: tetrahydrofuran, Column temperature: It was measured by a gel permeation chromatograph (detector: differential refractometer) using monodisperse polystyrene as a standard under analysis conditions of 40 ° C. Each film thickness was measured using a spectroscopic ellipsometer (M2000D, manufactured by JA WOOLLAM).
  • Synthesis Example 1 Synthesis of (A-1) In a separable flask equipped with a thermometer, in a nitrogen atmosphere, 30 parts by mass of M-1 and 100 parts by mass of M-5, potassium carbonate as an alkali metal compound 260 parts by mass and 500 parts by mass of dimethylacetamide as a solvent were blended, and a reaction solution was obtained by performing a condensation polymerization reaction at 140 ° C. for 4 hours while stirring. The reaction solution was filtered, methanol was added for reprecipitation, and the resulting precipitate was dried to obtain a polymer (A-1) having a structural unit represented by the following formula. The Mw of (A-1) was 4,000.
  • Synthesis Example 2 Synthesis of (A-2) In a separable flask equipped with a thermometer, 130 parts by mass of M-2 and 100 parts by mass of M-5 and potassium carbonate as an alkali metal compound in a nitrogen atmosphere 260 parts by mass and 500 parts by mass of dimethylacetamide as a solvent were blended, and a reaction solution was obtained by performing a condensation polymerization reaction at 140 ° C. for 4 hours while stirring. The reaction solution was filtered, methanol was added for reprecipitation, and the resulting precipitate was dried to obtain a polymer (A-2) having a structural unit represented by the following formula. The Mw of (A-2) was 5,000.
  • Synthesis Example 6 Synthesis of (A-6) In a separable flask equipped with a thermometer, 65 parts by mass of M-1, 65 parts by mass of M-2, and 100 parts by mass of M-5 were added in a nitrogen atmosphere. Part by mass, 140 parts by mass of potassium carbonate as an alkali metal compound and 500 parts by mass of dimethylacetamide as a solvent were blended and subjected to a condensation polymerization reaction at 130 ° C. for 4 hours while stirring to obtain a reaction solution. After filtering this reaction liquid, a methanol random copolymer (A-6) was obtained. The Mw of (A-6) was 3,800.
  • composition for forming resist underlayer film [A] Each component other than the polymer is shown below.
  • Example 1 [A] 10 parts by mass of (A-1) as a polymer and 100 parts by mass of (B-1) as a [B] solvent were mixed to obtain a solution. And the composition for resist lower layer film formation was prepared by filtering this solution with a membrane filter with a hole diameter of 0.1 micrometer.
  • Examples 2 to 11 and Comparative Example 1 Each composition for forming a resist underlayer film was prepared in the same manner as in Example 1 except that the types and amounts (parts by mass) of the components to be mixed were as described in Table 1. In Table 1, the column labeled “-” indicates that the component is not blended.
  • Each of the prepared resist underlayer film forming compositions was spin-coated on the surface of a silicon wafer having a diameter of 8 inches to be a substrate to be processed, and then heated at 350 ° C. for 2 minutes to form a resist underlayer film having a thickness of 250 nm. . Then, using a spectroscopic ellipsometer (M2000D, manufactured by JA WOOLLAM), the refractive index and extinction coefficient of the formed resist underlayer film at a wavelength of 193 nm were measured. At this time, the case where the refractive index was 1.3 or more and 1.6 or less and the extinction coefficient was 0.2 or more and 0.8 or less was judged good, and the case outside the above range was regarded as bad.
  • a resist underlayer film was formed in the same manner as in the above evaluation of [refractive index and absorption coefficient].
  • the substrate on which the resist underlayer film was formed was immersed in cyclohexanone at room temperature for 10 seconds.
  • the film thickness before and after the immersion was measured using the above spectroscopic ellipsometer, and the rate of change in film thickness was calculated from the measured value as an index of solvent resistance.
  • the solvent resistance is “A” (good), when it is 1% or more and less than 5%, “B” (slightly good), and when it is 5% or more, “C”. (Poor).
  • a resist underlayer film was formed in the same manner as in the above evaluation of [refractive index and extinction coefficient].
  • an interlayer composition solution for a three-layer resist process (NFC SOG508, manufactured by JSR) is spin-coated on this resist underlayer film, and then heated at 200 ° C. for 60 seconds, and subsequently heated at 300 ° C. for 60 seconds to obtain a film thickness.
  • a 0.04 ⁇ m interlayer coating was formed.
  • a commercially available resist composition was spin-coated on this intermediate layer coating and pre-baked at 100 ° C. for 60 seconds to form a resist film having a thickness of 0.1 ⁇ m.
  • the resist film was developed using a 2.38 mass% tetramethylammonium hydroxide aqueous solution. Thereafter, it was washed with water and dried to form a positive resist pattern.
  • the intermediate layer film is dry-etched with carbon tetrafluoride gas using a reactive ion etching type etching apparatus (Telius SCCM, manufactured by Tokyo Electron). The etching process was stopped when the intermediate layer film located under the resist film opening disappeared, and the resist pattern was transferred to the intermediate layer film.
  • etching apparatus dry etching treatment is performed with a mixed gas of oxygen and nitrogen, and a resist underlayer film located under the intermediate layer film opening is formed. When it disappeared, the etching process was stopped and the pattern of the intermediate layer film was transferred to the resist underlayer film.
  • dry etching is performed with a mixed gas of carbon tetrafluoride and argon using the above-described etching apparatus, and below the resist underlayer film opening. The etching process was stopped when the positioned silicon oxide film was removed by 0.1 ⁇ m.
  • the shape of a so-called line and space pattern in which linear patterns are arranged at equal intervals was observed with an SEM (scanning electron microscope).
  • the repetition interval is fixed at 84 nm and 100 linear patterns are arranged at equal intervals, and this is regarded as one set.
  • the pattern width referred to here is the width of one linear pattern arranged at equal intervals formed by the resist underlayer film.
  • the pattern of each pattern width was observed with the SEM at five arbitrary locations, and the observation result was regarded as bending resistance. At this time, if all the patterns of the resist underlayer film were vertical, the bending resistance was evaluated as “A”, and if it was bent even at one place, it was evaluated as defective “B”. *
  • the resist underlayer films formed from the resist underlayer film forming compositions of Examples 1 to 11 have good refractive index and extinction coefficient, excellent etching resistance, and comparative examples. Compared with the resist underlayer film formed from the resist underlayer film forming composition of No. 1, it has high heat resistance. In the examples, the solvent resistance and the bending resistance were also good.
  • the present invention provides a composition for forming a resist underlayer film that is used in a multilayer resist process that can form a resist underlayer film that sufficiently satisfies general characteristics such as etching resistance and that has high heat resistance, solvent resistance, and bending resistance.
  • a resist underlayer film using the composition, a method for forming the resist underlayer film, and a pattern forming method using the composition can be provided. Therefore, the resist underlayer film forming composition, resist underlayer film and method for forming the same, and pattern forming method used in the multilayer resist process of the present invention use the multilayer resist process in a semiconductor device in which further miniaturization of the pattern proceeds. It can be suitably used for the conventional pattern forming process.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Polyethers (AREA)

Abstract

 本発明は、[A]下記式(1)で表される構造単位(I)を有する重合体を含有する多層レジストプロセスに用いられるレジスト下層膜形成用組成物である。下記式(1)中、Ar、Ar、Ar及びArは、それぞれ独立して、2価の芳香族炭化水素基又は2価のヘテロ芳香族基である。但し、上記芳香族炭化水素基及びヘテロ芳香族基が有する水素原子の一部又は全部は、置換されていてもよい。Rは、単結合又は炭素数1~20の2価の炭化水素基である。但し、上記炭素数1~20の2価の炭化水素基が有する水素原子の一部又は全部は、置換されていてもよい。上記炭素数1~20の2価の炭化水素基は、エステル基、エーテル基又はカルボニル基を構造中に有していてもよい。Yは、カルボニル基又はスルホニル基である。mは、0又は1である。nは、0又は1である。

Description

多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法
 本発明は、多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法に関する。
 半導体デバイスの製造にあっては、高い集積度を得るために多層レジストプロセスが用いられている。このプロセスでは、まず被加工基板上にレジスト下層膜形成用組成物を塗布してレジスト下層膜を形成し、このレジスト下層膜上にレジスト組成物を塗布してレジスト膜を形成する。そして、縮小投影露光装置(ステッパー)等によりマスクパターンを介してレジスト膜を露光し、適当な現像液で現像することによりレジストパターンを形成する。そして、このレジストパターンをマスクとしてレジスト下層膜をドライエッチングし、得られたレジスト下層膜パターンをマスクとして更に被加工基板をドライエッチングすることで、被加工基板に所望のパターンを形成することができる。かかる多層レジストプロセスに用いられるレジスト下層膜には、光学特性、エッチング耐性等の一般特性が要求される。
 近年、より集積度を高めるためパターンの微細化がさらに進んでおり、上述の多層レジストプロセスにおいても、レジスト下層膜形成用組成物に含有される重合体等の構造や含まれる官能基について種々の検討が行われている(特開2004-177668号公報参照)。
 また、最近では、レジスト下層膜の上にCVD法でハードマスクを形成する多層レジストプロセスが検討されている。このプロセスは、具体的には、レジスト下層膜を形成し、その上に中間層としての無機ハードマスクをCVD法で作製するものである。CVD法で無機ハードマスクを作製する場合、特に窒化物系の膜の作製に於いて、最低300℃、通常は400℃の基板の加熱が必要とされる。
 しかし、有機物を主成分としている関係上、上記従来の組成物を用いたレジスト下層膜では耐熱性に難があり、その低い耐熱性に起因してレジスト下層膜形成時の加熱によりレジスト下層膜成分が昇華し、この昇華した成分が基板へ再付着して半導体デバイスの製造歩留まりを悪化させるおそれがある。加えて、レジスト下層膜には耐溶剤性及び曲がり耐性の向上も求められている。
特開2004-177668号公報
 本発明は、以上のような事情に基づいてなされたものであり、その目的は、エッチング耐性等の一般特性を十分満たし、加えて高い耐熱性及び耐溶剤性等を有するレジスト下層膜を形成可能な多層レジストプロセスに用いられるレジスト下層膜形成用組成物、この組成物を用いたレジスト下層膜及びその形成方法、並びに当該組成物を用いたパターン形成方法を提供することにある。
 上記課題を解決するためになされた発明は、
 [A]下記式(1)で表される構造単位(I)を有する重合体(以下、「[A]重合体」ともいう)を含有する多層レジストプロセスに用いられるレジスト下層膜形成用組成物(以下、単に「レジスト下層膜形成用組成物」又は「組成物」ともいう)である。
Figure JPOXMLDOC01-appb-C000004
(式(1)中、Ar、Ar、Ar及びArは、それぞれ独立して、2価の芳香族炭化水素基又は2価のヘテロ芳香族基である。但し、上記芳香族炭化水素基及びヘテロ芳香族基が有する水素原子の一部又は全部は、置換されていてもよい。Rは、単結合又は炭素数1~20の2価の炭化水素基である。但し、上記炭素数1~20の2価の炭化水素基が有する水素原子の一部又は全部は、置換されていてもよい。上記炭素数1~20の2価の炭化水素基は、エステル基、エーテル基又はカルボニル基を構造中に有していてもよい。Yは、カルボニル基又はスルホニル基である。mは、0又は1である。nは、0又は1である。)
 当該レジスト下層膜形成用組成物は、[A]重合体を含有することで、当該組成物から形成されるレジスト下層膜は、光学特性、エッチング耐性等の一般特性を十分満たし、加えて高い耐熱性、耐溶剤性及び曲がり耐性を有する。
 上記式(1)におけるAr、Ar、Ar及びArは、それぞれ独立して、下記式(2)で表されることが好ましい。
Figure JPOXMLDOC01-appb-C000005
(式(2)中、Qは、(k+2)価の芳香族炭化水素基又は(k+2)価のヘテロ芳香族基である。Rは、ハロゲン原子、ヒドロキシ基、シアノ基、ホルミル基又は炭素数1~20の1価の炭化水素基である。但し、上記炭素数1~20の1価の炭化水素基が有する水素原子の一部又は全部は、ハロゲン原子、ヒドロキシ基、シアノ基又はホルミル基で置換されていてもよい。kは、0~6の整数である。但し、kが2以上の場合、複数のRは、同一でも異なっていてもよい。)
 このように、上記Ar、Ar、Ar及びArが上記特定の基であることで、当該レジスト下層膜形成用組成物から形成されるレジスト下層膜の耐熱性等をより高めることができる。
 上記式(1)におけるmが0であるか、又は上記式(1)におけるmが1であって上記式(1)におけるRが単結合若しくは下記式(3)で表されることが好ましい。
Figure JPOXMLDOC01-appb-C000006
(式(3)中、Qは、(a+2)価の芳香族炭化水素基又は(a+2)価のヘテロ芳香族基である。Qは、(b+2)価の芳香族炭化水素基又は(b+2)価のヘテロ芳香族基である。R及びRは、それぞれ独立して、ハロゲン原子、ヒドロキシ基又はシアノ基である。aは、0~4の整数である。bは、0~4の整数である。R及びRがそれぞれ複数の場合、複数のR及びRは、それぞれ同一でも異なっていてもよい。)
 このように、構造単位(I)を上記特定の基を含む構成とすることで、当該レジスト下層膜形成用組成物から形成されるレジスト下層膜の耐熱性等をよりいっそう高めることができる。
 当該レジスト下層膜形成用組成物は、[B]溶媒をさらに含有することが好ましい。このように、当該レジスト下層膜形成用組成物が[B]溶媒をさらに含有することで、塗布性を向上させることができる。
 本発明のレジスト下層膜は、当該レジスト下層膜形成用組成物から形成される。当該レジスト下層膜は、上記特定のレジスト下層膜形成用組成物から形成されることで、エッチング耐性等の一般特性を十分満たし、加えて高い耐熱性、耐溶剤性及び曲がり耐性を有する。
 本発明のレジスト下層膜形成方法は、
 (1)当該レジスト下層膜形成用組成物を用いて被加工基板上に塗膜を形成する工程、及び
 (2)上記塗膜を加熱してレジスト下層膜を形成する工程
を有する。
 当該レジスト下層膜形成方法は、上記特定の工程を有することで、エッチング耐性等の一般特性を十分満たし、加えて高い耐熱性、耐溶剤性及び曲がり耐性を有するレジスト下層膜を形成することができる。
 本発明のパターン形成方法は、
 (1)当該レジスト下層膜形成用組成物を用いて被加工基板上にレジスト下層膜を形成する工程、
 (2)レジスト組成物を用いて上記レジスト下層膜の上面側にレジスト膜を形成する工程、
 (3)選択的な放射線照射により上記レジスト膜を露光する工程、
 (4)上記露光されたレジスト膜を現像してレジストパターンを形成する工程、及び
 (5)上記レジストパターンをマスクとして用い、上記レジスト下層膜及び上記被加工基板を順次ドライエッチングする工程
を有する。
 当該パターン形成方法は、上記特定の工程を有することで、エッチング耐性等の一般特性を十分満たし、加えて高い耐熱性、耐溶剤性及び曲がり耐性を有するレジスト下層膜を容易かつ確実に形成することができる。その結果、当該パターン形成方法は、被加工基板へのより微細なパターンの形成に寄与する。
 当該パターン形成方法において、上記工程(1)と上記工程(2)との間に、(1’)上記レジスト下層膜上に中間層を形成する工程をさらに有し、上記工程(5)において、さらに上記中間層をドライエッチングするようにしてもよい。
 当該パターン形成方法は、上記特定の工程をさらに有することで、例えば、反射防止機能、エッチング耐性等の所望の機能を有する中間層を形成することができる。その結果、被加工基板へのより微細なパターンの形成に寄与する。
 本発明の多層レジストプロセスに用いられるレジスト下層膜形成用組成物によれば、エッチング耐性等の一般特性を十分満たし、加えて高い耐熱性及び耐溶剤性等を有するレジスト下層膜を形成することができる。従って、当該レジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法は、パターンのさらなる微細化が進む半導体デバイスでの多層レジストプロセスを用いたパターン形成プロセスに好適に使用することができる。
<多層レジストプロセスに用いられるレジスト下層膜形成用組成物>
 本発明の多層レジストプロセスに用いられるレジスト下層膜形成用組成物は、[A]重合体を含有する。また、当該レジスト下層膜形成用組成物は、好適成分として、[B]溶媒を含有してもよい。さらに、当該レジスト下層膜形成用組成物は、本発明の効果を損なわない限り、[C]酸発生剤、[D]架橋剤、[E]界面活性剤及び[F]密着助剤等のその他の任意成分を含有してもよい。なお、当該レジスト下層膜形成用組成物は、[A]重合体を2種以上含有してもよい。以下、各成分について詳述する。
<[A]重合体>
 [A]重合体は、構造単位(I)を有する重合体である。また、[A]重合体は、本発明の効果を損なわない限り、その他の構造単位を含んでいてもよい。なお、[A]重合体は、各構造単位を2種以上有していてもよく、この場合の[A]重合体は、ランダム共重合体及びブロック共重合体のいずれであってもよい。以下、各構造単位について詳述する。
[構造単位(I)]
 構造単位(I)は、上記式(1)で表される構造単位である。[A]重合体が上記特定の構造単位を有することで、当該レジスト下層膜形成用組成物から形成されるレジスト下層膜は、エッチング耐性等の一般特性を十分満たし、加えて高い耐熱性、耐溶剤性及び曲がり耐性を有する。なお、この高い耐熱性等は、[A]重合体の主鎖中において、エーテル基の2つの結合手が、芳香族炭化水素基又はヘテロ芳香族基に直接結合することにより安定化していることに起因するものと推察される。
 上記式(1)中、Ar、Ar、Ar及びArは、それぞれ独立して、2価の芳香族炭化水素基又は2価のヘテロ芳香族基である。但し、上記芳香族炭化水素基及びヘテロ芳香族基が有する水素原子の一部又は全部は、置換されていてもよい。Rは、単結合又は炭素数1~20の2価の炭化水素基である。但し、上記炭素数1~20の2価の炭化水素基が有する水素原子の一部又は全部は、置換されていてもよい。上記炭素数1~20の2価の炭化水素基は、エステル基、エーテル基又はカルボニル基を構造中に有していてもよい。Yは、カルボニル基又はスルホニル基である。mは、0又は1である。nは、0又は1である。
 上記Ar、Ar、Ar及びArで表される2価の芳香族炭化水素基としては、炭素数6~20の2価の芳香族炭化水素基が好ましく、例えば、フェニレン基、ナフチレン基、アントラニレン基等が挙げられる。
 上記Ar、Ar、Ar及びArで表される2価のヘテロ芳香族基としては、炭素数3~20の2価のヘテロ芳香族基が好ましく、例えば、フラン、ピロール、チオフェン、ホスホール、ピラゾール、オキサゾール、イソオキサゾール、チアゾール、ピリジン、ピラジン、ピリミジン、ピリダジン、トリアジン、インドール、キノリン、アクリジン等の複素芳香族化合物から2個の水素原子を除いた基等が挙げられる。
 上記2価の芳香族炭化水素基及び2価のヘテロ芳香族基に置換してもよい置換基としては、例えば、ハロゲン原子、ヒドロキシ基、シアノ基、ニトロ基、ホルミル基又は1価の有機基等が挙げられる。
 上記ハロゲン原子としては、例えば、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。
 上記1価の有機基としては、例えば、-CO-、-COO-、-OCO-、-O-、-NR-、-CS-、-S-、-SO-及び-SO-からなる群より選ばれる少なくとも1種の基と炭素数3~20の1価の芳香族基とを組み合わせた1価の基、炭素数3~20の1価の芳香族基等が挙げられ、さらにこれらの基が有する水素原子が置換基により置換されたものが挙げられる。上記Rは、水素原子又は炭素数1~10の1価の有機基である。また、上記置換基としては、ヒドロキシ基、シアノ基、カルボキシ基、エチニル基等が挙げられる。
 上記炭素数3~20の1価の芳香族基としては、炭素数6~20の1価の芳香族炭化水素基又は炭素数3~20の1価のヘテロ芳香族基が好ましい。炭素数6~20の1価の芳香族炭化水素基としては、例えば、フェニル基、ナフチル基、アントラニル基等が挙げられる。また、炭素数3~20の1価のヘテロ芳香族基としては、例えば、フラン、ピロール、チオフェン、ホスホール、ピラゾール、オキサゾール、イソオキサゾール、チアゾール、ピリジン、ピラジン、ピリミジン、ピリダジン、トリアジン、インドール、キノリン、アクリジン等の複素芳香族化合物から1個の水素原子を除いた基等が挙げられる。
 上記-CO-、-COO-、-OCO-、-O-、-NR-、-CS-、-S-、-SO-及び-SO-からなる群より選ばれる少なくとも1種の基と炭素数3~20の1価の芳香族基とを組み合わせた1価の基としては、例えば、フェノキシ基、ナフチルオキシ基、アントラニルオキシ基、アニリノ基等が挙げられる。
 上記式(1)におけるAr、Ar、Ar及びArは、それぞれ独立して、上記式(2)で表される基であることが好ましい。上記Ar、Ar、Ar及びArを、それぞれ上記特定の基とすることで、レジスト下層膜の耐熱性等をより高めることができる。
 上記式(2)中、Qは、(k+2)価の芳香族炭化水素基又は(k+2)価のヘテロ芳香族基である。Rは、ハロゲン原子、ヒドロキシ基、シアノ基、ホルミル基又は炭素数1~20の1価の炭化水素基である。但し、上記炭素数1~20の1価の炭化水素基が有する水素原子の一部又は全部は、ハロゲン原子、ヒドロキシ基、シアノ基又はホルミル基で置換されていてもよい。kは、0~6の整数である。但し、kが2以上の場合、複数のRは、同一でも異なっていてもよい。
 上記Qで表される(k+2)価の芳香族炭化水素基としては、2価の芳香族炭化水素基から水素原子をk個除いた基等が挙げられる。2価の芳香族炭化水素基としては、例えば、上記Ar、Ar、Ar及びArで例示した2価の芳香族炭化水素基等を適用することができる。
 上記Qで表される(k+2)価のヘテロ芳香族基としては、2価のヘテロ芳香族基から水素原子をk個除いた基等が挙げられる。2価のヘテロ芳香族基としては、例えば、上記Ar、Ar、Ar及びArで例示した2価のヘテロ芳香族基等を適用することができる。
 上記Rで表されるハロゲン原子としては、例えば、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。
 上記Rで表される炭素数1~20の1価の炭化水素基としては、例えば、炭素数1~20のアルキル基、炭素数3~20の1価の脂環式炭化水素基、炭素数6~20の1価の芳香族炭化水素基等が挙げられる。
 上記炭素数1~20のアルキル基としては、例えば、メチル基、エチル基、n-プロピル基、n-ブチル基等の直鎖状のアルキル基;i-プロピル基、i-ブチル基、sec-ブチル基、t-ブチル基等の分岐状のアルキル基等が挙げられる。
 上記炭素数3~20の1価の脂環式炭化水素基としては、例えば、シクロプロピル基、シクロブチル基、シクロペンチル基、シクロヘキシル基、シクロヘプチル基、シクロオクチル基、シクロデシル基、メチルシクロヘキシル基、エチルシクロヘキシル基等の単環式飽和炭化水素基;シクロブテニル基、シクロペンテニル基、シクロヘキセニル基、シクロヘプテニル基、シクロオクテニル基、シクロデセニル基、シクロペンタジエニル基、シクロヘキサジエニル基、シクロオクタジエニル基、シクロデカジエニル基等の単環式不飽和炭化水素基;ビシクロ[2.2.1]ヘプチル基、ビシクロ[2.2.2]オクチル基、トリシクロ[5.2.1.02,6]デシル基、トリシクロ[3.3.1.13,7]デシル基、テトラシクロ[6.2.1.13,6.02,7]ドデシル基、ノルボルニル基、アダマンチル基等の多環式飽和炭化水素基等が挙げられる。
 上記炭素数6~20の1価の芳香族炭化水素基としては、例えば、フェニル基、ビフェニル基、ナフチル基等が挙げられる。
 上記式(2)において、Ar及びArにおけるQとしては、それぞれ独立して、ベンゼン環又はナフタレン環を有していることが好ましい。
 上記Rで表される炭素数1~20の2価の炭化水素基としては、例えば、炭素数1~20のアルカンジイル基、炭素数3~20の2価の脂環式炭化水素基、炭素数6~20の2価の芳香族炭化水素基、又はこれらの基を2種以上組み合わせた2価の基等が挙げられる。
 上記炭素数1~20のアルカンジイル基としては、例えば、メタンジイル基、エタンジイル基、プロパンジイル基、ブタンジイル基、ペンタンジイル基、ヘキサンジイル基等が挙げられる。
 上記炭素数3~20の2価の脂環式炭化水素基としては、例えば、シクロプロパンジイル基、シクロブタンジイル基、シクロペンタンジイル基等の単環式飽和炭化水素基;シクロブテンジイル基、シクロペンテンジイル基、シクロヘキセンジイル基等の単環式不飽和炭化水素基;ビシクロ[2.2.1]ヘプタンジイル基、ビシクロ[2.2.2]オクタンジイル基、トリシクロ[5.2.1.02,6]デカンジイル基等の多環式飽和炭化水素基;ビシクロ[2.2.1]ヘプテンジイル基、ビシクロ[2.2.2]オクテンジイル基、トリシクロ[5.2.1.02,6]デセンジイル基等の多環式不飽和炭化水素基等が挙げられる。
 上記炭素数6~20の2価の芳香族炭化水素基としては、例えば、フェニレン基、ナフチレン基、アントラニレン基等が挙げられる。
 上記これらの基を2種以上組み合わせた2価の基としては、例えば、上記炭素数1~20のアルカンジイル基、炭素数3~20の2価の脂環式炭化水素基及び炭素数6~20の2価の芳香族炭化水素基として例示した基を2種以上組み合わせた2価の基等が挙げられる。
 上記Rで表される炭素数1~20の2価の炭化水素基に置換してもよい置換基としては、例えば、上記2価の芳香族炭化水素基及び2価のヘテロ芳香族基に置換してもよい置換基として例示した基を適用することができる。
 上記式(1)におけるmが0であるか、又は上記式(1)におけるmが1であって上記式(1)におけるRが単結合若しくは上記式(3)で表されることが好ましい(以下、この構成の構造単位(I)を、特に「構造単位(I’)」ともいう)。構造単位(I)を構造単位(I’)とすることで、当該レジスト下層膜形成用組成物から形成されるレジスト下層膜の耐熱性等をよりいっそう高めることができる。
 上記式(3)中、Qは、(a+2)価の芳香族炭化水素基又は(a+2)価のヘテロ芳香族基である。Qは、(b+2)価の芳香族炭化水素基又は(b+2)価のヘテロ芳香族基である。R及びRは、それぞれ独立して、ハロゲン原子、ヒドロキシ基又はシアノ基である。aは、0~4の整数である。bは、0~4の整数である。R及びRがそれぞれ複数の場合、複数のR及びRは、それぞれ同一でも異なっていてもよい。
 上記Qで表される(a+2)価の芳香族炭化水素基としては、2価の芳香族炭化水素基から水素原子をa個除いた基等が挙げられる。上記2価の芳香族炭化水素基としては、例えば、上記Ar、Ar、Ar及びArで例示した2価の芳香族炭化水素基等を適用することができる。
 上記Qで表される(a+2)価のヘテロ芳香族基としては、2価のヘテロ芳香族基から水素原子をa個除いた基等が挙げられる。上記2価のヘテロ芳香族基としては、例えば、上記Ar、Ar、Ar及びArで例示した2価のヘテロ芳香族基等を適用することができる。
 上記Qで表される(b+2)価の芳香族炭化水素基としては、2価の芳香族炭化水素基から水素原子をb個除いた基等が挙げられる。上記2価の芳香族炭化水素基としては、例えば、上記Ar、Ar、Ar及びArで例示した2価の芳香族炭化水素基等を適用することができる。
 上記Qで表される(b+2)価のヘテロ芳香族基としては、2価のヘテロ芳香族基から水素原子をb個除いた基等が挙げられる。上記2価のヘテロ芳香族基としては、例えば、上記Ar、Ar、Ar及びArで例示した2価のヘテロ芳香族基等を適用することができる。
 上記R及びRで表されるハロゲン原子としては、例えば、上記Rで表されるハロゲン原子として例示したものを適用することができる。
 上記構造単位(I)としては、例えば、下記式(1-1)~(1-15)で表される構造単位等が挙げられる。
Figure JPOXMLDOC01-appb-C000007
 これらの中で、構造単位(I’)である式(1-1)~(1-14)が好ましい。
 [A]重合体における全構造単位に対する構造単位(I)の含有割合は、60モル%以上100モル%以下が好ましく、80モル%以上100モル%以下がより好ましい。さらに、[A]重合体における全構造単位に対する構造単位(I’)の含有割合が、80モル%以上100モル%以下であることが特に好ましい。構造単位(I)及び構造単位(I’)の含有割合を上記特定範囲とすることで、効果的にレジスト下層膜の耐熱性等を高めることができる。
[その他の構造単位]
 [A]重合体は、本発明の効果を損なわない限り、その他の構造単位を含んでいてもよい。
<[A]重合体の合成方法>
 [A]重合体の合成方法としては、例えば、下記式(4)で表される化合物を含む成分(A)を有機溶媒中でアルカリ金属又はアルカリ金属化合物と反応させて成分(A)のアルカリ金属塩を得た後、得られたアルカリ金属塩と下記式(5)で表される化合物を含む成分(B)とを反応させる。なお、成分(A)とアルカリ金属又はアルカリ金属化合物との反応を成分(B)の存在下で行うことにより、成分(A)のアルカリ金属塩と成分(B)とを反応させることもできる。反応により得られた重合体は、再沈殿法により回収することができる。再沈溶媒としては、アルコール系溶媒等を使用できる。
Figure JPOXMLDOC01-appb-C000008
 上記式(4)において、Ar、Ar、R及びmは、上記式(1)と同義である。
 上記式(5)において、Ar、Ar、Y及びnは、上記式(1)と同義である。Xは、それぞれ独立して、ハロゲン原子である。
 上記ハロゲン原子としては、例えば、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。これらの中で、フッ素原子、塩素原子が好ましい。
 上記式(5)において、nが0、かつArが芳香族炭化水素基の場合には、この芳香族炭化水素基が有する水素原子の一部又は全部は、シアノ基で置換されていることが好ましい。シアノ基がArの芳香環に直接結合することで、シアノ基の有する電子求引性により成分(A)と成分(B)との反応を促進することができる。
 反応に使用するアルカリ金属としては、例えば、リチウム、カリウム、ナトリウム等が挙げられる。
 反応に使用するアルカリ金属化合物としては、例えば、水素化リチウム、水素化カリウム、水素化ナトリウム等の水素化アルカリ金属;水酸化リチウム、水酸化カリウム、水酸化ナトリウム等の水酸化アルカリ金属;炭酸リチウム、炭酸カリウム、炭酸ナトリウム等のアルカリ金属炭酸塩;炭酸水素リチウム、炭酸水素カリウム、炭酸水素ナトリウム等のアルカリ金属炭酸水素塩等が挙げられる。これらは、単独で又は2種以上を組み合わせて用いることができる。
 上記アルカリ金属及びアルカリ金属化合物は、成分(A)中の全ての-OHに対し、アルカリ金属又はアルカリ金属化合物中の金属原子の量が通常1倍当量~3倍当量であり、好ましくは1.1倍当量~2倍当量、より好ましくは1.2~1.5倍当量となる量で使用される。
 反応に使用する有機溶媒としては、例えば、ジメチルアセトアミド、ジメチルホルムアミド、N-メチル-2-ピロリドン、1,3-ジメチル-2-イミダゾリジノン、γ-ブチロラクトン、スルホラン、ジメチルスルホキシド、ジエチルスルホキシド、ジメチルスルホン、ジエチルスルホン、ジイソプロピルスルホン、ジフェニルスルホン、ジフェニルエーテル、ベンゾフェノン、ジアルコキシベンゼン(アルコキシ基の炭素数1~4)、トリアルコキシベンゼン(アルコキシ基の炭素数1~4)等が挙げられる。これらの溶媒の中で、N-メチル-2-ピロリドン、ジメチルアセトアミド、スルホラン、ジフェニルスルホン、ジメチルスルホキシド等の誘電率の高い極性有機溶媒が好ましい。上記有機溶媒は、単独で又は2種以上を組み合わせて用いることができる。
 さらに、反応の際には、ベンゼン、トルエン、キシレン、ヘキサン、シクロヘキサン、オクタン、クロロベンゼン、ジオキサン、テトラヒドロフラン、アニソール、フェネトール等の水と共沸する溶媒をさらに用いることもできる。これらは、単独で又は2種以上を組み合わせて用いることができる。
 なお、成分(A)には、上記式(4)で表される化合物の一部として、溶媒への溶解性を向上させる観点から、下記式で表される化合物の少なくとも1種を含めるようにしてもよい。
Figure JPOXMLDOC01-appb-C000009
 成分(A)と成分(B)の使用割合は、成分(A)と成分(B)との合計を100モル%とした場合、成分(A)は、45モル%以上55モル%以下が好ましく、48モル%以上50モル%以下がより好ましく、48モル%以上50モル%未満が特に好ましい。成分(B)は、45モル%以上55モル%以下が好ましく、50モル%以上52モル%以下がより好ましく、50モル%を超えて52モル%以下が特に好ましい。
 反応温度としては、60℃~250℃が好ましく、80℃~200℃がより好ましい。反応時間としては、15分~100時間が好ましく、1時間~24時間がより好ましい。
 [A]重合体のゲルパーミエーションクロマトグラフィ(GPC)によるポリスチレン換算重量平均分子量(Mw)としては、1,000~20,000が好ましく、1,500~15,000がより好ましく、2,000~12,000が特に好ましい。
<[B]溶媒>
 [B]溶媒は、当該レジスト下層膜形成用組成物が含有してもよい好適成分である。[B]溶媒としては、[A]重合体及び必要に応じて含有する任意成分を溶解又は分散することができれば特に限定されない。当該レジスト下層膜形成用組成物が[B]溶媒をさらに含有することで、塗布性を向上させることができる。
[B]溶媒としては、例えば、アルコール系溶媒、ケトン系溶媒、アミド系溶媒、エーテル系溶媒、エステル系溶媒等が挙げられる。なお、[B]溶媒は、単独で又は2種以上を組み合わせて用いることができる。
 上記アルコール系溶媒としては、例えば、メタノール、エタノール、n-プロパノール、iso-プロパノール、n-ブタノール、iso-ブタノール、sec-ブタノール、t-ブタノール、n-ペンタノール、iso-ペンタノール、sec-ペンタノール、t-ペンタノール等のモノアルコール系溶媒;エチレングリコール、1,2-プロピレングリコール、1,3-ブチレングリコール、2,4-ペンタンジオール、2-メチル-2,4-ペンタンジオール、2,5-ヘキサンジオール、2,4-ヘプタンジオール等の多価アルコール系溶媒等が挙げられる。
 上記ケトン系溶媒としては、例えば、アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-iso-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-iso-ブチルケトン、トリメチルノナノン等の脂肪族ケトン系溶媒;シクロペンタノン、シクロヘキサノン、シクロヘプタノン、シクロオクタノン、メチルシクロヘキサノン等の環状ケトン系溶媒;2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、メチルn-アミルケトン等が挙げられる。
 上記アミド系溶媒としては、例えば、1,3-ジメチル-2-イミダゾリジノン、N-メチルホルムアミド、ジメチルホルムアミド、ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチル-2-ピロリドン等が挙げられる。
 上記エーテル系溶媒としては、例えば、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジメチルエーテル等の多価アルコールのアルキルエーテル類;エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、プロピレングリコールメチルエーテルアセテート等の多価アルコールのアルキルエーテルアセテート類;ジエチルエーテル、ジプロピルエーテル、ジブチルエーテル、ブチルメチルエーテル、ブチルエチルエーテル、ジイソアミルエーテル等の脂肪族エーテル類;アニソール、フェニルエチルエーテル等の脂肪族-芳香族エーテル類;テトラヒドロフラン、テトラヒドロピラン、ジオキサン等の環状エーテル類等が挙げられる。
 上記エステル系溶媒としては、例えば、ジエチルカーボネート、プロピレンカーボネート、乳酸メチル、乳酸エチル、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸iso-プロピル、酢酸n-ブチル、酢酸iso-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル等が挙げられる。
 これらの溶媒の中で、シクロヘキサノン、プレピレングリコールメチルエーテルアセテート、シクロペンタノン、γ-ブチロラクトン、乳酸エチル、メチルn-アミルケトン及びこれらの混合溶媒が好ましい。
<その他の任意成分>
 当該レジスト下層膜形成用組成物は、本発明の効果を損なわない範囲で、必須成分である[A]重合体、好適成分である[B]溶媒以外のその他の任意成分(例えば、[C]酸発生剤、[D]架橋剤、[E]界面活性剤、[F]密着助剤等)を含有してもよい。また、その他の任意成分の含有量は、その目的に応じて適宜決定することができる。
<[C]酸発生剤>
 [C]酸発生剤は、熱や光の作用により酸を発生し、[A]重合体の架橋を促進する成分である。当該レジスト下層膜形成用組成物が[C]酸発生剤を含有することで[A]重合体の架橋反応が促進され、レジスト下層膜の硬度をより高めることができる。なお、[C]酸発生剤は、単独で又は2種以上を組み合わせて用いることができる。
 [C]酸発生剤としては、例えば、オニウム塩化合物、スルホンイミド化合物等が挙げられる。これらのうち、オニウム塩化合物が好ましい。
 オニウム塩化合物としては、例えば、スルホニウム塩、テトラヒドロチオフェニウム塩、ヨードニウム塩等が挙げられる。
 スルホニウム塩としては、例えば、トリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウムノナフルオロ-n-ブタンスルホネート、トリフェニルスルホニウムパーフルオロ-n-オクタンスルホネート、トリフェニルスルホニウム2-ビシクロ[2.2.1]ヘプト-2-イル-1,1,2,2-テトラフルオロエタンスルホネート、4-シクロヘキシルフェニルジフェニルスルホニウムトリフルオロメタンスルホネート、4-シクロヘキシルフェニルジフェニルスルホニウムノナフルオロ-n-ブタンスルホネート、4-シクロヘキシルフェニルジフェニルスルホニウムパーフルオロ-n-オクタンスルホネート、4-シクロヘキシルフェニルジフェニルスルホニウム2-ビシクロ[2.2.1]ヘプト-2-イル-1,1,2,2-テトラフルオロエタンスルホネート、4-メタンスルホニルフェニルジフェニルスルホニウムトリフルオロメタンスルホネート、4-メタンスルホニルフェニルジフェニルスルホニウムノナフルオロ-n-ブタンスルホネート、4-メタンスルホニルフェニルジフェニルスルホニウムパーフルオロ-n-オクタンスルホネート、4-メタンスルホニルフェニルジフェニルスルホニウム2-ビシクロ[2.2.1]ヘプト-2-イル-1,1,2,2-テトラフルオロエタンスルホネート等が挙げられる。これらのうち、トリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウムノナフルオロ-n-ブタンスルホネート、トリフェニルホスホニウム1,1,2,2-テトラフルオロ-6-(1-アダマンタンカルボニロキシ)-ヘキサン-1-スルホネート、4-シクロヘキシルフェニルジフェニルスルホニウムノナフルオロ-n-ブタンスルホネートが好ましい。
 テトラヒドロチオフェニウム塩としては、例えば、1-(4-n-ブトキシナフタレン-1-イル)テトラヒドロチオフェニウムトリフルオロメタンスルホネート、1-(4-n-ブトキシナフタレン-1-イル)テトラヒドロチオフェニウムノナフルオロ-n-ブタンスルホネート、1-(4-n-ブトキシナフタレン-1-イル)テトラヒドロチオフェニウムパーフルオロ-n-オクタンスルホネート、1-(4-n-ブトキシナフタレン-1-イル)テトラヒドロチオフェニウム2-ビシクロ[2.2.1]ヘプト-2-イル-1,1,2,2-テトラフルオロエタンスルホネート、1-(6-n-ブトキシナフタレン-2-イル)テトラヒドロチオフェニウムトリフルオロメタンスルホネート、1-(6-n-ブトキシナフタレン-2-イル)テトラヒドロチオフェニウムノナフルオロ-n-ブタンスルホネート、1-(6-n-ブトキシナフタレン-2-イル)テトラヒドロチオフェニウムパーフルオロ-n-オクタンスルホネート、1-(6-n-ブトキシナフタレン-2-イル)テトラヒドロチオフェニウム2-ビシクロ[2.2.1]ヘプト-2-イル-1,1,2,2-テトラフルオロエタンスルホネート、1-(3,5-ジメチル-4-ヒドロキシフェニル)テトラヒドロチオフェニウムトリフルオロメタンスルホネート、1-(3,5-ジメチル-4-ヒドロキシフェニル)テトラヒドロチオフェニウムノナフルオロ-n-ブタンスルホネート、1-(3,5-ジメチル-4-ヒドロキシフェニル)テトラヒドロチオフェニウムパーフルオロ-n-オクタンスルホネート、1-(3,5-ジメチル-4-ヒドロキシフェニル)テトラヒドロチオフェニウム2-ビシクロ[2.2.1]ヘプト-2-イル-1,1,2,2-テトラフルオロエタンスルホネート等が挙げられる。これらのテトラヒドロチオフェニウム塩のうち、1-(4-n-ブトキシナフタレン-1-イル)テトラヒドロチオフェニウムノナフルオロ-n-ブタンスルホネート、1-(4-n-ブトキシナフタレン-1-イル)テトラヒドロチオフェニウムパーフルオロ-n-オクタンスルホネート及び1-(3,5-ジメチル-4-ヒドロキシフェニル)テトラヒドロチオフェニウムノナフルオロ-n-ブタンスルホネートが好ましい。
 ヨードニウム塩としては、例えば、ジフェニルヨードニウムトリフルオロメタンスルホネート、ジフェニルヨードニウムノナフルオロ-n-ブタンスルホネート、ジフェニルヨードニウムパーフルオロ-n-オクタンスルホネート、ジフェニルヨードニウム2-ビシクロ[2.2.1]ヘプト-2-イル-1,1,2,2-テトラフルオロエタンスルホネート、ビス(4-t-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート、ビス(4-t-ブチルフェニル)ヨードニウムノナフルオロ-n-ブタンスルホネート、ビス(4-t-ブチルフェニル)ヨードニウムパーフルオロ-n-オクタンスルホネート、ビス(4-t-ブチルフェニル)ヨードニウム2-ビシクロ[2.2.1]ヘプト-2-イル-1,1,2,2-テトラフルオロエタンスルホネート等が挙げられる。これらのヨードニウム塩のうち、ビス(4-t-ブチルフェニル)ヨードニウムノナフルオロ-n-ブタンスルホネートが好ましい。
 スルホンイミド化合物としては、例えば、N-(トリフルオロメタンスルホニルオキシ)ビシクロ[2.2.1]ヘプト-5-エン-2,3-ジカルボキシイミド、N-(ノナフルオロ-n-ブタンスルホニルオキシ)ビシクロ[2.2.1]ヘプト-5-エン-2,3-ジカルボキシイミド、N-(パーフルオロ-n-オクタンスルホニルオキシ)ビシクロ[2.2.1]ヘプト-5-エン-2,3-ジカルボキシイミド、N-(2-ビシクロ[2.2.1]ヘプト-2-イル-1,1,2,2-テトラフルオロエタンスルホニルオキシ)ビシクロ[2.2.1]ヘプト-5-エン-2,3-ジカルボキシイミド等が挙げられる。
 [C]酸発生剤を含有する場合の含有量としては、[A]重合体100質量部に対して(但し、[A]重合体以外の他の重合体をさらに含有する場合は、全重合体100質量部に対して)、1質量部以上20質量部以下が好ましく、3質量部以上10質量部以下がより好ましい。[C]酸発生剤の含有量を上記特定範囲とすることで、効果的に架橋反応を促進させることができる。
<[D]架橋剤>
 [D]架橋剤は、熱や酸の作用により、樹脂等の配合組成物や他の架橋剤分子との結合を形成する成分である。当該レジスト下層膜形成用組成物が[D]架橋剤を含有することで、レジスト下層膜の硬度を高めることができる。なお、[D]架橋剤は、単独で又は2種以上を組み合わせて用いることができる。
 上記[D]架橋剤としては、例えば、多官能(メタ)アクリレート化合物、エポキシ化合物、ヒドロキシメチル基置換フェノール化合物、アルコキシアルキル基含有フェノール化合物、アルコキシアルキル化されたアミノ基を有する化合物、アセナフチレンとヒドロキシメチルアセナフチレンとのランダム共重合体、下記式(6-1)~(6-12)で表される化合物等が挙げられる。
 上記多官能(メタ)アクリレート化合物としては、例えば、トリメチロールプロパントリ(メタ)アクリレート、ジトリメチロールプロパンテトラ(メタ)アクリレート、ペンタエリスリトールトリ(メタ)アクリレート、ペンタエリスリトールテトラ(メタ)アクリレート、ジペンタエリスリトールペンタ(メタ)アクリレート、ジペンタエリスリトールヘキサ(メタ)アクリレート、グリセリントリ(メタ)アクリレート、トリス(2-ヒドロキシエチル)イソシアヌレートトリ(メタ)アクリレート、エチレングリコールジ(メタ)アクリレート、1,3-ブタンジオールジ(メタ)アクリレート、1,4-ブタンジオールジ(メタ)アクリレート、1,6-ヘキサンジオールジ(メタ)アクリレート、ネオペンチルグリコールジ(メタ)アクリレート、ジエチレングリコールジ(メタ)アクリレート、トリエチレングリコールジ(メタ)アクリレート、ジプロピレングリコールジ(メタ)アクリレート、ビス(2-ヒドロキシエチル)イソシアヌレートジ(メタ)アクリレート等が挙げられる。
 上記エポキシ化合物としては、例えば、ノボラック型エポキシ樹脂、ビスフェノール型エポキシ樹脂、脂環式エポキシ樹脂、脂肪族エポキシ樹脂等が挙げられる。
 上記ヒドロキシメチル基置換フェノール化合物としては、例えば、2-ヒドロキシメチル-4,6-ジメチルフェノール、1,3,5-トリヒドロキシメチルベンゼン、3,5-ジヒドロキシメチル-4-メトキシトルエン[2,6-ビス(ヒドロキシメチル)-p-クレゾール]等が挙げられる。
 上記アルコキシアルキル基含有フェノール化合物としては、例えば、メトキシメチル基含有フェノール化合物、エトキシメチル基含有フェノール化合物等が挙げられる。
 上記アルコキシアルキル化されたアミノ基を有する化合物としては、例えば、(ポリ)メチロール化メラミン、(ポリ)メチロール化グリコールウリル、(ポリ)メチロール化ベンゾグアナミン、(ポリ)メチロール化ウレア等の一分子内に複数個の活性メチロール基を有する含窒素化合物であって、そのメチロール基の水酸基の水素原子の少なくとも一つが、メチル基やブチル基等のアルキル基によって置換された化合物等が挙げられる。なお、アルコキシアルキル化されたアミノ基を有する化合物は、複数の置換化合物を混合した混合物でもよく、一部自己縮合してなるオリゴマー成分を含むものであってもよい。
Figure JPOXMLDOC01-appb-C000010
 上記式中、Meはメチル基を、Etはエチル基を、Acはアセチル基をそれぞれ示す。
 なお、上記式(6-1)~(6-12)で表される化合物は、それぞれ、以下の文献を参考に合成することができる。
 式(6-1)で表される化合物:
 Guo,Qun-Sheng;Lu,Yong-Na;Liu,Bing;Xiao,Jian;Li,Jin-Shan Journal of Organometallic Chemistry,2006,vol.691,#6 p.1282-1287
 式(6-2)で表される化合物:
 Badar,Y.et al. Journal of the Chemical Society,1965,p.1412-1418
 式(6-3)で表される化合物:
 Hsieh,Jen-Chieh;Cheng,Chien-Hong Chemical Communications(Cambridge,United Kingdom),2008,#26 p.2992-2994
 式(6-4)で表される化合物:
 特開平5-238990号公報
 式(6-5)で表される化合物:
 Bacon,R.G.R.;Bankhead,R. Journal of the Chemical Society,1963,p.839-845
 式(6-6)、(6-8)、(6-11)及び(6-12)で表される化合物:
 Macromolecules 2010,vol43,p2832-2839
 式(6-7)、(6-9)及び(6-10)で表される化合物:
 Polymer Journal 2008,vol.40,No.7,p645-650、及びJournal of Polymer Science:Part A,Polymer Chemistry,Vol 46,p4949-4968
 これらの架橋剤のうち、メトキシメチル基含有フェノール化合物、アルコキシアルキル化されたアミノ基を有する化合物、アセナフチレンとヒドロキシメチルアセナフチレンとのランダム共重合体が好ましい。
 [D]架橋剤を含有する場合の含有量としては、[A]重合体100質量部に対して(但し、[A]重合体以外の他の重合体をさらに含有する場合は、全重合体100質量部に対して)、0.5質量部以上50質量部以下が好ましく、1質量部以上40質量部以下がより好ましく、2質量部以上35質量部以下がさらに好ましい。[D]架橋剤の含有量を上記特定範囲とすることで、効果的に架橋反応を起こさせることができる。
<[E]界面活性剤>
 [E]界面活性剤は、塗布性を向上させる成分である。当該レジスト下層膜形成用組成物が[E]界面活性剤を含有することで、塗布されるレジスト下層膜の塗布面均一性を向上し、かつ塗布斑の発生を防止することができる。なお、[E]界面活性剤は、単独で又は2種以上を組み合わせて用いることができる。
 [E]界面活性剤としては、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンオレイルエーテル、ポリオキシエチレン-n-オクチルフェニルエーテル、ポリオキシエチレン-n-ノニルフェニルエーテル、ポリエチレングリコールジラウレート、ポリエチレングリコールジステアレート等のノニオン系界面活性剤や、市販品として、KP341(信越化学工業製)、ポリフローNo.75、同No.95(以上、共栄社油脂化学工業製)、エフトップEF101、同EF204、同EF303、同EF352(以上、トーケムプロダクツ製)、メガファックF171、同F172、同F173(以上、大日本インキ化学工業製)、フロラードFC430、同FC431、同FC135、同FC93(以上、住友スリーエム製)、アサヒガードAG710、サーフロンS382、同SC101、同SC102、同SC103、同SC104、同SC105、同SC106(以上、旭硝子製)等が挙げられる。
 [E]界面活性剤を含有する場合の含有量としては、[A]重合体100質量部に対して(但し、[A]重合体以外の他の重合体をさらに含有する場合は、全重合体100質量部に対して)、0.001質量部以上5質量部以下が好ましく、0.005質量部以上1質量部以下がより好ましい。[E]界面活性剤の含有量を上記特定範囲とすることで、効果的に塗布性を向上させることができる。
<[F]密着助剤>
 [F]密着助剤は、下地との密着性を向上させる成分である。当該レジスト下層膜形成用組成物が[F]密着助剤を含有することで、下地としての基板(レジスト下層膜と基板との間に他の膜がある場合はレジスト下層膜が接する他の膜)との密着性を向上させることができる。なお、[F]密着助剤は単独で又は2種以上を組み合わせて用いてもよい。
 [F]密着助剤としては、例えば、公知の密着助剤を用いることができる。
 [F]密着助剤の含有量としては、[A]重合体100質量部に対して(但し、[A]重合体以外の他の重合体をさらに含有する場合は、全重合体100質量部に対して)、0.01質量部以上10質量部以下が好ましく、0.01質量部以上5質量部以下がより好ましい。
<レジスト下層膜形成用組成物の調製方法>
 当該レジスト下層膜形成用組成物は、必須成分である[A]重合体、好適成分である[B]溶媒、[C]酸発生剤及び[D]架橋剤、必要に応じて、[E]界面活性剤、[F]密着助剤等のその他の任意成分を所定の割合で混合することにより調製できる。
<レジスト下層膜形成方法>
 本発明のレジスト下層膜形成方法は、
 (1)当該レジスト下層膜形成用組成物を用いて被加工基板上に塗膜を形成する工程、及び
 (2)上記塗膜を加熱してレジスト下層膜を形成する工程を有する。
 上記被加工基板としては、例えば、シリコンウエハ、アルミニウムで被覆したウエハ等が挙げられる。また、被加工基板への当該レジスト下層膜形成用組成物の塗布方法は特に限定されず、例えば、回転塗布、流延塗布、ロール塗布等の適宜の方法で実施することができる。
 上記塗膜の加熱は、通常、大気下で行われる。加熱温度としては、通常150℃~500℃であり、好ましくは200℃~450℃である。加熱温度が150℃未満である場合、酸化架橋が十分に進行せず、レジスト下層膜として必要な特性が発現しないおそれがある。加熱時間は、通常30秒~1,200秒であり、好ましくは60秒~600秒である。
 加熱時の酸素濃度は5容量%以上であることが好ましい。加熱時の酸素濃度が低い場合、レジスト下層膜の酸化架橋が十分に進行せず、レジスト下層膜として必要な特性が発現できないおそれがある。
 上記塗膜を150℃~500℃の温度で加熱する前に、60℃~250℃の温度で予備加熱しておいてもよい。予備加熱における加熱時間は特に限定されないが、10秒~300秒が好ましく、30秒~180秒がより好ましい。この予備加熱を行うことにより、溶媒を予め気化させて膜を緻密にしておくことで、脱水素反応を効率良く進めることができる。
 なお、当該レジスト下層膜形成方法においては、通常、上記塗膜を加熱してレジスト下層膜を形成するが、当該レジスト下層膜形成用組成物が光酸発生剤を含有する場合にあっては、露光と加熱とを組み合わせることにより塗膜を硬化させてレジスト下層膜を形成することもできる。この露光に用いられる放射線としては、光酸発生剤の種類に応じ、可視光線、紫外線、遠紫外線、X線、電子線、γ線、分子線、イオンビーム等から適宜選択される。
<レジスト下層膜>
 本発明のレジスト下層膜は、当該レジスト下層膜形成用組成物から、例えば、上述のレジスト下層膜形成方法により形成される。当該レジスト下層膜は、当該レジスト下層膜形成用組成物から形成されているため、レジスト下層膜に要求されるエッチング耐性等の一般特性を十分満たし、加えて高い耐熱性、耐溶剤性及び曲がり耐性を有する。従って、当該レジスト下層膜は、パターンの更なる微細化が進む半導体デバイスでの多層レジストプロセスを用いたパターン形成プロセスに好適に適用することができる。
<パターン形成方法>
 本発明のパターン形成方法は、
 (1)当該レジスト下層膜形成用組成物を用いて被加工基板上にレジスト下層膜を形成する工程(以下、「工程(1)」ともいう)、
 (2)レジスト組成物を用いて上記レジスト下層膜の上面側にレジスト膜を形成する工程(以下、「工程(2)」ともいう)、
 (3)選択的な放射線照射により上記レジスト膜を露光する工程(以下、「工程(3)」ともいう)、
 (4)上記露光されたレジスト膜を現像してレジストパターンを形成する工程(以下、「工程(4)」ともいう)、及び
 (5)上記レジストパターンをマスクとして用い、上記レジスト下層膜及び上記被加工基板を順次ドライエッチングする工程(以下、「工程(5)」ともいう)を有する。
 当該パターン形成方法は、上記工程(1)と上記工程(2)との間に、(1’)上記レジスト下層膜上に中間層を形成する工程(以下、「工程(1’)」ともいう)をさらに有し、上記工程(5)において、さらに上記中間層をドライエッチングするようにしてもよい。
[工程(1)]
 本工程では、当該レジスト下層膜形成用組成物を用いて被加工基板上にレジスト下層膜を形成する。なお、このレジスト下層膜の形成方法については、上述のレジスト下層膜の形成方法をそのまま適用することができる。この工程(1)で形成されるレジスト下層膜の膜厚は、通常0.05μm~5μmである。
 また、このパターン形成方法においては、工程(1)の後に、必要に応じて、上記レジスト下層膜上に中間層(中間層被膜)を形成する工程(1’)をさらに有していてもよい。この中間層は、レジストパターン形成において、レジスト下層膜及び/又はレジスト膜が有する機能をさらに補ったり、これらが有していない機能をこれらに与えたりするためにこれらの機能が付与された層のことである。例えば、反射防止膜を中間層として形成した場合、レジスト下層膜の反射防止機能をさらに補うことができる。
 この中間層は、有機化合物や無機酸化物により形成することができる。上記有機化合物としては、市販品として、例えば、「DUV-42」、「DUV-44」、「ARC-28」、「ARC-29」(以上、Brewer Science製);「AR-3」、「AR-19」(以上、ローム アンド ハース製)等が挙げられる。上記無機酸化物としては、市販品として、例えば、「NFC SOG01」、「NFC SOG04」、「NFC SOG080」(以上、JSR製)等が挙げられる。また、CVD法により形成されるポリシロキサン、酸化チタン、酸化アルミナ、酸化タングステン等を用いることができる。
 中間層の形成方法は特に限定されないが、例えば、塗布法やCVD法等を用いることができる。これらの中でも、塗布法が好ましい。塗布法を用いた場合、レジスト下層膜を形成後、中間層を連続して形成することができる。また、中間層の膜厚としては特に限定されず、中間層に求められる機能に応じて適宜選択されるが、10nm~3,000nmが好ましく、20nm~300nmがより好ましい。
[工程(2)]
 本工程では、レジスト組成物を用いて上記レジスト下層膜の上面側にレジスト膜を形成する。具体的には、得られるレジスト膜が所定の膜厚となるようにレジスト組成物を塗布した後、プレベークすることによって塗膜中の溶媒を揮発させることにより、レジスト膜が形成される。
 上記レジスト組成物としては、例えば、光酸発生剤を含有するポジ型又はネガ型の化学増幅型レジスト組成物、アルカリ可溶性樹脂とキノンジアジド系感光剤とからなるポジ型レジスト組成物、アルカリ可溶性樹脂と架橋剤とからなるネガ型レジスト組成物等が挙げられる。
 上記レジスト組成物の全固形分濃度としては、通常1質量%~50質量%である。また、上記レジスト組成物は、一般に、例えば、孔径0.2μm程度のフィルターでろ過して、レジスト膜の形成に供される。なお、この工程では、市販のレジスト組成物をそのまま使用することもできる。
 レジスト組成物の塗布方法としては特に限定されず、例えば、スピンコート法等が挙げられる。また、プレベークの温度としては、使用されるレジスト組成物の種類等に応じて適宜調整されるが、通常30℃~200℃であり、50℃~150℃が好ましい。
[工程(3)]
 本工程では、選択的な放射線照射により上記レジスト膜を露光する。露光に用いられる放射線としては、レジスト組成物に使用される光酸発生剤の種類に応じて、可視光線、紫外線、遠紫外線、X線、電子線、γ線、分子線、イオンビーム等から適切に選択される。これらの中で、遠紫外線が好ましく、KrFエキシマレーザー光(248nm)、ArFエキシマレーザー光(193nm)、Fエキシマレーザー光(波長157nm)、Krエキシマレーザー光(波長147nm)、ArKrエキシマレーザー光(波長134nm)、極紫外線(波長13nm等)等がより好ましい。なお、このレジストパターンの形成は、ナノインプリント法等の現像工程を経ないものであってもよい。
 上記露光後、解像度、パターンプロファイル、現像性等を向上させるためポストベークを行うことができる。このポストベークの温度は、使用されるレジスト組成物の種類等に応じて適宜調整されるが、通常50℃~200℃であり、70℃~150℃が好ましい。
[工程(4)]
 本工程では、露光されたレジスト膜を現像してレジストパターンを形成する。本工程で用いられる現像液は、使用されるレジスト組成物の種類に応じて適宜選択される。現像液としては、例えば、水酸化ナトリウム、水酸化カリウム、炭酸ナトリウム、珪酸ナトリウム、メタ珪酸ナトリウム、アンモニア、エチルアミン、n-プロピルアミン、ジエチルアミン、ジ-n-プロピルアミン、トリエチルアミン、メチルジエチルアミン、ジメチルエタノールアミン、トリエタノールアミン、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、ピロール、ピペリジン、コリン、1,8-ジアザビシクロ[5.4.0]-7-ウンデセン、1,5-ジアザビシクロ[4.3.0]-5-ノネン等のアルカリ性水溶液が挙げられる。これらのアルカリ性水溶液には、例えば、メタノール、エタノール等のアルコール類などの水溶性有機溶媒、界面活性剤等を適量添加することもできる。
 上記現像液での現像後、洗浄し、乾燥することによって、所定のレジストパターンが形成される。
[工程(5)]
 本工程では、レジストパターンをマスクとして用い、上記工程(1’)を有する場合にあっては上記中間層、上記レジスト下層膜、上記被加工基板の順に順次ドライエッチングし、上記工程(1’)を有しない場合にあっては上記レジスト下層膜、上記被加工基板の順に順次ドライエッチングし、多層レジストプロセスを経ることにより上記被加工基板に所定のパターンを形成する。このドライエッチングには、例えば、酸素プラズマ等のガスプラズマ等が用いられる。上記ドライエッチングの後、所定のパターンを有する被加工基板が得られる。
 さらに、当該レジスト下層膜形成用組成物を用いるパターン形成方法としては、上述のパターン形成方法以外にも、例えば、ナノインプリント法等を用いたパターン形成方法等が挙げられる。
 以下、本発明を実施例によりさらに具体的に説明するが、本発明は、これらの実施例に制限されるものではない。
 なお、[A]重合体のポリスチレン換算重量平均分子量(Mw)は、東ソー製のGPCカラム(G2000HXL:2本、G3000HXL:1本)を用い、流量:1.0mL/分、溶出溶剤:テトラヒドロフラン、カラム温度:40℃の分析条件で、単分散ポリスチレンを標準とするゲルパーミエーションクロマトグラフ(検出器:示差屈折計)により測定した。また、各膜厚は、分光エリプソメータ(M2000D、J.A.WOOLLAM製)を用いて測定した。
<[A]重合体の合成>
 下記式(M-1)~(M-6)で表される化合物を用いて、各重合体を合成した。
Figure JPOXMLDOC01-appb-C000011
[合成例1](A-1)の合成
 温度計を備えたセパラブルフラスコに、窒素雰囲気下で、M-1を30質量部及びM-5を100質量部、アルカリ金属化合物としての炭酸カリウムを260質量部並びに溶媒としてのジメチルアセトアミドを500質量部配合し、攪拌しつつ、140℃で4時間縮合重合反応を行い反応液を得た。この反応液をろ過後、メタノールを加えて再沈殿を行い、得られた沈殿物を乾燥させて下記式で表される構造単位を有する重合体(A-1)を得た。(A-1)のMwは、4,000であった。
Figure JPOXMLDOC01-appb-C000012
[合成例2](A-2)の合成
 温度計を備えたセパラブルフラスコに、窒素雰囲気下で、M-2を130質量部及びM-5を100質量部、アルカリ金属化合物としての炭酸カリウムを260質量部並びに溶媒としてのジメチルアセトアミドを500質量部配合し、攪拌しつつ、140℃で4時間縮合重合反応を行い反応液を得た。この反応液をろ過後、メタノールを加えて再沈殿を行い、得られた沈殿物を乾燥させて下記式で表される構造単位を有する重合体(A-2)を得た。(A-2)のMwは、5,000であった。
Figure JPOXMLDOC01-appb-C000013
[合成例3](A-3)の合成
 温度計を備えたセパラブルフラスコに、窒素雰囲気下で、M-3を130質量部及びM-5を100質量部、アルカリ金属化合物としての炭酸カリウムを260質量部並びに溶媒としてのジメチルアセトアミドを500質量部配合し、攪拌しつつ、140℃で4時間縮合重合反応を行い反応液を得た。この反応液をろ過後、メタノールを加えて再沈殿を行い、得られた沈殿物を乾燥させて下記式で表される構造単位を有する重合体(A-3)を得た。(A-3)のMwは、4,500であった。
Figure JPOXMLDOC01-appb-C000014
[合成例4](A-4)の合成
 温度計を備えたセパラブルフラスコに、窒素雰囲気下で、M-4を140質量部及びM-5を100質量部、アルカリ金属化合物としての炭酸カリウムを260質量部並びに溶媒としてのジメチルアセトアミドを500質量部配合し、攪拌しつつ、140℃で4時間縮合重合反応を行い反応液を得た。この反応液をろ過後、メタノールを加えて再沈殿を行い、得られた沈殿物を乾燥させて下記式で表される構造単位を有する重合体(A-4)を得た。(A-4)のMwは、2,500であった。
Figure JPOXMLDOC01-appb-C000015
[合成例5](A-5)の合成
 温度計を備えたセパラブルフラスコに、窒素雰囲気下で、M-1を130質量部及びM-6を100質量部、アルカリ金属化合物としての炭酸カリウムを260質量部並びに溶媒としてのジメチルアセトアミドを500質量部配合し、攪拌しつつ、140℃で4時間縮合重合反応を行い反応液を得た。この反応液をろ過後、メタノールを加えて再沈殿を行い、得られた沈殿物を乾燥させて下記式で表される構造単位を有する重合体(A-5)を得た。(A-5)のMwは、3,500であった。
Figure JPOXMLDOC01-appb-C000016
[合成例6](A-6)の合成
 温度計を備えたセパラブルフラスコに、窒素雰囲気下で、M-1を65質量部、M-2を65質量部、及びM-5を100質量部、アルカリ金属化合物としての炭酸カリウムを140質量部並びに溶媒としてのジメチルアセトアミドを500質量部配合し、攪拌しつつ、130℃で4時間縮合重合反応を行い反応液を得た。この反応液をろ過後、メタノーるランダム共重合体(A-6)を得た。(A-6)のMwは、3,800であった。
Figure JPOXMLDOC01-appb-C000017
[合成例7](a-1)の合成
 温度計を備えたセパラブルフラスコに、窒素雰囲気下で、2,7-ジヒドロキシナフタレン100質量部、ホルマリン30質量部、p-トルエンスルホン酸1質量部、及び、プロピレングリコールモノメチルエーテル150質量部を仕込み、攪拌しつつ80℃で6時間重合させて反応液を得た。その後、反応液を酢酸n-ブチル100質量部で希釈し、多量の水/メタノール(質量比:1/2)混合溶媒で有機層を洗浄した。その後、溶媒を留去して下記式で表される構造単位を有する重合体(a-1)を得た。得られた重合体(a-1)の重量平均分子量(Mw)は、1,800であった。
Figure JPOXMLDOC01-appb-C000018
<レジスト下層膜形成用組成物の調製>
[A]重合体以外の各成分について以下に示す。
[B]溶媒
 B-1:シクロヘキサノン
[C]酸発生剤
 下記式(C-1)~(C-3)で表される化合物
Figure JPOXMLDOC01-appb-C000019
[D]架橋剤
 下記式(D-1)で表される化合物(ニカラックN-2702、三和ケミカル製)
 下記式(D-2)で表される化合物(Journal of Polymer Science Part A:2008,Vol 46,p4949を参考に合成した。)
 下記式(D-3)で表される化合物(MW-100LM、三和ケミカル製)
 下記式(D-4)で表される化合物(アセナフチレンとヒドロキシメチルアセナフチレンとのランダム共重合体、Mw=3,000)(特開2004-168748号公報を参考に合成した。)
Figure JPOXMLDOC01-appb-C000020
[実施例1]
 [A]重合体として(A-1)10質量部及び[B]溶媒として(B-1)100質量部を混合して溶液を得た。そして、この溶液を孔径0.1μmのメンブランフィルターでろ過することによりレジスト下層膜形成用組成物を調製した。
[実施例2~11及び比較例1]
 混合する各成分の種類及び配合量(質量部)を表1に記載した通りとした以外は、実施例1と同様に操作して、各レジスト下層膜形成用組成物を調製した。なお、表1中、「-」で表記した欄は、その成分を配合していないことを示している。
Figure JPOXMLDOC01-appb-T000021
<評価>
 屈折率、吸光係数、エッチング耐性、耐熱性、耐溶剤性、及び曲がり耐性を測定し、その結果を表2に示す。
[屈折率及び吸光係数]
 上記調製した各レジスト下層膜形成用組成物を、被加工基板となる直径8インチのシリコンウエハ表面にスピンコートした後、350℃で2分間加熱を行い、膜厚250nmのレジスト下層膜を形成した。そして、分光エリプソメータ(M2000D、J.A.WOOLLAM製)を用い、形成されたレジスト下層膜の波長193nmにおける屈折率及び吸光係数を測定した。このとき、屈折率が1.3以上1.6以下かつ吸光係数が0.2以上0.8以下の場合を良好、上記範囲外の場合を不良とした。
[エッチング耐性]
 まず、スピンコート法により、直径8インチのシリコンウエハ上に、レジスト下層膜形成用組成物をスピンコートして、膜厚300nmのレジスト下層膜を形成した。その後、このレジスト下層膜を、エッチング処理(圧力:0.03Torr、高周波電力:3000W、Ar/CF=40/100sccm、基板温度:20℃)し、エッチング処理後のレジスト下層膜の膜厚を測定した。そして、膜厚の減少量と処理時間との関係からエッチングレート(nm/分)を算出し、比較例に対する比率を算出した。この値が小さいほど、エッチング耐性が良好である。
[耐熱性]
 直径8インチのシリコンウエハ上に、各レジスト下層膜形成用組成物をスピンコートして塗膜(レジスト下層膜)を形成し、この塗膜の膜厚を上記分光エリプソメータを用いて測定した(この測定値をXとする)。次に、このレジスト下層膜を350℃で120秒間加熱し、加熱後のレジスト下層膜の膜厚を上記分光エリプソメータを用いて測定した(この測定値をYとする)。そして、加熱前後のレジスト下層膜の膜厚減少率△FT(%)(△FT(%)=100×(X-Y)/X)を算出し、この算出値を耐熱性(%)とした。なお、耐熱性(%)の値が小さいほど、レジスト下層膜の加熱時に発生する昇華物や膜分解物が少なく、良好(高い耐熱性)であることを表している。
[耐溶剤性]
 上記[屈折率及び吸光係数]の評価と同様の方法でレジスト下層膜を形成した。次いで、レジスト下層膜が形成された基板を、シクロヘキサノン中に室温で10秒間浸漬した。浸漬前後の膜厚を上記分光エリプソメータを用いて測定し、その測定値から膜厚変化率を算出して耐溶剤性の指標とした。膜厚変化率が1%未満の場合、耐溶剤性は「A」(良好)と、1%以上5%未満の場合は「B」(やや良好)と、5%以上の場合は「C」(不良)と評価した。
[曲がり耐性]
 上記[屈折率及び吸光係数]の評価と同様の方法でレジスト下層膜を形成した。次いで、このレジスト下層膜上に3層レジストプロセス用中間層組成物溶液(NFC SOG508、JSR製)をスピンコートした後、200℃で60秒間加熱し、引き続き300℃で60秒間加熱して膜厚0.04μmの中間層被膜を形成した。次に、この中間層被膜上に市販のレジスト組成物をスピンコートし、100℃で60秒間プレベークして膜厚0.1μmのレジスト膜を形成した。
 次に、ArF液浸露光装置(レンズ開口数1.30、露光波長193nm、NIKON製)を用い、マスクを介して最適露光時間露光した。次に、100℃で60秒間ポストベークした後、2.38質量%のテトラメチルアンモニウムヒドロキシド水溶液を用いてレジスト膜を現像した。その後、水洗し、乾燥し、ポジ型レジストパターンを形成した。次に、このパターンが形成されたレジスト膜をマスクとし、リアクティブ・イオン・エッチング方式のエッチング装置(Telius SCCM、東京エレクトロン製)を用いて中間層被膜を四フッ化炭素ガスによりドライエッチング処理し、レジスト膜開口部の下に位置する中間層被膜が無くなったところでエッチング処理を停止して中間層被膜にレジストパターンを転写した。
 次に、上記レジストパターンを転写した中間層被膜をマスクとして用い、上記エッチング装置を用いて酸素と窒素の混合ガスにてドライエッチング処理し、中間層被膜開口部の下に位置するレジスト下層膜が無くなったところでエッチング処理を停止してレジスト下層膜に中間層被膜のパターンを転写した。次に、中間層被膜のパターンが転写されたレジスト下層膜をマスクとして用い、上記エッチング装置を用いて四フッ化炭素とアルゴンの混合ガスにてドライエッチング処理し、レジスト下層膜開口部の下に位置するシリコン酸化膜を0.1μmだけ除去したところでエッチング処理を停止した。
 そして、基板上に残ったレジスト下層膜パターンのうち、直線状パターンが等間隔で並ぶいわゆるライン・アンド・スペース・パターンの形状をSEM(走査型電子顕微鏡)により観察した。このライン・アンド・スペース・パターンは繰り返し間隔が84nm固定で直線状パターンが等間隔に100本並んでおり、これを1組と見なす。同一基板上にはパターン幅が異なる21組のパターン群があり、それぞれパターン幅は50nmから30nmまで1nm刻みとなっている。ここで言うパターン幅とは、レジスト下層膜で形成されている等間隔に配置された直線パターンの1本あたりの幅である。基板上の同一設計パターンのうち、任意の5箇所を上記SEMにより各パターン幅のパターンを観察し、この観察結果を曲がり耐性とした。このとき、レジスト下層膜のパターンが全て垂直に立っていれば、曲がり耐性は良好「A」と、一カ所でも曲がっていれば不良「B」と評価した。 
Figure JPOXMLDOC01-appb-T000022
 表2から明らかなように、実施例1~11のレジスト下層膜形成用組成物から形成されるレジスト下層膜は、屈折率及び吸光係数が良好であり、エッチング耐性に優れていると共に、比較例1のレジスト下層膜形成用組成物から形成されるレジスト下層膜に比べ、高い耐熱性を有している。また、実施例では、耐溶剤性及び曲がり耐性についても良好であった。
 本発明は、エッチング耐性等の一般特性を十分満たし、加えて高い耐熱性、耐溶剤性及び曲がり耐性を有するレジスト下層膜を形成可能な多層レジストプロセスに用いられるレジスト下層膜形成用組成物、この組成物を用いたレジスト下層膜及びその形成方法、並びに当該組成物を用いたパターン形成方法を提供することができる。従って、本発明の多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法は、パターンの更なる微細化が進む半導体デバイスでの多層レジストプロセスを用いたパターン形成プロセスに好適に使用することができる。

Claims (8)

  1.  [A]下記式(1)で表される構造単位(I)を有する重合体を含有する多層レジストプロセスに用いられるレジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000001
    (式(1)中、Ar、Ar、Ar及びArは、それぞれ独立して、2価の芳香族炭化水素基又は2価のヘテロ芳香族基である。但し、上記芳香族炭化水素基及びヘテロ芳香族基が有する水素原子の一部又は全部は、置換されていてもよい。Rは、単結合又は炭素数1~20の2価の炭化水素基である。但し、上記炭素数1~20の2価の炭化水素基が有する水素原子の一部又は全部は、置換されていてもよい。上記炭素数1~20の2価の炭化水素基は、エステル基、エーテル基又はカルボニル基を構造中に有していてもよい。Yは、カルボニル基又はスルホニル基である。mは、0又は1である。nは、0又は1である。)
  2.  上記式(1)におけるAr、Ar、Ar及びArが、それぞれ独立して、下記式(2)で表される請求項1に記載のレジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000002
    (式(2)中、Qは、(k+2)価の芳香族炭化水素基又は(k+2)価のヘテロ芳香族基である。Rは、ハロゲン原子、ヒドロキシ基、シアノ基、ホルミル基又は炭素数1~20の1価の炭化水素基である。但し、上記炭素数1~20の1価の炭化水素基が有する水素原子の一部又は全部は、ハロゲン原子、ヒドロキシ基、シアノ基又はホルミル基で置換されていてもよい。kは、0~6の整数である。但し、kが2以上の場合、複数のRは、同一でも異なっていてもよい。)
  3.  上記式(1)におけるmが0であるか、又は上記式(1)におけるmが1であって上記式(1)におけるRが単結合若しくは下記式(3)で表される請求項1に記載のレジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000003
    (式(3)中、Qは、(a+2)価の芳香族炭化水素基又は(a+2)価のヘテロ芳香族基である。Qは、(b+2)価の芳香族炭化水素基又は(b+2)価のヘテロ芳香族基である。R及びRは、それぞれ独立して、ハロゲン原子、ヒドロキシ基又はシアノ基である。aは、0~4の整数である。bは、0~4の整数である。R及びRがそれぞれ複数の場合、複数のR及びRは、それぞれ同一でも異なっていてもよい。)
  4.  [B]溶媒をさらに含有する請求項1に記載のレジスト下層膜形成用組成物。
  5.  請求項1に記載のレジスト下層膜形成用組成物から形成されるレジスト下層膜。
  6.  (1)請求項1に記載のレジスト下層膜形成用組成物を用いて被加工基板上に塗膜を形成する工程、及び
     (2)上記塗膜を加熱してレジスト下層膜を形成する工程
    を有するレジスト下層膜形成方法。
  7.  (1)請求項1に記載のレジスト下層膜形成用組成物を用いて被加工基板上にレジスト下層膜を形成する工程、
     (2)レジスト組成物を用いて上記レジスト下層膜の上面側にレジスト膜を形成する工程、
     (3)選択的な放射線照射により上記レジスト膜を露光する工程、
     (4)上記露光されたレジスト膜を現像してレジストパターンを形成する工程、及び
     (5)上記レジストパターンをマスクとして用い、上記レジスト下層膜及び上記被加工基板を順次ドライエッチングする工程
    を有するパターン形成方法。
  8.  上記工程(1)と上記工程(2)との間に、
     (1’)上記レジスト下層膜上に中間層を形成する工程
    をさらに有し、
     上記工程(5)において、さらに上記中間層をドライエッチングする請求項7に記載のパターン形成方法。
PCT/JP2012/080518 2011-12-01 2012-11-26 多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法 WO2013080929A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020147014323A KR20140104420A (ko) 2011-12-01 2012-11-26 다층 레지스트 공정에 사용되는 레지스트 하층막 형성용 조성물, 레지스트 하층막 및 그의 형성 방법, 및 패턴 형성 방법
US14/290,744 US20150198882A9 (en) 2011-12-01 2014-05-29 Composition for forming resist underlayer film, resist underlayer film and resist underlayer film-forming method, and pattern-forming method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011264144 2011-12-01
JP2011-264144 2011-12-01

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/290,744 Continuation US20150198882A9 (en) 2011-12-01 2014-05-29 Composition for forming resist underlayer film, resist underlayer film and resist underlayer film-forming method, and pattern-forming method

Publications (1)

Publication Number Publication Date
WO2013080929A1 true WO2013080929A1 (ja) 2013-06-06

Family

ID=48535385

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2012/080518 WO2013080929A1 (ja) 2011-12-01 2012-11-26 多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法

Country Status (5)

Country Link
US (1) US20150198882A9 (ja)
JP (1) JPWO2013080929A1 (ja)
KR (1) KR20140104420A (ja)
TW (1) TW201324057A (ja)
WO (1) WO2013080929A1 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015209511A (ja) * 2014-04-28 2015-11-24 Jsr株式会社 重合体組成物、重合体ペレット、成形体及びフィルム
JP2015209510A (ja) * 2014-04-28 2015-11-24 Jsr株式会社 重合体
WO2015178074A1 (ja) * 2014-05-22 2015-11-26 Jsr株式会社 感光性樹脂組成物およびその用途
US9601325B2 (en) 2014-06-24 2017-03-21 Rohm And Haas Electronic Materials Llc Aromatic resins for underlayers
JP2017137486A (ja) * 2016-02-04 2017-08-10 Jsr株式会社 樹脂組成物、重合体の製造方法及び成形体
WO2017183461A1 (ja) * 2016-04-20 2017-10-26 Jsr株式会社 重合体、組成物、成形体、硬化物及び積層体
JP2017197725A (ja) * 2016-04-20 2017-11-02 Jsr株式会社 重合体、組成物及び成形体
JP2017200997A (ja) * 2016-04-27 2017-11-09 Jsr株式会社 組成物、硬化物及び積層体
JPWO2016143447A1 (ja) * 2015-03-11 2018-02-01 Jsr株式会社 重合体、樹脂組成物及び樹脂成形体
JP2018024827A (ja) * 2016-07-28 2018-02-15 Jsr株式会社 重合体、組成物及び成形体
WO2018186310A1 (ja) * 2017-04-03 2018-10-11 日産化学株式会社 光架橋基を有するポリエーテル樹脂を含む段差基板被覆組成物
WO2020021827A1 (ja) * 2018-07-25 2020-01-30 Jsr株式会社 感光性樹脂組成物、パターンを有する樹脂膜の製造方法、パターンを有する樹脂膜、および半導体回路基板
EP3623867A1 (en) 2018-09-13 2020-03-18 Shin-Etsu Chemical Co., Ltd. Patterning process
WO2020241576A1 (ja) * 2019-05-27 2020-12-03 三菱瓦斯化学株式会社 リソグラフィー用下層膜形成用組成物、リソグラフィー用下層膜及びパターン形成方法および精製方法
US11203662B2 (en) 2016-11-10 2021-12-21 Samsung Sdi Co., Ltd. Polymer, organic layer composition, and method of forming patterns
WO2022145365A1 (ja) * 2020-12-28 2022-07-07 Jsr株式会社 半導体基板の製造方法及び組成物
US11582860B2 (en) 2017-09-15 2023-02-14 Jsr Corporation Circuit board

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016080217A1 (ja) * 2014-11-19 2016-05-26 日産化学工業株式会社 湿式除去が可能なシリコン含有レジスト下層膜形成組成物
US9508549B2 (en) 2014-12-26 2016-11-29 Dow Global Technologies Llc Methods of forming electronic devices including filling porous features with a polymer
US9620378B1 (en) * 2015-12-24 2017-04-11 Jsr Corporation Composition for film formation, film, production method of patterned substrate, and compound
JP7041358B2 (ja) * 2016-06-03 2022-03-24 Jsr株式会社 膜形成用組成物、膜、レジスト下層膜の形成方法、パターニングされた基板の製造方法及び化合物
KR101775586B1 (ko) * 2017-02-15 2017-09-11 동우 화인켐 주식회사 하드마스크용 조성물
KR102456399B1 (ko) * 2017-03-10 2022-10-20 제이에스알 가부시끼가이샤 레지스트 하층막 형성용 조성물, 레지스트 하층막 및 그의 형성 방법 그리고 패터닝된 기판의 제조 방법
JP2021131491A (ja) * 2020-02-20 2021-09-09 東京応化工業株式会社 ハードマスク形成用組成物及び電子部品の製造方法、及び樹脂

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0862835A (ja) * 1994-07-27 1996-03-08 Internatl Business Mach Corp <Ibm> マイクロリソグラフィ用の反射防止膜組成物と、それを用いたパターン形成方法
JP2002003752A (ja) * 2000-06-21 2002-01-09 Jsr Corp 膜形成用組成物および絶縁膜形成用材料
JP2004162034A (ja) * 2002-10-01 2004-06-10 Chartered Semiconductor Mfg Ltd 新規なポリ(アリーレンエーテル)誘電体
JP2006152295A (ja) * 2004-11-22 2006-06-15 Samsung Electronics Co Ltd フォトリソグラフィに使われるボトムレジスト用のポリマー及びその製造方法
JP2008015223A (ja) * 2006-07-06 2008-01-24 Nissan Chem Ind Ltd スルホンを含有するレジスト下層膜形成組成物
WO2012050064A1 (ja) * 2010-10-14 2012-04-19 日産化学工業株式会社 ポリエーテル構造を含有する樹脂を含むリソグラフィー用レジスト下層膜形成組成物

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0862835A (ja) * 1994-07-27 1996-03-08 Internatl Business Mach Corp <Ibm> マイクロリソグラフィ用の反射防止膜組成物と、それを用いたパターン形成方法
JP2002003752A (ja) * 2000-06-21 2002-01-09 Jsr Corp 膜形成用組成物および絶縁膜形成用材料
JP2004162034A (ja) * 2002-10-01 2004-06-10 Chartered Semiconductor Mfg Ltd 新規なポリ(アリーレンエーテル)誘電体
JP2006152295A (ja) * 2004-11-22 2006-06-15 Samsung Electronics Co Ltd フォトリソグラフィに使われるボトムレジスト用のポリマー及びその製造方法
JP2008015223A (ja) * 2006-07-06 2008-01-24 Nissan Chem Ind Ltd スルホンを含有するレジスト下層膜形成組成物
WO2012050064A1 (ja) * 2010-10-14 2012-04-19 日産化学工業株式会社 ポリエーテル構造を含有する樹脂を含むリソグラフィー用レジスト下層膜形成組成物

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015209510A (ja) * 2014-04-28 2015-11-24 Jsr株式会社 重合体
JP2015209511A (ja) * 2014-04-28 2015-11-24 Jsr株式会社 重合体組成物、重合体ペレット、成形体及びフィルム
WO2015178074A1 (ja) * 2014-05-22 2015-11-26 Jsr株式会社 感光性樹脂組成物およびその用途
US9601325B2 (en) 2014-06-24 2017-03-21 Rohm And Haas Electronic Materials Llc Aromatic resins for underlayers
JPWO2016143447A1 (ja) * 2015-03-11 2018-02-01 Jsr株式会社 重合体、樹脂組成物及び樹脂成形体
JP2017137486A (ja) * 2016-02-04 2017-08-10 Jsr株式会社 樹脂組成物、重合体の製造方法及び成形体
WO2017183461A1 (ja) * 2016-04-20 2017-10-26 Jsr株式会社 重合体、組成物、成形体、硬化物及び積層体
JP2017197725A (ja) * 2016-04-20 2017-11-02 Jsr株式会社 重合体、組成物及び成形体
US11505651B2 (en) 2016-04-20 2022-11-22 Jsr Corporation Polymer, composition, molded article, cured product and laminate
JP2017200997A (ja) * 2016-04-27 2017-11-09 Jsr株式会社 組成物、硬化物及び積層体
JP2018024827A (ja) * 2016-07-28 2018-02-15 Jsr株式会社 重合体、組成物及び成形体
US11203662B2 (en) 2016-11-10 2021-12-21 Samsung Sdi Co., Ltd. Polymer, organic layer composition, and method of forming patterns
WO2018186310A1 (ja) * 2017-04-03 2018-10-11 日産化学株式会社 光架橋基を有するポリエーテル樹脂を含む段差基板被覆組成物
US10871712B2 (en) 2017-04-03 2020-12-22 Nissan Chemical Corporation Stepped substrate-coating composition containing polyether resin having photocrosslinkable group
US11582860B2 (en) 2017-09-15 2023-02-14 Jsr Corporation Circuit board
JPWO2020021827A1 (ja) * 2018-07-25 2021-08-19 Jsr株式会社 感光性樹脂組成物、パターンを有する樹脂膜の製造方法、パターンを有する樹脂膜、および半導体回路基板
WO2020021827A1 (ja) * 2018-07-25 2020-01-30 Jsr株式会社 感光性樹脂組成物、パターンを有する樹脂膜の製造方法、パターンを有する樹脂膜、および半導体回路基板
JP7294341B2 (ja) 2018-07-25 2023-06-20 Jsr株式会社 感光性樹脂組成物、パターンを有する樹脂膜の製造方法、パターンを有する樹脂膜、および半導体回路基板
EP3623867A1 (en) 2018-09-13 2020-03-18 Shin-Etsu Chemical Co., Ltd. Patterning process
WO2020241576A1 (ja) * 2019-05-27 2020-12-03 三菱瓦斯化学株式会社 リソグラフィー用下層膜形成用組成物、リソグラフィー用下層膜及びパターン形成方法および精製方法
WO2022145365A1 (ja) * 2020-12-28 2022-07-07 Jsr株式会社 半導体基板の製造方法及び組成物

Also Published As

Publication number Publication date
JPWO2013080929A1 (ja) 2015-04-27
US20150198882A9 (en) 2015-07-16
US20140272722A1 (en) 2014-09-18
KR20140104420A (ko) 2014-08-28
TW201324057A (zh) 2013-06-16

Similar Documents

Publication Publication Date Title
WO2013080929A1 (ja) 多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法
JP6264246B2 (ja) 膜形成用組成物、膜、パターンが形成された基板の製造方法及び化合物
EP3137943B1 (en) Antireflective coating compositions and processes thereof
JP5915452B2 (ja) レジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法
JP5874722B2 (ja) パターン形成方法
JP6641879B2 (ja) レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
TW200916539A (en) An antireflective coating composition comprising fused aromatic rings
JP2016044272A (ja) 膜形成用組成物、膜、パターンが形成された基板の製造方法及び化合物
JP6907522B2 (ja) レジスト下層膜形成用組成物及びその製造方法、レジスト下層膜並びにパターニングされた基板の製造方法
US20150309403A1 (en) Antireflective coating compositions and processes thereof
US20160257842A1 (en) Composition for resist underlayer film formation, resist underlayer film, and production method of patterned substrate
WO2017208796A1 (ja) 膜形成用組成物、膜、レジスト下層膜の形成方法、パターニングされた基板の製造方法及び化合物
WO2017141612A1 (ja) レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
WO2018074534A1 (ja) レジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、パターニングされた基板の製造方法並びに化合物
US9620378B1 (en) Composition for film formation, film, production method of patterned substrate, and compound
KR102469461B1 (ko) 막 형성용 조성물, 막, 패턴이 형성된 기판의 제조 방법 및 화합물
KR101956925B1 (ko) 레지스트 하층막 형성용 조성물, 레지스트 하층막 및 그의 형성 방법, 및 패턴 형성 방법
JP5690043B2 (ja) フラーレン誘導体溶液、フラーレン誘導体膜及びフラーレン誘導体
JP6741957B2 (ja) レジストプロセス用膜形成材料及びパターン形成方法
WO2023021971A1 (ja) レジスト下層膜の形成方法、半導体基板の製造方法、レジスト下層膜形成用組成物及びレジスト下層膜
JP7439823B2 (ja) レジスト下層膜形成用組成物、レジスト下層膜、レジスト下層膜の形成方法及びパターニングされた基板の製造方法
KR20190078309A (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
US20240231231A1 (en) Method for forming resist underlayer film, method for producing semiconductor substrate, composition, and resist underlayer film
KR102676176B1 (ko) 레지스트 하층막 형성용 중합체 및 그의 제조 방법, 레지스트 하층막 형성용 조성물, 레지스트 하층막, 및 패터닝된 기판의 제조 방법
TWI680119B (zh) 膜形成用組成物、膜、形成有圖案的基板的製造方法及化合物

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12854382

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2013547143

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20147014323

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12854382

Country of ref document: EP

Kind code of ref document: A1