CN1106043C - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN1106043C
CN1106043C CN98100991A CN98100991A CN1106043C CN 1106043 C CN1106043 C CN 1106043C CN 98100991 A CN98100991 A CN 98100991A CN 98100991 A CN98100991 A CN 98100991A CN 1106043 C CN1106043 C CN 1106043C
Authority
CN
China
Prior art keywords
layer
wiring
semiconductor device
insulating barrier
siof
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN98100991A
Other languages
English (en)
Other versions
CN1198014A (zh
Inventor
横山孝司
山田义明
岸本光司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1198014A publication Critical patent/CN1198014A/zh
Application granted granted Critical
Publication of CN1106043C publication Critical patent/CN1106043C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种对布线上的等离子体SiOF氧化层有良好键合性能并对掩埋布线间隔部位有良好掩埋性能的半导体器件。它在半导体衬底上淀积形成一层要作布线基底的金属层,在金属层上淀积形成一层难熔金属或其化合物的抗反射层,并在抗反射层上淀积形成一层绝缘层。之后,加工绝缘层图形并用其作掩膜刻蚀抗反射层和金属层加工布线图形并在布线上留有抗反射层和绝缘层。再将加工成图形的布线连同抗反射层和绝缘层一起掩埋入SiOF层。

Description

半导体器件及其制造方法
技术领域
本发明一般涉及到一种具有存储功能、逻辑功能和各种特定半导体材料功能的半导体器件及其制造方法。更具体地说,本发明涉及到这种半导体器件的多层互联结构及其制作方法。
背景技术
近年来,伴随着半导体集成电路封装密度的改进和增加,多层布线结构的改进和使用已有显著发展。在一个逻辑型半导体器件中,因为需要缩短信号的传播延迟,所以要求减小层间绝缘层的介电常数。因此,曾经研究使用高密度等离子体CVD(化学气相淀积)装置(HDP-CVD)引入含氟气体淀积SiOF(ε≈3.3)作低介电常数层。
当使用SiOF层作为低介电常数层时,就有可能甚至在布线间隔小于或等于0.25μm时也能得到非常满意的掩埋,而这在由平行板等离子体CVD方法(PE-CVD法)用TEOS(四乙氧基硅烷)或类似材料形成硅氧化层的情况下是不可能的,TEOS之类的材料已广泛用作常规的气体材料。
另一方面,除了HDP-CVD方法之外,还有一种是在按上述平行板等离子体CVD方法(PE-CVD)形成Si氧化层的时候加入腐蚀型的含氟气体形成SiOF的方法(例如,日本未审查专利公报No.平6-302593)。在这种方法中,因为腐蚀是与层的淀积同时进行的,所以能获得好的掩埋性能,因而甚至比Si氧化层更小的布线间隔也能掩埋SiOF层。
已对适用于对布线间隔有严格要求的如动态随机存取存储器(DRAM)之类的存储型器件的良好掩埋性能进行了研究。
已广泛使用铝合金作半导体器件的布线。然而,为了防止光刻时的表面反射往往在Al布线上形成一层TiN抗反射层。按照降低介电常数的观点来看,最好采用在上表面复盖有TiN的布线上直接淀积SiOF的结构。然而,由于在TiN和SiOF层之间键合能力较低,所以会遇到在层间的界面上很容易引起剥离的问题。在SiOF层中氟的浓度较高时掩埋性能愈高,而在SiOF层中有高氟浓度时剥离问题就变得更加重要。
撇开上述问题不说,在具有高浓度氟的SiOF层中,当进行如热处理之类的工艺时氟可能会散布,使其可能与Al类布线起作用造成腐蚀。作为解决这个问题的措施,曾经提出过一种方法即在生长SiOF层之前生长Si氧化层(例如,日本未审查专利公报No.平7-74245)。采用这种方法的现有技术的截面图示于图6中。在半导体Si衬底的一层BPSG(硼磷硅玻璃)层602上用PE-CVD方法形成厚度100nm的不含氟的硅氧化层604,而在铝布线603上使用了四乙氧基硅烷和O2。使用四乙氧基硅烷、O2和NF3在Si氧化层604上形成500nm厚度的SiOF层605填满铝布线之间的间隔。在这种方法中,氟在SiOF层中的扩散被Si氧化层阻止并且可以改善抗反射层TiN和SiOF层之间的键合能力。
然而,如图6所示,由于Si氧化层的生长,形成在布线之间的突边状606造成在精细布线607中埋入SiOF层比较因难。尤其是在低于或等于0.25μm的间隔部位覆盖范围降低,会在布线之间产生空洞608等等,这就使得布线的可靠性降低。也由于Si氧化层和SiOF层的双层结构具有相对高的介电常数,介电常数变得比单层SiOF要高。
在现有技术中造成的首要课题是由于当在具有TiN作抗反射层的先前的布线上直接生长SiOF层时在TiN层界面上的剥离出现氟扩散可能造成Al类布线的腐蚀使布线的可靠性较低。
这是由于SiOF层生长层或者在SiOF层中的氟在TiN表面上形成Ti的氟化物的原故。由于氟和SiOF层之间键合能力低,此外,因为Al型布线和等离子体SiOF氧化层直接接触,所以氟可能经热处理等等而引起扩散与Al型物质反应。
第二个问题是当在SiOF层下面形成Si氧化层时,尽管SiOF层是用作绝缘层的,对布线间隔部位的掩埋能力不高。而且,作为整体的一层绝缘层来说不可能令人满意地降低介电常数。
其原因是由于在淀积SiOF层之前直接淀积氧化硅层。此氧化硅层覆盖得不太好,并且形成突状物的结构使掩埋性能降低,随后在布线间隔部位内形成SiOF层,并与具有相对高的介电常数的氧化硅层堆叠,所以整个夹层绝缘层的介电常数不能满意地得到降低。
发明内容
本发明的一项目的是要提供一种半导体器件,它能提高金属布线的键合性能,防止布线腐蚀,提高精细布线之间的掩埋性能,使整个夹层绝缘层的介电常数降低并且能使布线间电容较小而提高器件的速度。
按照本发明的一种方式,一种半导体器件包括有:
经腐蚀在半导体衬底上形成的布线;
在布线形成中用一层绝缘层作腐蚀掩模,该绝缘层仅形成在经过一层抗反射层的布线的上表面上;以及
一层含有氟和在其中埋入抗反射层与绝缘层的硅氧化层。
在布线上的绝缘层可以是一层氧化硅层或一层氮化硅层。
在布线上的抗反射层可以是由难熔金属或其化合物,如Ti、W、TiN和TiW中的任一种或者它们的叠层结构形成的。布线的主要成分可以是铝或铜。
按照本发明的另一种方式,一种半导体器件的制造工艺包括:
金属层的形成步骤,它在一半导体衬底上形成作为布线基底的一层金属层;
抗反射层的形成步骤,它在金属层上形成一层难熔金属或其化合物的抗反射层;
绝缘层的形成步骤,它在抗反射层上形成一层绝缘层;
绝缘层的图形加工步骤,它加工绝缘层的图形;
布线图形的加工步骤,它以加工成的绝缘层图形作为掩模,经腐蚀抗反射层和要作布线基底的金属层,在布线上留下抗反射层和绝缘层,进行布线图形加工;以及
SiOF的掩埋步骤,它用SiOF层作为含氟的氧化硅对已加工成的布线图形连同在其上表面上的抗反射层和绝缘层一起埋掩埋。
绝缘层加工图形的步骤可以包括用光刻胶作为掩模进行绝缘层图形加工的一步,和去除这层光刻胶的一步。抗反射层可以与绝缘层同时加工图形。
绝缘层形成的步骤可以用溅射或CVD的方法形成氧化硅或氮化硅层。
抗反射层形成的步骤可以用溅射方式淀积Ti、W、TiN和TiW中的任一种或者以溅射方式在Ti、W、TiN和TiW中选择多种成份淀积。
金属层的形成步骤可以淀积含Al为主要成分的金属,而用干法刻蚀进行加工布线图形的步骤所用气体的条件是在要形成有保护层的布线金属的侧壁上经腐蚀形成倾斜的侧壁。
SiOF的掩埋步骤是用等离子体CVD方法或者高密度等离子体CVD方法进行的。此时,用硅烷型气体或者TEOS、氟型气体或者三乙氧基氟硅烷(TEFS)以及氧气作气体源。当使用氟型气体时,至少使用CF4、C2F6、NF3、SiF4中的一种。
在SiOF层中氟的浓度最好高于或等于5%的原子数。
在本发明中,在形成有晶体管等等的半导体衬底上,例如Al合金的金属被溅射成为第一布线层。在第一布线层上,经刻蚀将TiN层作成抗反射层。还在抗反射层上,用溅射法或等离子体CVD法淀积氧化硅层。为了形成布线的预想形状,用已知的刻蚀工艺涂敷光刻胶并加工光刻胶图形。用此光刻胶作掩膜刻蚀氧化硅膜。此时,也可以接着腐蚀TiN层。作为刻蚀用气体可以使用NF3、SiF4、Ar等等的气体系统。当使用这种气体系统时,氧化硅层和在其下作基底层的TiN通常被腐蚀掉。然而,Al类金属不受腐蚀,使腐蚀终止到Al类金属的表面上。稍微更改一下气体系统或者更改一下混合物的比例,也可能使腐蚀终止在TiN的表面上。
接着,用加工成图形的氧化硅作掩模腐蚀Al类的金属布线。作为腐蚀用气体引入BCl3、Cl2、N2类的气体并且使用高密度等离子体源如ICP(激光诱导化学处理)等等进行刻蚀。在此步骤中,与腐蚀Al类金属的同时氧化硅层的表面稍微受到腐蚀。在布线的侧壁上形成由Al、Si、O和N组成的侧壁保护层。当使用这种气体类型时,布线侧壁保护层的淀积量是随着量的大小而改变。通过改变淀积量,就能改变布线侧壁的倾斜角。通过布线的倾斜角,对SiOF层的掩埋特性施加重大影响。用N2的量调节倾斜角,可以提高SiOF层的掩埋特性,使得有可能掩埋布线之间更精细的间隔而不致形成空洞。
此外,侧壁保护层也作为防止SiOF层中的氟扩散的阻挡层。在这个意义上,在淀积SiOF层之前淀积氧化硅变得没有必要了。因而,肯定能形成高掩埋性能和低介电常数的SiOF。
按照本发明,因为氧化硅层是在后来形成在TiN布线上的,所以TiN层和等离子体SiOF层不直接接触。因此,等离子体SiOF氧化物层肯定能给布线提供好的键合性能。
附图说明
从后面给出的详细说明和本发明的最佳实施例的附图中将对本发明得到更充分的了解,然而,它们不是对本发明的限定,而只是为了进行说明和了解而已。
在附图中:
图1A到1E是按照工艺步骤顺序表示制造工艺的本发明第一实施例的剖面图;
图2是表示布线的倾斜角的剖面图;
图3是表示氮气流速和布线倾斜角之间关系的特性曲线图;
图4是表示布线倾斜角和层中氟的浓度之间关系的特性曲线图;
图5A到5C是按照工艺步骤的顺序表示制造工艺的本发明第二实施例的剖面图;
图6是表示现有技术的剖面图。
具体实施方式
以下将用本发明的最佳实施例参照附图对本发明作详细讨论。为了提供对本发明的透彻了解在下面的描述中有许多专门的细节。然而,很明显,对那些专业技术人员来说可以实施本发明而无需这些专门细节。另一情况是为了避免使本发明有无需的模糊不清处,那些众所周知的结构未予详细表示。
图1A到1E是本发明第一实施例的剖面图,它按工艺步骤的顺序示出制造工艺。
如图1A中所示,在形成有晶体管等的硅衬底上的氧化硅层102上用溅射法形成厚度为400到500nm的用作布线的Al类金属103。在布线103的下面淀积厚度为100到200nm的Ti、TiN、TiN/Ti或类似物作为结合处阻挡层的金属层104。在Al类金属层103上,用光刻形成作为抗反射层105的TiN、TiN/Ti或类似物。TiN层的厚度在25到100nm的范围内。接着用溅射或CVD法形成厚度为150到300nm的氧化硅层106。为了构成布线图形,在氧化硅层106上涂敷厚度约1μm的光刻胶。然后用已知的光刻工艺实现对光刻胶加工图形107。
接着,如图1B所示,用光刻胶图形作为掩膜,腐蚀掉氧化硅106和抗反射层105。为了腐蚀氧化层106,引入10到20SCCM(标准立方厘米/分)的CHF3、10到20SCCM的CF4和150到250SCCM的Ar作为气体系统在功率为700到900W的RF(高频)下进行腐蚀。因Al类金属不受这种气体系统腐蚀,所以腐蚀终止在Al类金属的表面上。通过增加CHF3的流速,降低TiN膜的腐蚀速度。因而,在这种条件下,对氧化硅的腐蚀能够实现并停止在到达TiN时。
接着,如图1C中所示,去除光刻胶图形并用氧化硅层106加工成的图形腐蚀Al类金属。引入10到30SCCM的BCl3、40到70SCCM的Cl2和10到30SCCM的N2作为气体系统。此时,如图1C中所示,氧化硅层106也被稍微腐蚀了一些,在Al类布线的侧壁108上形成约5到20nm厚的由Al、Si、O和N组成的侧壁保护层。布线的剖面形状为上升坡形。图2表示这种布线的斜坡剖面。
接着,如图1D中所示,淀积600nm到2000nm的SiON层110。通过向通常的平行板等离子体CVD(PE-CVD)系统引进TEOS或SiH4类气体和氟类气体(C2F6、TEFS或类似物)、氧、氦生长SiOF层110。当使用TEOS和C2F6时,氟在SiOF层中的浓度通过淀积的功率、温度、气体材料流速等等调节到5到10的原子百分数。具体地说,气体材料TEOS调节到50到200SCCM,C2F6气体调节到300到600SCCM,氧调节到500到2000SCCM,RF功率调节到800到1000W,以及衬底温度调节到300到400℃。因为布线的截面是上升坡形状,所以即使在布线间隔低于或等于0.25μm处也能埋入SiOF层110而不产生任何空隙。接着,在敷覆一层如SOG(旋涂玻璃)层、光刻胶层等等的平整层之后用CMP(化学机械抛光)或反腐蚀工艺平整布线上的台阶。另外,还在整个表面上淀积厚度约50到100nm的氧化硅层111作为防潮罩层。
接着,如图1E中所示,通过已知的光刻和腐蚀工艺在布线上形成一穿孔113。接着用溅射法或CVD法形成TiN、TiN/Ti或类似物作为结合处的阻挡层金属114。此后,用CVD法和反腐蚀法形成W塞子或者类似物。在整个表面上形成第二层铝的布线116。在增加更多层的情况时,重复进行以上的类似过程。
下面,将对在布线腐蚀的条件下腐蚀形状和SiOF层中氟的浓度作出更为详细的讨论。当用如ICP,螺旋波或类似物的高密度等离子体源作等离子体源时,用氧化硅做掩模,通过引入BCl2/Cl2/N2类气体能够腐蚀Al类金属。当使用这样的气体时,在氮气流的比率增加时,淀积在侧壁上的侧壁保护层109增加,使得布线的斜角201加大(图2)。氮的流速和布线的斜角的关系绘示在图3中。
在5到35SCCM的范围内改变氮的流速,布线间隔部位的斜角201在90°到95°的范围内变动。当斜角是90°时,为了在低于或等于0.25μm的间隙内完全埋入SiOF层,氟的浓度变成约5%的最低限度。在斜角约为95°的情况下,为了完全埋入要求大约2%的氟浓度。如上所述,用氧化硅作掩模腐蚀Al类金属,可以变动布线的斜角201。此外,侧壁保护层109也可以做为防止SiOF层中的氟扩散进Al类布线中的壁垒。因此,可以不淀积氧化硅层,而直接淀积SiOF层。而且能够保持作为SiOF层的良好埋入性能和低的介电常数。要注意到,当在本发明中使用上述气体系统时,这些气体并非对所示例子特定的。
将对本发明第一实施例的功能进行讨论。在经过制造工艺第一实施例获得的半导体器件的多层布线中,因为氧化硅层106出现在SiOF层110和抗反射层105之间,所以SiOF层110和抗反射层105不直接接触。因此,由于钛的氟化物未形成在作为抗反射层105的TiN表面上,所以决不会在TiN层的表面上引起剥离。
比较起来,在现有技术的情况下,SiOF层是直接形成在作为抗反射层105的TiN上,当层中氟的浓度超过5%原子数而接近10%原子数时SiOF层容易剥离开TiN。特别是在宽布线图形上,SiOF层从TiN层剥离成凸面状的隆起。
这样,通过在SiOF层110和抗反射层105之间设置一层氧化硅层106,就不必害怕TiN键合失效的可能性。
此外,在高浓度氟的SiOF层中,当在诸如热处理等工艺中氟可能扩散与Al类布线反应引起腐蚀。在本发明的第一实施例中,因为布线的表面覆盖有氧化硅层106和侧壁保护层109,所以有这些层作为氟的阻挡层。在形成布线之后的淀积SiOF层之前,没有必要形成氧化硅层或类似物作为氟的阻挡层。于是使予先作为氟的阻挡层的上述氧化硅层在淀积SiOF层之前在布线之间形成反倾斜的形状以避免妨碍SiOF层的埋入。例如,在布线厚度为0.5μm的整个层厚中当剖面的斜角201变成92°时,并当以PE-CVD法形成厚度为100nm的氧化硅层之后以PE-CVD法用TEOS、C2F6气体淀积的含氟6%的SiOF层掩埋氧化硅时,甚至在布线间隔为0.5μm的情况下都能在布线间形成间隙。与此对照,当直接形成SiOF层而无氧化硅时,甚至在布线间隔低于或等于0.25μm时都有可能掩埋空隙。为了在淀积100nm的氧化硅之后用SiOF层掩埋0.25μm的空隙,就必需将氟的浓度设置成大于或等于10%的原子数。
因为具有高氟浓度的SiOF层其吸潮特性高,这在以后工艺中容易引起问题。在不要求大量减低介电常数的器件中,最好不要过分地增加氟。按照本发明采用最佳工艺,甚至用具有低浓度氟的SiOF层都能埋入精细的布线间隔使SiOF层中的潮气或氟的不利影响减至最小。在本发明中,还因为布线之间所有的间隔是被具有介电常数比氧化硅低的SiOF层掩埋,所以布线之间的寄生电容能比堆叠氧化硅层所引起的要小。反过来说,当能允许有与氧化硅堆积结构相等的寄生电容时,就可以选用可靠性占优势的低氟浓度的SiOF层。
下面,参照附图讨论本发明的第二实施例,图5A到5C是本发明第二实施例的主要工艺步骤的剖面图。在所示的实施例中,布线是由Al类金属和W的堆积结构形成的。
如图5A所示,在形成有晶体管等的Si衬底的氧化硅层502上,用溅射法淀积布线用的400到500nm的Al类金属。在这个布线503的下面按顺序用溅射法分别淀积各为50nm和100nm的Ti和TiN作为结合面的阻挡金属层504。此后,用低压CVD法淀积厚度100到200nm的W层506。在Al类金属层503上,用溅射法淀积作为光刻用的抗反射层505,其厚度25到50nm。
接着,如图5B中所示,用溅射或者CVD法淀积厚度150到300nm的氧化硅层507。为了形成布线图形,在氧化硅507上涂敷一层厚度约2μm的光刻胶508。通过已知的光刻工艺将光刻胶层508加工成图形。用光刻胶层508作为掩膜,按顺序对氧化硅层507、抗反射层505、W层506和结合面阻挡金属层503进行腐蚀。腐蚀条件要按各自的材料分别转换为已知的最佳条件。在这个结构中,倘若在腐蚀氧化硅层507之后就去除光刻层508并用氟类气体腐蚀W层506,那么在这种腐蚀条件下氧化硅层507也受到了腐蚀。因而,光刻胶层508直到完成W层506的腐蚀为止不能去除。
在所示的实施例中,因为是在使用光刻胶作掩膜的已知条件下进行腐蚀的,所以在布线的侧壁上不能形成保护层并且布线的横断面图形不能向上倾斜。因此,倘若SiOF层509是在与第一实施例相同的条件下淀积的,那么它就不可能掩埋如在第一实施例中那样精细的空隙。用HDP-CVD法淀积时在衬底上加一RF偏压同时进行腐蚀,就能在即使是精细的布线间隔内也能埋入SiOF层509。用HDP-CVD法淀积SiOF层509的条件是向腔内引入20到40SCCM的SiH4、20到40SCCM的SiF4、20到40SCCM的氧、20到40SCCM的氩并使用约3000W的RF功率源产生等离子体,同时施加功率1000到1500W的偏压形成厚度1到2μm的SiOF层(图5C)。接着,与上述的第一实施例相同,由CMP法进行平整、形成通孔、形成第一层Al布线而后完成两层Al布线的形成。
在所示实施例中,当没有在布线的侧壁上形成作为氟的阻挡层的保护层时,用HDP-CVD法形成的SiOF层是比用PE-CVD法形成的SiOF层更难去除氟。不需要担心氟对Al的腐蚀。因此,在所示实施例中所期望的效果仅仅是提高了抗反射层的键合力。
在上述两项实施例中,已作出在抗反射层的表面上形成TiN的情况的讨论,而要在抗反射层的表面上形成的层则不限于TiN。当一层含Ti的层如Ti或TiN形成在最上面的表面时,倘若是直接形成SiOF层,就在表面上形成Ti的氟化物降低键合性能。所以,所示实施例甚至对Ti和TiW都是有效的,因为W层与SiOF层间的键合性能也低,所以本发明对W也有效。
此外,TiN或TiW经常用作Cu的阻挡层。因而,本发明对铜布线也有效。
在另一方面,作为在抗反射层上的绝缘层,它不仅限于氧化硅层。作为淀积SiOF层使用的加氟气体,可以使用C2F6、SiF4、NF3、CF4、TEFS等等加入。
如上所述,按照本发明,能够改善布线上SiOF层的键合性能。其理由是,因为氧化硅层形成在SiOF层和TiN抗反射层之间,使TiN抗反射层和SiOF层不直接接触。
此外,布线间低氟浓度SiOF层的掩埋性能能有改善。其理由是,因为使用了氧化硅层图形作掩膜进行布线金属的腐蚀,所以布线的横截面形状能呈向上倾斜状。还有,倾斜角度能随腐蚀条件而改变。此外,因为能够淀积SiOF层而不淀积氧化硅层。
另外还有,能够改善在SiOF层中布线的可靠性。其理由是能够利用氧化硅图形腐蚀布线金属。因此,为防止氟的扩散在布线的侧壁上能够形成侧壁保护层。
还有,SiOF层肯定提供低介电常数。理由是淀积SiOF层而不淀积氧化硅层。
虽然对本发明的典型实施例已做了图示和描述,但那些专业技术人员们应该了解到在不脱离本发明的精神和范围的情况下是有可能对上述技术做出各种改变、省略和补充的。因此,本发明不应理解成仅限于上述的特定实施例,而要包括全部可能的实施例,它包括所附权利要求中的特征所拥有和相当的范围。

Claims (14)

1.一种半导体器件,其特征在于,它包括:
抗反射层(105),形成于金属布线(103)的上表面上;
氧化硅层(106)形成于所述抗反射层(105)的上表面上;
通过蚀刻所述金属布线(103)和所述氧化硅层(106),在所述金属布线(103)的侧壁上形成侧壁保护层(109),并包含来自所述金属布线(103)的金属材料和来自所述氧化硅层(106)的硅;
将所述金属布线(103)与所述第一侧壁保护层(109)一起埋入含氟的硅氧化膜(110)中,覆盖住所述金属布线(103)、抗反射层(105)和所述氧化硅层(106)。
2.按照权利要求1所述的半导体器件,其特征在于,所述布线上的所述绝缘层是氧化硅层。
3.按照权利要求1所述的半导体器件,其特征在于,所述布线上的所述绝缘层是氮化硅层。
4.按照权利要求1所述的半导体器件,其特征在于,所述布线上的所述抗反射层是一种难熔金属或其化合物形成的。
5.按照权利要求1所述的半导体器件,其特征在于,所述布线上的所述抗反射层是由Ti、W、TiN、TiW或其叠层结构中的任一种形成的。
6.按照权利要求1所述的半导体器件,其特征在于,所述布线的主要成分是Al。
7.按照权利要求1所述的半导体器件,其特征在于,所述布线的主要成分是Cu。
8.一种半导体器件的制造工艺,其特征在于,它包括:
在半导体衬底上形成一层金属层成为布线基底的金属层形成步骤;
在所述金属层上形成一层难熔金属或其化合物的抗反射层的抗反射层形成步骤;
在所述抗反射层上形成一层绝缘层的绝缘层形成步骤;
对所述绝缘层加工图形的绝缘层图形加工步骤;
进行布线图形加工的布线图形加工步骤,它用加工成图形的绝缘层作掩膜刻蚀所述抗反射层和要作布线基底的所述金属层并在所述布线上留下所述抗反射层和所述绝缘层;以及
SiOF层的掩埋步骤,它用一层SiOF层作为含氟的氧化硅层将加工成图形的布线和顶面上的所述抗反射层及所述绝缘层一起掩埋住。
9.按照权利要求8所述半导体器件的制造工艺,其特征在于,所述绝缘层的图形加工步骤包括用光刻胶作掩膜进行所述绝缘层的图形加工步骤和去除所述光刻胶的步骤。
10.按照权利要求8所述半导体器件的制造工艺,其特征在于,所述的绝缘层的图形加工步骤包括用光刻胶作掩膜同时对所述绝缘层和所述抗反射层加工图形的步骤,以及去除所述光刻胶的步骤。
11.按照权利要求8所述的半导体器件的制造工艺,其特征在于,所述绝缘层形成步骤用溅射或CVD法形成所述氧化硅层或所述氮化硅层。
12.按照权利要求8所述的半导体器件的制造工艺,其特征在于,所述抗反射层形成步骤用溅射法淀积Ti、W、TiN和TiW中的任一种。
13.按照权利要求8所述的半导体器件的制造工艺,其特征在于,所述抗反射层形成步骤用溅射法在Ti、W、TiN和TiW中选择多种成分淀积。
14.按照权利要求8所述的半导体器件的制造工艺,其特征在于,其中所述金属层形成步骤淀积含Al为主要成分的金属,所述布线图形加工步骤是用一种气体的干法刻蚀进行的,所述干法刻蚀所处的条件为经刻蚀形成倾斜的侧壁同时在所述布线金属的侧壁上形成一层保护层。
CN98100991A 1997-03-31 1998-03-31 半导体器件及其制造方法 Expired - Fee Related CN1106043C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP9080672A JP3019021B2 (ja) 1997-03-31 1997-03-31 半導体装置及びその製造方法
JP80672/1997 1997-03-31
JP80672/97 1997-03-31

Publications (2)

Publication Number Publication Date
CN1198014A CN1198014A (zh) 1998-11-04
CN1106043C true CN1106043C (zh) 2003-04-16

Family

ID=13724859

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98100991A Expired - Fee Related CN1106043C (zh) 1997-03-31 1998-03-31 半导体器件及其制造方法

Country Status (4)

Country Link
US (2) US6130154A (zh)
JP (1) JP3019021B2 (zh)
KR (1) KR100265256B1 (zh)
CN (1) CN1106043C (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101399219B (zh) * 2007-09-28 2011-11-02 上海华虹Nec电子有限公司 金属层间通孔的制备和填充方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW469619B (en) * 1998-05-26 2001-12-21 Winbond Electronics Corp Structure and manufacturing method for metal line
US6444564B1 (en) * 1998-11-23 2002-09-03 Advanced Micro Devices, Inc. Method and product for improved use of low k dielectric material among integrated circuit interconnect structures
US6252303B1 (en) * 1998-12-02 2001-06-26 Advanced Micro Devices, Inc. Intergration of low-K SiOF as inter-layer dielectric
US6281584B1 (en) * 1998-12-02 2001-08-28 Advanced Micro Devices, Inc. Integrated circuit with improved adhesion between interfaces of conductive and dielectric surfaces
JP3266195B2 (ja) 1999-03-23 2002-03-18 日本電気株式会社 半導体装置の製造方法
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
US6265305B1 (en) * 1999-10-01 2001-07-24 United Microelectronics Corp. Method of preventing corrosion of a titanium layer in a semiconductor wafer
KR100602314B1 (ko) * 1999-12-29 2006-07-14 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
JP4646346B2 (ja) * 2000-01-28 2011-03-09 パナソニック株式会社 電子デバイスの製造方法
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
JP2002217292A (ja) * 2001-01-23 2002-08-02 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP2003045874A (ja) 2001-07-27 2003-02-14 Semiconductor Energy Lab Co Ltd 金属配線およびその作製方法、並びに金属配線基板およびその作製方法
JP2003060031A (ja) 2001-08-14 2003-02-28 Oki Electric Ind Co Ltd 半導体装置及びその製造方法。
JP2003152165A (ja) * 2001-11-15 2003-05-23 Fujitsu Ltd 半導体装置およびその製造方法
KR20030053967A (ko) * 2001-12-24 2003-07-02 동부전자 주식회사 반도체 소자의 금속배선 형성방법
JP2004140198A (ja) * 2002-10-18 2004-05-13 Oki Electric Ind Co Ltd 半導体装置およびその製造方法
JP2005101597A (ja) * 2003-09-04 2005-04-14 Seiko Epson Corp 半導体装置およびその製造方法
US7100216B2 (en) * 2003-10-15 2006-09-05 Impact Innovative Products, Llc Garment with energy dissipating conformable padding
US7045455B2 (en) * 2003-10-23 2006-05-16 Chartered Semiconductor Manufacturing Ltd. Via electromigration improvement by changing the via bottom geometric profile
US7329953B2 (en) * 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
KR100536808B1 (ko) * 2004-06-09 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
DE602005001759D1 (de) * 2004-12-16 2007-09-06 St Microelectronics Crolles 2 SRAM-Speicherzelle
US7446047B2 (en) * 2005-02-18 2008-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Metal structure with sidewall passivation and method
DE102007037858B4 (de) 2007-08-10 2012-04-19 Infineon Technologies Ag Halbleiterbauelement mit verbessertem dynamischen Verhalten
JP5170101B2 (ja) 2007-11-02 2013-03-27 富士通セミコンダクター株式会社 半導体装置とその製造方法
US8710661B2 (en) * 2008-11-26 2014-04-29 International Business Machines Corporation Methods for selective reverse mask planarization and interconnect structures formed thereby
CN102569168A (zh) * 2010-12-23 2012-07-11 无锡华润上华半导体有限公司 金属互连线的制作方法
JP5364765B2 (ja) * 2011-09-07 2013-12-11 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
CN105789218A (zh) * 2016-03-10 2016-07-20 京东方科技集团股份有限公司 一种基板、其制作方法及显示装置
US10347509B1 (en) 2018-02-09 2019-07-09 Didrew Technology (Bvi) Limited Molded cavity fanout package without using a carrier and method of manufacturing the same
CN112005369A (zh) * 2018-02-15 2020-11-27 迪德鲁科技(Bvi)有限公司 制造无热界面材料气密平顶his/emi屏蔽封装的系统和方法
US10424524B2 (en) 2018-02-15 2019-09-24 Chengdu Eswin Sip Technology Co., Ltd. Multiple wafers fabrication technique on large carrier with warpage control stiffener

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163538A (ja) * 1992-11-26 1994-06-10 Sumitomo Metal Ind Ltd プラズマエッチング方法
US5489553A (en) * 1995-05-25 1996-02-06 Industrial Technology Research Institute HF vapor surface treatment for the 03 teos gap filling deposition

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5179435A (en) * 1990-03-05 1993-01-12 Nec Corporation Resin sealed semiconductor integrated circuit device
JPH04174541A (ja) * 1990-03-28 1992-06-22 Nec Corp 半導体集積回路及びその製造方法
JPH04147651A (ja) * 1990-04-02 1992-05-21 Toshiba Corp 半導体装置およびその製造方法
US5189502A (en) * 1990-05-08 1993-02-23 Nec Corporation Semiconductor device having ventilative insulating films
US5345108A (en) * 1991-02-26 1994-09-06 Nec Corporation Semiconductor device having multi-layer electrode wiring
US5532516A (en) * 1991-08-26 1996-07-02 Lsi Logic Corportion Techniques for via formation and filling
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP2755035B2 (ja) * 1992-03-28 1998-05-20 ヤマハ株式会社 多層配線形成法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JP2778612B2 (ja) * 1992-09-02 1998-07-23 日本電気株式会社 半導体装置
KR0128491B1 (ko) * 1993-04-14 1998-04-07 모리시다 요이치 반도체 장치 및 그 제조방법
JPH06302593A (ja) * 1993-04-16 1994-10-28 Oki Electric Ind Co Ltd 半導体装置の製造方法
US5378659A (en) * 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
US5753975A (en) * 1994-09-01 1998-05-19 Kabushiki Kaisha Toshiba Semiconductor device with improved adhesion between titanium-based metal wiring layer and insulation film
US5565707A (en) * 1994-10-31 1996-10-15 International Business Machines Corporation Interconnect structure using a Al2 Cu for an integrated circuit chip
JP2737764B2 (ja) * 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JPH09139428A (ja) * 1995-11-16 1997-05-27 Mitsubishi Electric Corp 半導体装置
JP2739853B2 (ja) * 1995-11-28 1998-04-15 日本電気株式会社 半導体装置の製造方法及びエッチング方法
KR100230392B1 (ko) * 1996-12-05 1999-11-15 윤종용 반도체 소자의 콘택 플러그 형성방법
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
KR100243272B1 (ko) * 1996-12-20 2000-03-02 윤종용 반도체 소자의 콘택 플러그 형성방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163538A (ja) * 1992-11-26 1994-06-10 Sumitomo Metal Ind Ltd プラズマエッチング方法
US5489553A (en) * 1995-05-25 1996-02-06 Industrial Technology Research Institute HF vapor surface treatment for the 03 teos gap filling deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101399219B (zh) * 2007-09-28 2011-11-02 上海华虹Nec电子有限公司 金属层间通孔的制备和填充方法

Also Published As

Publication number Publication date
KR19980080955A (ko) 1998-11-25
KR100265256B1 (ko) 2000-09-15
US6627996B1 (en) 2003-09-30
JPH10275859A (ja) 1998-10-13
CN1198014A (zh) 1998-11-04
JP3019021B2 (ja) 2000-03-13
US6130154A (en) 2000-10-10

Similar Documents

Publication Publication Date Title
CN1106043C (zh) 半导体器件及其制造方法
CN1134049C (zh) 增强无机介质与铜的粘附性的等离子体处理
US6074942A (en) Method for forming a dual damascene contact and interconnect
JP3211950B2 (ja) 半導体装置およびその製造方法
KR100259872B1 (ko) 반도체 장치 및 그 제조방법
US6249056B1 (en) Low resistance interconnect for a semiconductor device and method of fabricating the same
US6579787B2 (en) Semiconductor device with a fluorinated silicate glass film as an interlayer metal dielectric film, and manufacturing method thereof
JPH09507617A (ja) 積層低誘電率技術
CN1198015A (zh) 半导体器件中的多层互连结构及其形成方法
JP2973905B2 (ja) 半導体装置の製造方法
JP3967567B2 (ja) 半導体装置およびその製造方法
US6248665B1 (en) Delamination improvement between Cu and dielectrics for damascene process
JPH05144811A (ja) 薄膜半導体装置及びその製造方法
US6544882B1 (en) Method to improve reliability of multilayer structures of FSG (F-doped SiO2) dielectric layers and aluminum-copper-TiN layers in integrated circuits
JPH08306787A (ja) 半導体装置及び半導体装置の製造方法
US6475901B2 (en) Method for manufacturing semiconductor device having a multi-layer interconnection
US20050142833A1 (en) Method of fabricating semiconductor device
JP2006278493A (ja) 半導体装置とその製造方法
JP2001284448A (ja) 半導体装置及びその製造方法
JP4006720B2 (ja) 半導体装置及びその製造方法
US6171963B1 (en) Method for forming a planar intermetal dielectric using a barrier layer
KR100850137B1 (ko) 반도체 소자의 층간 절연막 제조방법
KR100217906B1 (ko) 반도체 소자의 평탄화 방법
KR100588666B1 (ko) 반도체 소자의 금속배선 형성 방법
JP2576182B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: NEC ELECTRONICS TAIWAN LTD.

Free format text: FORMER OWNER: NIPPON ELECTRIC CO., LTD.

Effective date: 20030620

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20030620

Address after: Kanagawa, Japan

Patentee after: NEC Corp.

Address before: Tokyo, Japan

Patentee before: NEC Corp.

C56 Change in the name or address of the patentee

Owner name: RENESAS ELECTRONICS CORPORATION

Free format text: FORMER NAME: NEC CORP.

CP01 Change in the name or title of a patent holder

Address after: Kanagawa, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa, Japan

Patentee before: NEC Corp.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20030416

Termination date: 20150331

EXPY Termination of patent right or utility model