CN109256315A - 用于在单一处理腔室中从半导体膜去除氧化物和碳的设备和方法 - Google Patents

用于在单一处理腔室中从半导体膜去除氧化物和碳的设备和方法 Download PDF

Info

Publication number
CN109256315A
CN109256315A CN201810768539.XA CN201810768539A CN109256315A CN 109256315 A CN109256315 A CN 109256315A CN 201810768539 A CN201810768539 A CN 201810768539A CN 109256315 A CN109256315 A CN 109256315A
Authority
CN
China
Prior art keywords
gas
oxide
substrate
hydroxyl radical
remote plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810768539.XA
Other languages
English (en)
Inventor
林兴
高培培
王非
J·托勒
B·B·琼迪斯沃伦
V·拉玛纳坦
E·希尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of CN109256315A publication Critical patent/CN109256315A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

公开了一种用于在单个处理腔室内从半导体衬底去除碳基污染物和氧基污染物两者的系统和方法。本发明可以包括利用远程等离子单元和多个气体源在所述单个处理腔室中执行处理。

Description

用于在单一处理腔室中从半导体膜去除氧化物和碳的设备和 方法
技术领域
本公开总体上涉及用于制造电子器件的设备和方法。更具体地讲,本公开涉及去除在处理腔室中形成的半导体膜内的氧化物和碳。
背景技术
在制造半导体器件之前,希望晶片或衬底的表面是清洁的。衬底上的污染可能不利地影响所形成的半导体器件的机械和电特性。希望在将特定膜沉积到衬底上之前去除这些污染物。
存在于硅或硅锗衬底上的污染物可包括碳基污染物,如含碳污染物和碳氢化合物污染物。其他污染物可包括氧基污染物,如天然氧化物。在可以开始外延过程之前去除这些污染物可能是必要的。
先前的污染物去除方法着重于去除其中一种污染物,无论是碳基还是氧基的,但不是两者。这可能部分是由于先前方法的设备限制。因此,希望去除碳基和氧基两种污染物的系统和方法。
附图说明
下文参考某些实施方案的附图来描述本文公开的本发明的这些及其他特征、方面和优点,这些实施方案旨在说明而不是限制本发明。
图1是根据本发明的至少一个实施方案的系统的横截面图。
图2是根据本发明的至少一个实施方案的系统的横截面图。
图3A、图3B和图3C是根据本发明的至少一个实施方案的方法的流程图。
图4是根据本发明的至少一个实施方案的步骤的流程图。
图5是根据本发明的至少一个实施方案的步骤的流程图。
图6是根据本发明的至少一个实施方案的步骤的流程图。
具体实施方式
尽管下文公开某些实施方案和实例,但所属领域的技术人员应理解,本发明延伸超出了所具体公开的实施方案和/或本发明的用途以及显而易见的修改和其等效物。因此,预期本发明所公开的范围不应受下文所描述具体公开实施方案的限制。
本发明的实施方案涉及具有单个处理腔室的系统,该系统具有去除碳基污染物和氧基污染物两者的能力。这些实施方案与先前的方法相比具有若干优点,这些优点包括:(1)结合了至少一个具有产生氢自由基和氟自由基两者的能力的远程等离子单元(RPU);和(2)处理腔室与氢自由基和氟自由基两者均相容。
本发明的实施方案可以用于清洁由以下材料中的至少一种制成的半导体衬底:例如硅、硅锗、或锗。在一个实施方案中,硅锗中锗的百分比可以从10%至90%变化。而且,本发明的实施方案可以用于蚀刻碳层,例如先进图案化膜(APF);光致抗蚀剂;或其他碳污染物,包括CHFx、SiC或SiOC。另外,本发明的实施方案可以用于清洁电介质材料的表面,例如氧化硅、氮化硅、氮氧化硅、氟化氧化硅、碳氧化硅(silicon carboxide)和硅氧氮化硅(silicon carboxynitride)。此外,本发明的实施方案可以应用于图案化的晶片表面。
图1示出了根据本发明的至少一个实施方案的系统100。系统100可以包括反应腔室110、基座120、喷头130、远程等离子单元140以及远程等离子单元140与反应腔室110之间的传送路径145。衬底150放置在基座120上以进行处理。
反应腔室110限定对衬底150进行处理的空间。反应腔室110、基座120、喷头130和传送路径145可以涂覆有材料或块状陶瓷材料以允许与不同的自由基相容。用于涂覆的材料可以包括以下至少一种:阳极氧化铝(Al2O3);原子层沉积(ALD)形成的氧化铝;等离子喷涂的Al2O3;具有天然氧化铝的裸露铝部件;氧化钇(Y2O3);氧化钇稳定的氧化锆(YSZ);氧化锆(ZrO2);氧化镧锆(LZO);钇铝石榴石(YAG);氧氟化钇(YOF);上述材料的组合;或掺杂有其他玻璃相材料的上述衬底。在一些情况下,涂覆材料可以由两层制成。例如,第一层可以用阳极Al2O3涂覆,第二层可以用ALD形成的Al2O3涂覆。涂层可以是无定形相、结晶相或混合的。块状陶瓷材料可以包括:氧化铝(Al2O3);氧化锆(ZrO2);氧化钇(Y2O3);或氧化钇稳定的氧化锆(YSZ)。
系统100还可以包括第一气体源160、第二气体源170、第三气体源180和第四气体源190,它们都可以向远程等离子单元140提供气体。例如,远程等离子单元140可以包括得自MKS Instruments的Paragon H*远程等离子单元。第三气体源180还可以被构造成将气体直接提供到反应腔室110中而不经过远程等离子单元140。例如,第一气体源160可以包括产生氟自由基的前体气体源,例如NF3、CF4、C2F6、C4F6、C4F8、COF2、SF6或WF6等。例如,第二气体源170可以包括产生氢自由基的气体源,例如H2、NH3或H2O。例如,第二气体源170可以包括产生氧自由基的气体,例如氧气或臭氧。第三气体源180可以是NH3源。例如,第四气体源190可以是惰性气体源,例如氩气、氦气、氮气或氖气。
远程等离子单元140产生从气体源提供的自由基。产生的自由基然后穿过喷头130进入反应腔室110,再流到衬底150上。远程等离子体源可以包括:由不同RF频率驱动的环式ICP源或线圈式ICP源,诸如400kHz、2MHz、60MHz和2.56GHz微波源。
图2示出了根据本发明的至少一个实施方案的系统200。系统200可以包括反应腔室210、基座220、喷头230、专用于用F*去除氧化物的第一远程等离子单元240、专用于用H*去除碳的第二远程等离子单元245、在第一远程等离子单元下方的传送路径246以及在第二远程等离子单元下方的传送路径247。衬底250放置在基座220上以进行处理。系统200还可以包括第一闸阀248和第二闸阀249。
反应腔室210限定对衬底250进行处理的空间。反应腔室210、基座220和喷头230可涂覆有材料或块状陶瓷材料以允许与不同的自由基相容,这些材料例如为:阳极氧化铝(Al2O3);原子层沉积(ALD)形成的氧化铝;等离子喷涂的Al2O3;具有天然氧化铝的裸露铝部件;氧化钇(Y2O3);氧化钇稳定的氧化锆(YSZ);氧化锆(ZrO2);氧化镧锆(LZO);钇铝石榴石(YAG);氧氟化钇(YOF);上述材料的组合;或掺杂有其他玻璃相材料的上述衬底。在一些情况下,涂覆材料可以由两层制成。例如,第一层可以用阳极Al2O3涂覆,第二层可以用ALD形成的Al2O3涂覆。涂层可以是无定形相、结晶相或混合的。块状陶瓷材料可以包括:氧化铝(Al2O3);氧化锆(ZrO2);氧化钇(Y2O3);或氧化钇稳定的氧化锆(YSZ)。除了用于不同自由基的上述涂层和块状材料之外,用于第二远程等离子单元下方的传送路径247的材料还可以包括块状石英材料。
系统200还可以包括第一气体源260、第二气体源270、第三气体源280和第四气体源290,它们都可以向第一远程等离子单元240和第二远程等离子单元245提供气体。第一远程等离子单元240和第二远程等离子单元245可以包括由不同RF频率驱动的环式ICP源或线圈式ICP源,例如400kHz、2MHz、60MHz和2.56GHz微波源。第三气体源280也可以被构造成将气体直接提供到反应腔室210中而不经过第一远程等离子单元240或第二远程等离子单元245。例如,第一气体源260可以包括产生氟自由基的前体气体源,例如NF3、CF4、C2F6、C4F6、C4F8、COF2、SF6或WF6等。例如,第二气体源270可以包括产生氢自由基的气体源,例如H2、NH3或H2O。例如,第二气体源270可以包括产生氧自由基的气体,例如氧气或臭氧。第三气体源280可以是NH3源。例如,第四气体源290可以是惰性气体源,例如氩气、氦气、氮气或氖气。
第一远程等离子单元240(其可以专用于F*自由基)和第二远程等离子单元245(其可以专用于H*自由基)生成从气体源提供的自由基。产生的自由基然后穿过喷头230进入反应腔室210,再流到衬底250上。为了防止由一个远程等离子单元产生的自由基回流到第二远程等离子体中,闸阀248和249可以位于RPU的出口处。
图3A示出了根据本发明的至少一个实施方案的方法。该方法包括氧化物转化步骤300、氧化物升华步骤400和碳去除步骤500。根据需要可以重复这些步骤中的任何一个或这些步骤的任何组合。整个方法可以通过重复循环600来重复。
图3B示出了根据本发明的至少一个实施方案的方法。该方法包括碳去除步骤500、氧化物转化步骤300和氧化物升华步骤400。根据需要可以重复这些步骤中的任何一个或这些步骤的任何组合。整个方法可以通过重复循环600来重复。图3B的方法与图3A的不同之处在于,碳去除步骤500在氧化物转化步骤300之前。
图3C示出了根据本发明的至少一个实施方案的方法。该方法包括碳去除步骤500、氧化物转化步骤300、氧化物升华步骤400和碳去除步骤500。根据需要可以重复这些步骤中的任何一个或这些步骤的任何组合。整个方法可以通过重复循环600来重复。图3C的方法与图3B的不同之处在于,氧化物升华步骤400之后存在附加的碳去除步骤500。
根据本发明的至少一个实施方案,图4中示出了氧化物转化步骤300。氧化物转化步骤300可以包括使气态前体流入远程等离子单元的步骤310以及使产生的自由基和附加前体流到衬底上的步骤320。根据本发明的至少一个实施方案,步骤310可以包括使氩气、氢气和NF3流入远程等离子单元。氩气流量可以在0.01与20slm之间、0.1与10slm之间或1与8slm之间的范围内。氢气流量可以在10sccm与1500slm之间、25与1200slm之间或50sccm与1000slm之间的范围内。当等离子体在远程等离子单元中打开时,NF3的流动可以发生特定的时长,范围在0.1与120秒之间、1与100秒之间或5与80秒之间。步骤310可包括将反应腔室210加热到5至120℃之间、5至80℃之间或5至60℃之间的温度。
作为步骤310的结果,在远程等离子单元中产生氟自由基气体。氟自由基离开远程等离子单元,并且可以在步骤320中与任选的附加前体气体结合到设置在反应腔室中的衬底上。任选的附加前体气体可以包括以10sccm与1500slm之间、25与1200slm之间或50sccm与1000slm之间的速率流动的氨气。步骤320可包括将反应腔室210加热到5至120℃之间、5至80℃之间或5至60℃之间的温度。氧化物转化步骤300可以导致与具有氧化物的硅锗衬底上的氧化物的化学反应,具体如下:
NH4F(g)+SiGeOx(s)→(NH4)2SiF6(s)+(NH4)2GeF6(s)+H2O(g)
作为氧化物转化步骤300的结果,氧化物可以在衬底上转化为固体六氟硅酸铵化合物和固体六氟锗酸铵化合物。
根据本发明的至少一个实施方案,图5中示出了氧化物升华步骤400。氧化物升华步骤400包括第一加热步骤410或第二加热步骤420或两者。第一加热步骤410可以包括将衬底加热到高于125℃、高于100℃或高于90℃的温度。第一步骤410的结果可以是根据以下反应的固体六氟硅酸铵化合物的升华:
(NH4)2SiF6(s)→NH3(g)+HF(g)+SiF4(g)
然后可以从反应腔室中去除气态产物。
第二加热步骤420可以包括将衬底加热到此第一加热步骤410更高的温度。该温度可高于275℃、高于250℃或高于225℃。为了达到高操作温度,高温喷头可以被设计成加热到250℃-300℃而不加热反应腔室。第二步骤420的结果可以是根据以下反应的固体六氟锗酸铵化合物的升华:
(NH4)2GeF6(s)→NH3(g)+HF(g)+GeF4(g)
然后可以从反应腔室中去除气态产物。
根据本发明的至少一个实施方案,图6中示出了碳去除步骤500。碳去除步骤500包括使氢前体和其他气态前体流入远程等离子单元的步骤510以及使产生的自由基和任选的附加前体流到衬底上的步骤520。第一加热步骤510可以包括使氩气、氢气和氨气流入远程等离子单元。这些气体可以流动0.1秒与180秒之间、1秒与120秒之间或10秒与90秒之间的持续时间。结果,在远程等离子单元中产生氢自由基。
步骤520使所产生的氢自由基与衬底中的碳基污染物反应。这一步骤可在介于25℃与500℃之间、介于75℃与400℃之间或介于150℃与300℃之间的温度下发生。较高温度的喷头可以允许加热衬底并导致碳的有效去除。步骤520的结果可以是根据以下反应去除碳:
C(s)+H*(g)→CxHy(g)
其他反应可包括碳与氧自由基的反应。然后可以从反应腔室中去除气态产物。
所示出和描述的特定实现方式是对本发明及其最佳模式的说明,而无意以任何方式限制各方面和实现方式的范围。事实上,为了简洁起见,系统的常规制造、连接、准备和其他功能方面可能未详细描述。此外,各图中所示的连接线旨在表示各种元件之间的示例性功能关系和/或物理联接。许多另选的或附加的功能关系或物理连接可能存在于实际的系统中,和/或在一些实施方案中可能不存在。
应该理解,本文描述的配置和/或方法本质上是示例性的,并且这些具体实施方案或实例不被认为是限制性的,因为许多变化是可能的。本文描述的具体例程或方法可以表示各种处理策略中的一个或多个。因此,所示的各种动作可以以所示的顺序执行、以其他顺序执行或者在一些情况下可以省略。
本公开的主题包括本文公开的各种过程、系统和配置以及其他特征、功能、动作和/或特性及其任何和所有等价物的所有新颖和非显而易见的组合和子组合。

Claims (21)

1.一种用于处理半导体衬底的设备,包括:
反应腔室;
被构造成保持衬底的基座;
用于提供第一气体的第一气体源;
用于提供第二气体的第二气体源;
被构造成接收所述第一气体并产生第一自由基气体的第一远程等离子单元;
被构造成使所述第一自由基气体和所述第二气体流到所述衬底上的气体分配装置;以及
将所述远程等离子单元连接到所述气体分配装置的传送路径,其中所述第一自由基气体穿过所述气体分配装置到达所述衬底上;
其中所述气体分配装置、所述反应腔室、所述传送路径和所述基座涂覆有以下至少一种:阳极氧化铝(Al2O3);原子层沉积(ALD)形成的氧化铝;等离子喷涂的Al2O3;具有天然氧化铝的裸露铝部件;氧化钇(Y2O3);氧化钇稳定的氧化锆(YSZ);氧化锆(ZrO2);氧化镧锆(LZO);钇铝石榴石(YAG);氧氟化钇(YOF);氧化铝(Al2O3);氧化锆(ZrO2);氧化钇(Y2O3);或氧化钇稳定的氧化锆(YSZ)。
2.根据权利要求1所述的设备,其中所述第一气体包括以下至少一种:NF3、CF4、C2F6、C4F6、C4F8、COF2、SF6或WF6
3.根据权利要求1所述的设备,其中所述第二气体包括以下至少一种:H2、NH3、H2O、O2或O3
4.根据权利要求1所述的设备,还包括:
用于提供第三气体的第三气体源;以及
用于提供第四气体的第四气体源。
5.根据权利要求4所述的设备,其中所述第三气体包括NH3
6.根据权利要求4所述的方法,其中所第四气体包括以下至少一种:氩气、氦气、氮气或氖气。
7.根据权利要求1所述的设备,其中所述第一气体用于从所述衬底去除氧化物。
8.根据权利要求1所述的设备,其中所述第二气体用于从所述衬底去除碳。
9.根据权利要求1所述的设备,其中所述第二气体穿过所述第一远程等离子单元并转化成第二自由基气体。
10.根据权利要求1所述的设备,还包括被构造成接收所述第二气体并产生第二自由基气体的第二远程等离子单元。
11.根据权利要求1所述的设备,其中所述传送路径包括块状石英材料。
12.一种用于处理半导体衬底的方法,包括:
提供反应腔室和被构造成保持衬底的基座;
在所述衬底上执行氧化物转化步骤,所述氧化物转化步骤包括:(1)使第一气体流入第一远程等离子单元以形成第一自由基气体;和(2)使所述第一自由基气体流到所述衬底上;
在所述衬底上执行氧化物升华步骤,所述氧化物升华步骤包括:(1)第一加热步骤;和(2)第二加热步骤;以及
在所述衬底上执行碳去除步骤;
其中所述氧化物转化步骤、所述氧化物升华步骤和所述碳去除步骤各自在所述反应腔室中进行;并且
其中根据需要重复所述氧化物转化步骤、所述氧化物升华步骤和所述碳去除步骤中的任一步骤。
13.根据权利要求12所述的方法,其中所述碳去除步骤包括:
使第二气体流入所述第一远程等离子单元以形成第二自由基气体;以及
使所述第二自由基气体流到所述衬底上。
14.根据权利要求12所述的方法,其中所述碳去除步骤包括:
使第二气体流入第二远程等离子单元以形成第二自由基气体;以及
使所述第二自由基气体流到所述衬底上。
15.根据权利要求12所述的方法,其中所述第一气体包括以下至少一种:NF3、CF4、C2F6、C4F6、C4F8、COF2、SF6或WF6
16.根据权利要求13所述的方法,其中所述第二气体包括以下至少一种:H2、NH3、H2O、O2或O3
17.一种用于处理半导体衬底的方法,包括:
提供反应腔室和被构造成保持衬底的基座;
在所述衬底上执行碳去除步骤;
在所述衬底上执行氧化物转化步骤,所述氧化物转化步骤包括:(1)使第一气体流入第一远程等离子单元以形成第一自由基气体;和(2)使所述第一自由基气体流到所述衬底上;
在所述衬底上执行氧化物升华步骤,所述氧化物升华步骤包括:(1)第一加热步骤;和(2)第二加热步骤;以及
其中所述碳去除步骤、所述氧化物转化步骤和所述氧化物升华步骤各自在所述反应腔室中进行;并且
其中根据需要重复所述碳去除步骤、所述氧化物转化步骤和所述氧化物升华步骤中的任一步骤。
18.根据权利要求17所述的方法,其中所述碳去除步骤包括:
使第二气体流入所述第一远程等离子单元以形成第二自由基气体;以及
使所述第二自由基气体流到所述衬底上。
19.根据权利要求17所述的方法,其中所述碳去除步骤包括:
使第二气体流入第二远程等离子单元以形成第二自由基气体;以及
使所述第二自由基气体流到所述衬底上。
20.根据权利要求17所述的方法,其中所述第一气体包括以下至少一种:NF3、CF4、C2F6、C4F6、C4F8、COF2、SF6或WF6
21.根据权利要求18所述的方法,其中所述第二气体包括以下至少一种:H2、NH3、H2O、O2或O3
CN201810768539.XA 2017-07-13 2018-07-13 用于在单一处理腔室中从半导体膜去除氧化物和碳的设备和方法 Pending CN109256315A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762532248P 2017-07-13 2017-07-13
US62/532,248 2017-07-13

Publications (1)

Publication Number Publication Date
CN109256315A true CN109256315A (zh) 2019-01-22

Family

ID=64999670

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810768539.XA Pending CN109256315A (zh) 2017-07-13 2018-07-13 用于在单一处理腔室中从半导体膜去除氧化物和碳的设备和方法

Country Status (5)

Country Link
US (2) US20190019670A1 (zh)
JP (2) JP2019033249A (zh)
KR (2) KR20190008096A (zh)
CN (1) CN109256315A (zh)
TW (1) TWI794238B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115662924A (zh) * 2022-12-12 2023-01-31 广州湾区半导体产业集团有限公司 半导体基板的洁净控制系统及方法、洁净设备

Families Citing this family (274)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7268177B2 (ja) * 2019-02-12 2023-05-02 アプライド マテリアルズ インコーポレイテッド チャンバ部品を製造するための方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) * 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
KR102501331B1 (ko) * 2020-09-08 2023-02-17 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7393376B2 (ja) * 2021-03-19 2023-12-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
KR20220148735A (ko) * 2021-04-29 2022-11-07 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP7494244B2 (ja) 2021-06-02 2024-06-03 東京エレクトロン株式会社 シャワーヘッド、電極ユニット、ガス供給ユニット、基板処理装置及び基板処理システム
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11898254B2 (en) 2021-12-30 2024-02-13 Wonik Qnc Corporation Processing method for fluorination of fluorination-target component for semiconductor fabrication equipment, which minimizes generation of contaminant particles, and fluorinated component obtained thereby
KR20230119105A (ko) * 2022-02-01 2023-08-16 주식회사 히타치하이테크 에칭 방법

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098026A (ja) * 1996-09-24 1998-04-14 Tokyo Electron Ltd アッシング方法
CN1507502A (zh) * 2001-05-04 2004-06-23 拉姆研究公司 处理室残留物的两步式等离子清洗
CN1706034A (zh) * 2003-06-16 2005-12-07 东京毅力科创株式会社 成膜方法、半导体装置的制造方法、半导体装置和成膜装置
CN101605925A (zh) * 2007-02-06 2009-12-16 东京毅力科创株式会社 用于处理系统的多区域气体分配系统
CN104332427A (zh) * 2013-07-22 2015-02-04 Asmip控股有限公司 具有等离子体能力的半导体反应室
CN104641456A (zh) * 2012-09-21 2015-05-20 应用材料公司 使用多个流动途径的自由基化学调制及控制
CN104981895A (zh) * 2013-02-08 2015-10-14 应用材料公司 具有多个等离子体配置的半导体处理系统
CN105453233A (zh) * 2013-08-09 2016-03-30 应用材料公司 于外延生长之前预清洁基板表面的方法和设备

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54162969A (en) * 1978-06-14 1979-12-25 Mitsubishi Electric Corp Plasma etching device
JP2000323467A (ja) * 1999-05-11 2000-11-24 Nippon Asm Kk 遠隔プラズマ放電室を有する半導体処理装置
KR100724181B1 (ko) * 2003-06-16 2007-05-31 동경 엘렉트론 주식회사 성막 방법, 반도체 장치의 제조 방법, 반도체 장치 및 성막장치
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
KR20080050403A (ko) * 2005-08-02 2008-06-05 매사추세츠 인스티튜트 오브 테크놀로지 표면 침착물을 제거하고 화학 증착 챔버 내부의 내면을부동태화하는 방법
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
KR101198243B1 (ko) * 2007-04-03 2012-11-07 주식회사 원익아이피에스 탄소 함유 박막을 증착하는 박막 증착 장치의 건식 세정방법
JP2010016233A (ja) * 2008-07-04 2010-01-21 Nec Electronics Corp 半導体装置の製造方法
JPWO2011024777A1 (ja) * 2009-08-27 2013-01-31 株式会社アルバック 真空処理装置及び真空処理方法
KR20110037282A (ko) * 2009-10-06 2011-04-13 (주)티티에스 기판 처리 장치용 부재 및 이의 제조 방법 및 기판 처리 장치
JP2012216582A (ja) * 2011-03-31 2012-11-08 Sekisui Chem Co Ltd シリコン含有物のエッチング方法
TW201502966A (zh) 2013-07-01 2015-01-16 Wistron Corp 兩用型電子裝置及控制兩用型電子裝置的方法
JP6232680B2 (ja) * 2013-09-06 2017-11-22 大陽日酸株式会社 サセプタのクリーニング方法
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9474163B2 (en) * 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
WO2016148739A1 (en) * 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098026A (ja) * 1996-09-24 1998-04-14 Tokyo Electron Ltd アッシング方法
CN1507502A (zh) * 2001-05-04 2004-06-23 拉姆研究公司 处理室残留物的两步式等离子清洗
CN1706034A (zh) * 2003-06-16 2005-12-07 东京毅力科创株式会社 成膜方法、半导体装置的制造方法、半导体装置和成膜装置
CN101605925A (zh) * 2007-02-06 2009-12-16 东京毅力科创株式会社 用于处理系统的多区域气体分配系统
CN104641456A (zh) * 2012-09-21 2015-05-20 应用材料公司 使用多个流动途径的自由基化学调制及控制
CN104981895A (zh) * 2013-02-08 2015-10-14 应用材料公司 具有多个等离子体配置的半导体处理系统
CN104332427A (zh) * 2013-07-22 2015-02-04 Asmip控股有限公司 具有等离子体能力的半导体反应室
CN105453233A (zh) * 2013-08-09 2016-03-30 应用材料公司 于外延生长之前预清洁基板表面的方法和设备

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115662924A (zh) * 2022-12-12 2023-01-31 广州湾区半导体产业集团有限公司 半导体基板的洁净控制系统及方法、洁净设备

Also Published As

Publication number Publication date
KR20190008096A (ko) 2019-01-23
TW201908027A (zh) 2019-03-01
JP2019033249A (ja) 2019-02-28
US20190019670A1 (en) 2019-01-17
JP2023085394A (ja) 2023-06-20
KR20240035416A (ko) 2024-03-15
KR102651766B1 (ko) 2024-03-28
TWI794238B (zh) 2023-03-01
US20220367175A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
CN109256315A (zh) 用于在单一处理腔室中从半导体膜去除氧化物和碳的设备和方法
JP7386270B2 (ja) 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
KR100448291B1 (ko) 조합 화학물을 사용해서 반도체 제조 장비를 인시튜세정하기 위한 방법 및 장치
JP5925802B2 (ja) 2段階での均一なドライエッチング
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
JP2021022752A (ja) 低温ald被膜のためのチャンバアンダーコート準備方法
KR101445344B1 (ko) 저온 실리콘 산화물 변환
CN111247269A (zh) 介电膜的几何选择性沉积
US20070087579A1 (en) Semiconductor device manufacturing method
KR20190128997A (ko) 수소 래디칼과 함께 사용하기 위한 장치 및 이를 사용하는 방법
KR102521792B1 (ko) 선택적 산화알루미늄 막 증착
KR100779823B1 (ko) 박막 형성 장치, 박막 형성 방법 및 박막 형성 장치의 세정 방법
KR20150056607A (ko) 차등 실리콘 산화물 에칭
JP3742641B2 (ja) ハフニウム化合物を利用した薄膜蒸着方法
TWI400343B (zh) A substrate processing method and a substrate processing apparatus
TW201327672A (zh) 乾蝕刻製程
KR20130014543A (ko) 라디칼 성분 cvd에 의한 컨포멀 층들
CN101278072A (zh) 使用nf3除去表面沉积物的方法
TW201502311A (zh) 包含後續多級淨化步驟之mocvd層生長方法
JP2010192757A (ja) 熱処理装置の運転方法
JP2008124474A (ja) 半導体装置の製造方法及び基板処理装置
WO2010008102A1 (en) Cleaning method of apparatus for depositing carbon containing film
JP2008211211A (ja) 半導体装置の製造方法および基板処理装置
JP5197554B2 (ja) 薄膜形成装置の洗浄方法及び薄膜形成方法
TW202422692A (zh) 基板處理方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination