CN101605925A - 用于处理系统的多区域气体分配系统 - Google Patents

用于处理系统的多区域气体分配系统 Download PDF

Info

Publication number
CN101605925A
CN101605925A CNA2008800042350A CN200880004235A CN101605925A CN 101605925 A CN101605925 A CN 101605925A CN A2008800042350 A CNA2008800042350 A CN A2008800042350A CN 200880004235 A CN200880004235 A CN 200880004235A CN 101605925 A CN101605925 A CN 101605925A
Authority
CN
China
Prior art keywords
gas
group
treatment
area
partition member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008800042350A
Other languages
English (en)
Other versions
CN101605925B (zh
Inventor
乔则夫·布卡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101605925A publication Critical patent/CN101605925A/zh
Application granted granted Critical
Publication of CN101605925B publication Critical patent/CN101605925B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

本发明描述了一种用于将衬底暴露在各种工序中的处理系统。此外,提供了一种构造为连接并使用该处理系统以便于将处理材料分配到衬底上的气体分配系统。该处理系统包括处理室,连接到该处理室的基团产生系统,连接到基团产生系统并被构造为将活性基团分配到衬底上的气体分配系统,以及控制温度的基座,该基座连接到真空室并被构造为支撑衬底。气体分配系统被构造为有效地将基团传输到衬底上并且将所述基团分配在衬底上。

Description

用于处理系统的多区域气体分配系统
相关申请的交叉引用
本发明涉及题目为“GAS DISTRIBUTION SYSTEM FOR A POST-ETCH TREATMENT SYETEM”递交于2006年3月28日并具有代理人卷号287929US的未决美国专利申请No.11/390,196。该申请的全部内容通过引用结合在这里。
技术领域
本发明涉及用于衬底处理的处理系统,更具体地涉及一种具有气体分配系统的处理系统,该气体分配系统被构造为有效地将处理剂传输到衬底上以及将处理剂分配到衬底上。
背景技术
通常,在材料处理、表面准备以及清洁衬底和衬底上的各个薄膜的过程中,包括远程等离子体处理的等离子体处理被使用在半导体器件制作中。其中,这些处理使得能够整合在器件制作中的步骤,增强器件金属化的效果并优化处理装置的产量。在电子结构金属化之前,可以使用例如氢(H2)等离子体或者由氢和其他气体添加物的混合物形成的等离子体,来清洁这些电子结构中的接触表面。在另一个示例中,可以使用氧(O2)等离子体或由氧和其他气体添加物的混合物形成的等离子体,在蚀刻应用之后,来移除残存在衬底上的掩膜残余物或者蚀刻残余物。贯穿晶体管制作的前端线设备(FEOL)以及后端线设备(BEOL),这种等离子体处理的使用是常见的。
但是,在采用等离子体处理的许多应用中,将要制作的器件对于等离子体的高能物质敏感并且当暴露在这种物质中时可能会受到损伤。例如,衬底浸没在等离子体中可能会导致不可控制的暴露到高能带电粒子(例如,高能电子等)和电磁(EM)辐射(例如,紫外(UV)辐射)中,这可能会导致对于下面的层和/或衬底的损伤,而这种损伤对于器件制造者来说是不能接受的。因此,许多这种应用需要其中等离子体远距离地形成的等离子体处理。远程等离子体处理促进了活性物质的形成,其中活性成分被传输到衬底以催化处理过程。
发明内容
本发明涉及一种用于处理衬底的系统,并且涉及一种使用处理剂处理衬底的系统。例如,处理剂可以包括原子或分子基团。
根据一个实施例,处理系统被描述为使用原子或分子基团流移除衬底上的残余物或污染物。
根据另一个实施例,处理系统包括有处理空间的处理室;基团产生系统,其连接到所述处理室并且构造为接收处理气体并从所述处理气体产生基团;气体分配系统,其构造为接收所述基团的流并在所述处理空间中分配所述基团的流,其中所述气体分配系统包括连接到所述基团产生系统的出口的入口、连接到所述处理室的出口以及连接到气体分配系统的分隔构件,并且所述分隔构件被构造为通过所述入口将所述处理气体的流的一部分分离地转入所述衬底上方的第一区域中,并通过所述入口将所述处理气体的流的剩余部分分离地转入所述衬底上方的第二区域中;基座,其连接到所述处理室并且所述基座被构造为在所述处理室的所述处理空间中支撑衬底并调整所述衬底的温度;真空泵系统,其连接到所述处理室并且所述真空泵系统被构造为对所述处理室抽气。
根据另一个实施例,所描述的气体分配系统包括:具有构造为接收处理气体流的主体、构造为将所述处理气体分配到所述处理系统中的出口、以及连接到所述气体分配系统的分隔构件,并且所述分隔构件被构造为通过所述入口将所述处理气体流的一部分分离地转入所述衬底上方的第一区域中,并通过所述入口将所述处理气体流的剩余部分分离地转入所述衬底上方的第二区域中。
附图说明
在附图中:
图1A、图1B和图1C示出了用于在衬底上的薄膜中制作特征的工序的示意图;
图2示出了处理系统的示意图;
图3示出了根据实施例的处理系统的示意图;
图4A和4B示出了根据另一个实施例的处理系统的示意图;
图4C示出了图4A和图4B中示出的气体分散系统的内侧气流和外侧气流之间的关系;
图5示出了在衬底处的处理剂浓度的空间分布与用于分配处理剂的气体分配系统之间的关系;
图6示出了根据另一个实施例的气体分配系统的简图;
图7示出了根据另一个实施例的气体分配系统的简图;
图8A、图8B、图8C、图8D、图8E和图8F分别示出了根据本发明的不同实施例的采用了气体分配间隙的气体分配系统的平面底视图;并且
图9表示根据另一个实施例的处理衬底的方法。
具体实施方式
在以下描述中,为了方便对本发明的整体理解,以及为了解释而非限制,陈述了诸如处理系统的特定几何尺寸和气体分配系统的描述的具体的细节。但是,应该理解可以以超出这些具体细节的其他实施例实施本发明。
在材料处理方法学中,图案蚀刻包括将诸如光刻胶的光敏材料的薄层施加到衬底的上表面上,以便于提供在蚀刻过程中用于将该图案转移到衬底上的下层薄膜的掩膜,其中衬底的上层随后被图案化。光敏材料的图案化通常涉及:使用例如微光刻系统,将光敏材料暴露到电磁(EM)辐射的几何图案下,在其后使用显影溶剂移除光敏材料的被照射区域(如在正性光刻胶的情况下)或者未被照射区域(如在负性光刻胶的情况下)。
例如,如图1A到1C所示,可以使用包括具有图案2的光敏层3(诸如图案化的光刻胶)的掩膜以将特征图案转移到衬底5上的薄膜4中。为了形成特征6,使用例如干法等离子蚀刻将图案2转移到薄膜4上,并且在蚀刻完成之后,掩膜3被移除。按照惯例,掩膜3以及聚集在侧壁和/或形成在薄膜4上的特征图案2的底部的其他残余物被移除。
例如,通过将衬底浸入诸如氧等离子体的等离子体中,将诸如光刻胶的有机残余物或来自多晶硅蚀刻的卤素残余物移除,并且使剩余的掩膜或蚀刻后的残余物消失(或者被剥离)。此外,例如,通过将衬底浸入诸如氢等离子体的等离子体中以移除原始氧化物的方式,将包括原始金属氧化物的其他污染物移除,其中原始金属氧化物形成在特征图案2的与金属线进行接触的底部。但是,当干法清洁具有敏感的或易损坏的结构或层的衬底时,诸如在半导体制作的前端线设备(FEOL)中的接触形成过程中,由于高能(充电)粒子、电磁(EM)辐射等的存在,直接暴露到等离子体中可能对器件具有有害的效果。
现在参照图2,描绘了处理系统100,其包括具有基座120的处理室110,其中使用处理剂执行诸如残余物移除过程或氧化物移除过程的处理过程,基座120被构造为支撑衬底125。衬底125可以为例如半导体衬底、晶片或液晶显示器。此外,基团产生系统115经由基团传输系统140连接到处理室110,以将处理剂引导到衬底125上。
处理室110还通过管道和压力控制系统(例如,真空阀等)连接到真空抽气系统170,其中泵系统170被构造为对处理室110、基团传输系统140和基团产生系统115抽气到适合于在衬底125上执行处理过程并适合于在基团产生系统115中产生基团的压力。
仍参照图2,基团产生系统115被构造为可以从由气体供应系统160经过气体供应管162所提供的处理气体,远距离地产生原子基团或分子基团或同时产生两者。在基团产生系统115中远距离地产生的基团通过基团传输系统140传输,并引导到衬底125上的处理空间145中。基团传输系统140将基团引导到处理空间145,并且同时对基团流提供最低限度的阻抗并且在其到达衬底表面之前抑制基团的再结合。例如,基团传输系统可以包括管道,该管道具有与基团产生系统115的出口相连接的管道入口以及与处理室相连接的管道出口。
基团产生系统115被构造为产生一种或者更多的化学基团,该化学基团被构造为化学地处理衬底125,并且例如在对衬底125具有最小损害的情况下进行反应并移除任何残渣和污染物等。例如,基团产生系统115可以包括上游等离子体源,该上游等离子体源被构造为从由包含氧气的气体、包含氢气的气体、包含氟的气体或以上任意两者或更多者的组合的处理气体产生氧、氢或氟基团。例如,处理气体可以分别包括氧气(O2)、氢气(H2)、CO、CO2、NO、NO2、N2O(或者,更一般地是NxOy)、N2、三氟化氮(NF3)、NH3、O3、XeF2、ClF3、碳氢化合物(或者,更一般地是CxHy)、氢氟碳化合物(或者,更一般地是CxHyFz)、碳氟化合物(或者,更一般地是CxFy)或者以上任意两者或更多者的组合。基团产生系统115可以包含可以从ASTeX
Figure G2008800042350D00051
产品的MKS仪器公司(90 IndustrialWay,Wilmington,MA 01887)买到的Astron
Figure G2008800042350D00052
反应气体发生器。可选择地,基团产生系统115可以包括围绕基团传输系统140布置的感应线圈或者电极对。
除了将处理气体供应给基团产生系统115,气体供应系统160可以被进一步构造以将辅助处理气体通过一个或者多个气体供应管道162提供给基团产生系统115。辅助处理气体可以被用作运载气体,以将在基团产生系统115中形成的基团辅助运输到处理空间145,或者辅助处理气体可以被用来稀释处理气体和由处理气体所形成的基团。辅助气体可以包含惰性气体,例如稀有气体(如,He、Ne、Ar、Kr、Xe)、氢气(N2)或者它们的组合。此外,可以构造气体供应系统160以通过一个或者更多辅助气体供应管164将辅助处理气体直接引导到处理室110。
虽然未示出,气体供应系统160可以包括一种或多种气体源、一个或多个控制阀、一个或多个过滤器、和/或一个或多个质量流量控制器。例如,处理气体或辅助处理气体的流速的范围可以从约1sccm(标准立方厘米每分钟)到约10000sccm(或者10标准升每分,slm)。例如,处理气体或辅助处理气体的流速的范围可以从大约1slm到大约5slm。作为进一步的示例,处理气体或辅助处理气体的流速的范围可以从大约3slm到大约5slm。
在基团产生系统115的下游,基团流动通过基团传输系统140并进入处理室110内的处理空间145。基团传输系统140可以被连接到气体管线温度控制系统(未示出),以便于控制基团传输系统的温度。例如,温度可以被设置为范围从约20摄氏度到约100摄氏度的值,并且作为另一个示例,温度可以被设置为范围从大约40摄氏度到大约80摄氏度的值。此外,例如,基团传输系统140的特性可以为超过50升/秒的传输量。
一旦基团流进入了处理空间145,基团化学地与衬底125的表面上的残余物反应。基座120被构造为通过嵌在基座120中的连接温度控制系统130的加热元件135的功效来提升衬底125的温度。加热元件135可以是电阻加热元件,或者加热元件135可以包括了热电装置的阵列。对于在衬底支架里的热电装置的使用的其他细节被提供在题目为“METHOD ANDAPPARATUS FOR RAPID TEMPERATURE CHANGE AND CONTROL”的未决美国专利申请No.7,141,763中,其全部内容在此通过引用结合在这里。例如,温度控制系统130可以被构造为将衬底125的温度提升到约500℃。在一个实施例中,衬底温度的范围可以从大约40℃到大约500℃。在另一个实施例中,衬底温度的范围可以从大约100℃到大约300℃。此外,处理室110可以与温度控制系统130连接,其中温度控制系统130被构造为控制室壁温度。
除了提升衬底125的温度,基座120被构造为在处理过程中支撑衬底125。基座120可以还包括能够升起或者降下三个或者更多升降销的升降销组件(未示出),以便于垂直地将衬底125传递入或者传递出基座120的上表面和处理室110中的传递平面。
在升降销组件中,衬底升降销可以与普通升降销元件相连接,并且可以被降低到基座120上表面以下。使用例如电力驱动系统(具有电力步进电动机和螺纹杆)或者空气驱动系统(具有气缸)的驱动机构(未示出),提供用于升起和降下普通升降销元件的装置。衬底125可以通过校准在传递平面上的闸门阀(未示出)和室馈通通道经由机器转移系统被传递进和传递出处理室110,并且被衬底升降销所接收。衬底125一旦被从传递系统接收,它就可以通过降低衬底升降销而被降低到基座120的上平面上。
基座120可以提供夹持系统以将衬底125夹持在基座120上,或者可以不提供夹持系统。此外,基座120可以提供被构造为给衬底125的背面提供热传递气体的背面气体供应系统,以便于改善衬底125和基座120之间的热传导,或者可以不提供背面气体供应系统。
如图2所示,排气管(示意地示出为165)将处理室110连接到真空抽气系统170。真空抽气系统170包括将处理室110抽空到期望的真空度并在处理过程中从处理室110中移除产生的气体成分的真空泵。自动压力控制器(APC)和可选的阀门(trap)可以与真空泵串联使用。真空泵可以包括干式低真空泵。可选择地,真空泵可以包括抽气速度能够达到5000升每秒(和更高)的涡轮分子泵(TMP)。在处理过程中,处理气体或者辅助处理气体或任何以上气体的混合气,可以被引导到处理室110中,并且可以通过APC来调节室压力。例如,室压力的范围可以从约1mTorr到约50Torr,并且在进一步的示例中,室压力的范围可以从大约1Torr到大约10Torr。APC可以包括蝶式阀或闸门阀。阀门可以收集来自处理室110的副产物。
另外,处理系统100中的任何元件都可以被涂上诸如氧化铝和氧化钇的陶瓷材料。例如,任何元件都可以涂有从由Al2O3、Sc2O3、Sc2F3、YF3、La2O3、Y2O3和DyO3所组成的组中选择的材料。
仍参照图2,处理系统100还可以包括控制系统,其被构造为操作并控制处理系统100的操作。控制系统180连接到处理室110、基座120、温度控制系统130、基团产生系统115、气体供应系统160以及真空泵系统170。
控制系统180可以包括微处理器、存储器以及数字I/O端口,该数字I/O端口能够产生足以通讯并激活处理系统100的输入以及监视处理系统100的输出的控制电压。此外,控制系统180与处理室110、基座120、温度控制系统130、基团产生系统115、气体供应系统160、以及真空泵系统170相连接并交换信息。存储在存储器中的程序被用来按照存储的处理方法来控制处理系统100的前述组件。处理系统控制系统180的一个示例为可以从Dell Corporation,Dallas,Texas买到的DELL PRECISIONWORKSTATION 610TM。控制系统180也可以被实施为多用途计算机、数字信号处理器等。
控制系统180可以被实施为执行本发明的部分或全部基于微处理器的响应于执行储存在存储器中的一个或多个指令的一个或多个序列的处理器的处理步骤的通用计算机系统。这些命令可以从另一个计算机可读介质读进控制器存储器,诸如硬盘或者可移动介质驱动器。多线程处理设备里的一个或者多个处理器可能也用作控制器微处理器,来执行储存在主存储器中的指令序列。在替换实施例中,硬连线电路可以被用来替代软件程序或者与软件程序相结合。因此,实施例不仅限于硬件电路和软件的任何具体的结合。
控制系统180包括至少一个诸如控制器存储器的计算机可读介质或存储器,用于储存根据本发明的教导而编制的指令并用于储存数据结构、表、记录或其它实施本发明所必需的数据。计算机可读介质的示例为光盘、硬盘、软盘、磁带、磁光盘、可编程只读存储器(PROM)(EPROM、EEPROM、闪存)、DRAM、SRAM、SDRAM或者任何其他的磁介质、光盘(例如,CD-ROM)、或者任何其他的光学介质、穿孔卡片、纸带或其他具有孔的图案的实体介质、载波(下文描述)或者计算机可读的任何其他介质。
本发明包括存储在计算机可读介质的任何一者上或其结合上的软件,该软件用于控制控制系统180、用于驱动用于实施本发明的(多个)器件和/或用于使得控制器能够与人类使用者互动。这种软件可以包括但不限于器件驱动器、操作系统、开发工具和应用软件。这种计算机可读介质还包括用于执行在实施本发明的过程中所执行的全部或部分(如果处理被分配了)的处理的计算机程序产品。
本发明的计算机编码器件可以为任何的可编译或可执行的编码机构,包括但不限于脚本、可编译程序、动态链接库(DLL)、Java类和完全可执行程序。此外,为了更好的性能、可靠度和/或成本,可以将本发明的处理的部分分配开。
这里使用的术语“计算机可读介质”涉及参与将指令提供给控制系统180的处理器用于执行的任何介质。计算机可读介质可以具有多种形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括诸如硬盘或者可移动介质存储器的光盘、磁盘和磁光盘。易失性介质包括诸如主存储器的动态存储器。此外,各种形式的计算机可读介质可以与为执行而将一个或多个指令的一个或多个序列运送到控制器的处理器有关。例如,指令可以首先被携带在远程计算机的磁盘上。远程计算机可以将用于执行全部或部分本发明的指令远程地装载到动态存储器中,并通过网络将指令传递给控制器180。
控制系统180可以相对于处理系统100在本地设置,或者可以相对于处理系统100经由互联网或内联网远程地设置。因此,控制系统180可以使用直接连接、内联网或互联网中的至少一者与处理系统100交换数据。控制系统180可以被连接到客户所在地(即,器件制造商等)的内联网上,或被连接到卖方所在地(即,设备制造商)的内联网上。此外,另一台电脑(即,控制器、服务器等)可以连接控制系统180以经由直接连接、内联网或互联网中的至少一者交换数据。
如上所述,图2的处理系统100提供了基团的远程产生以及这些基团到处理室中的衬底上的输送。这种结构在将由非常靠近衬底的高能充电粒子可能造成的对衬底的损伤减到最小的同时,可以允许诸如衬底上的污染物的干法清洁。但是,远程基团产生器的使用可能会减小衬底的处理速率和/或造成衬底的非均匀处理。本发明已经发现设计诸如基团传输系统的几何形状的参数可以影响基团的均匀分配以及基团再结合速率,其中基团再结合速率影响衬底处的处理速率。一般地,基团到衬底表面未受阻碍的流动减少再结合以改善处理速率,但是提供了差的处理的均匀性。相反地,对于气体流提供障碍物(诸如分配板)可以改善均匀性,但是减小处理速率。因此,本发明的实施例包括控制均匀的衬底处理和/或衬底处理速率的不同的基团传输系统。
现在参照图3,描绘了根据另一个实施例的处理系统200。处理系统200可以例如类似于图2的实施例,其中相同的附图标记指示相同或相似的组件。处理系统200包括气体分配系统250,其通过管道240连接到基团产生系统115的出口。气体分配系统250将从导管240接收的基团分配到处理空间145中的衬底125的上方。
根据实施例,图4A示出了气体分配系统350的简图。气体分配系统350包括具有连接到基团产生系统的入口370的主体360,并且该主体360被构造为从基团产生系统接收处理剂流并通过气体分配板380将处理剂流分配到处理空间375中的衬底的上方。
主体360包括内侧增压室364以及通过分隔构件362与内侧增压室分开的外侧增压室366。在本实施例中,在分隔构件362将处理剂流的外侧部分转入衬底上方的周边区域的同时,分隔构件362将处理剂流的内侧部分转入衬底上方的中央区域。通过这样做,在相对地较低速度的流体可以被分配到衬底上方的周边区域的同时,相对地较高速度的流体可以被分配到衬底上方的中央区域。内侧增压室364被构造为通过中央入口372接收进入入口370的处理剂流的一部分。此外,内侧增压室364被构造为通过气体分配板380的内侧板构件381将全部流的这部分引导到处理空间375的基本中央区域376中。外侧增压室366被构造为通过环形入口374接收进入入口370的处理剂流的剩余部分。此外,外侧增压室366被构造为通过气体分配板380的外侧板构件382将全部流的这部分引导到处理空间375的基本周边区域375中。
气体分配板380的内侧板构件381和外侧板构件382都分别设计有数目从约1个开口到约100个开口的多个开口,并且期望的数目从约10个开口到约100个开口。此外,例如内侧板构件381和外侧板构件382可以设计有多个开口,每个开口具有的直径的范围从约1mm到约100mm,并且期望的范围从约4mm到约10mm。此外,例如内侧板构件381和外侧板构件382可以设计有多个开口,每个开口具有的长度的范围从约1mm到约100mm,并且期望的范围从约2mm到约20mm。此外,内侧板构件381和外侧板构件382可以包括如下参考图8A到图8F所描述的间隙或狭缝。
在一个实施例中,如图4A所示,一个或多个开口均匀地分布在气体分配系统380的内侧板构件381和外侧板构件382上。可选择地,在另一个实施例中,如图4B所示,一个或多个开口不均匀地分布在气体分配系统380′的内侧板构件381′和外侧板构件382′上。可选择地,在另一个实施例中,内侧板构件381内的一个或多个开口的分布不均匀,或者外侧板构件382内的一个或多个开口的分布不均匀,或者它们的组合。例如,在内侧板构件381的周边区域内相比于内侧板构件381的中央区域内具有更多的开口。
气体分配板380和380′可以由诸如铝或阳极氧化铝的金属或陶瓷制作。例如,气体分配板380和380′可以由石英、硅、氮化硅、碳化硅、碳、铝、氮化铝等制作。此外,气体分配板380和380′可以涂有诸如氧化铝或氧化钇的陶瓷材料。例如,气体分配板380和380′可以涂有从由Al2O3、Sc2O3、Sc2F3、YF3、La2O3、Y2O3和DyO3所组成的组中选择的材料。
可选择地,可以不采用气体分配板380和380′、分隔构件362直接地将全部处理剂流的一部分转入衬底的基本中央区域376并直接地将处理剂的剩余部分转入衬底的基本周边区域377。
仍参照图4A和图4B,气体分配系统350的入口370可以具有诸如半径r1的第一尺寸的特征。此外,通过入口372进入内侧增压室364的全部流的这部分可以被诸如分隔构件半径r2的第二尺寸所控制。全部流的剩余部分通过分隔构件362外侧的半径r1与r2之间的环形入口374进入外侧增压室366。
发明人确定可能影响基团产生系统的下游的气体分配系统350的入口370内部的活性物质分布的两个潜在因素。首先,假设入口370内的速率分布具有抛物线分布,即:
V ( r ) = V 0 ( 1 - r 2 / r 1 2 )
其中v0表示中线速率,r表示半径。其次,由于扩散传输和在壁处的损耗(诸如再结合),活性物质径向分布n(r)将会是不均匀的。
假设压力p0在气体分配系统350的入口370处是固定的以及抛物线速率分布(如上所提供),可以如下得出进入内侧增压室和外侧增压室的全部流的相应量:
               Qinner=0.5p0v0η2,以及
               Qouter=0.5p0v0(1-η2)。
其中,η表示比率r2/r1。在通过总的流速率Qtotal=0.5p0v0将每个量Qinner和Qouter归一化之后,内侧流和外侧流分别被写作Qinner=η2(×100%)和Qouter(1-η2)(×100%)。为了计算基团密度的径向分布n(r),作为第一近似,发明人假设在内侧增压室和外侧增压室处的平均基团浓度。例如,ninner和nouter分别表示内侧增压室的入口和外侧增压室的入口的平均基团浓度。修改内侧流和外侧流的表达式已包括这些量。
Q inner ≅ 0.5 p 0 v 0 η 2 n ‾ inner η 2 ( n ‾ inner - n ‾ outer ) η 2 + n ‾ outer , 以及
Q outer ≅ 0.5 p 0 v 0 ( 1 - η 2 ) n ‾ inner ( 1 - η 2 ) ( n ‾ inner - n ‾ outer ) η 2 + n ‾ outer .
图4C示出了对于当不考虑壁损耗时的流(由空心矩形表示),以及对于当考虑壁损耗时的流(由空心圆表示)的内侧流和外侧流与η之间的关系。如此图所示,分隔构件的位置可以被设置为使得外侧流与内侧流基本相等(由内侧流曲线和外侧流曲线的交点所示)。可选择地,分隔构件可以被定位为使得内侧流与外侧流不相等。这可以非常有利于例如在衬底表面上提供不均匀的基团分布,以补偿其他的非均匀处理。
除了分隔构件362在入口370处的位置η之外,分隔构件在气体分配板380和380′处的位置η2=r4/r3、以及在内侧板构件381和外侧板构件382中的开口的分布也别用来调整和/或控制衬底的表面处的基团的空间分布的均匀性。可以使用实验或模拟确定这些参数。
例如,在考虑再结合损耗的情况下对于氢基团流执行了图4A和图4B的几何特征的计算模拟。该模拟软件可以例如包括2D/3D等离子体流体模型,该2D/3D等离子体流体模型可以例如使用诸如COMSOL Multi-physicsTM的商业软件开发出来,其中COMSOL Multi-physicsTM可以从Comsol公司(1 New England Executive Park,Suite 350,Burlington,Ma,01803)买到。
现在参照图5,对于300mm直径(DIA)衬底和具有63.5mm直径(DIA)的基团供应入口(例如,入口370)示出了模拟的示例性结果。对于没有气体分配系统(实线)和对于具有图4A和图4B的特征的气体分配系统(虚线)展示了氢基团浓度的空间分布与半径的关系的结果。对于r1的值等于16cm并且r2的值等于约2.5mm气体分配系统,根据上述等式对于值n~0.16提供了图5的模拟结果。在这些情况下,参数r3对应于300mm的晶片尺寸,并且参数r4约为120-130mm(r4/r3~0.8-0.87)。晶片与板之间的距离被设置为10mm,处理压力被设置为60Pa(450mTorr),并且使用的气体为在进入等离子体/基团源的入口处20sccm的流速的氢气。
图5显示出当使用如上所述的气体分配系统时,在衬底处的基团浓度的均匀性得到显著的改善。例如,已经发现当使用本发明的气体分配系统时非均匀性小于10%。此外,对于均匀性的改善不伴随着在衬底处的绝对基团浓度的降低。换言之,在不阻止基团流并不会以此方式造成额外损耗或再结合的同时,气体分配系统促进了基团浓度的基本均匀分布。图5中的结果是对于本发明的上述具体实施例的。但是,本发明不限于该具体实施例,并且对于其他实施例也能预料到本发明的类似优点。
例如,相对于r1的最优的r2(或参数η)基于各种变量,包括:在进入分配系统的入口上的活性物质(基团)的分布、表面材料(损耗)和通过内侧部分和外侧部分的电导、以及在分配系统的出口处的r4/r3的比率。可以改变这些变量的一个或多个以按照本发明的原理实现期望的结果。例如,可以选择r1的值以匹配具体买到的等离子体/基团源的出口,该出口是可以变化的。因此,r1的值可以在3cm到30cm之间变化。类似地,根据实际的等离子体/基团源,r2的值可以在0.1×r1<r2<0.9×r1(这约为0.1<η<0.9)的范围内。在不希望太过于限制气体流的情况下,该值可以更严格地约为0.3<η<0.7。r4/r3的比率可以约为0.7(该比率将晶片分割为具有近似相同的面积50%-50%的两个部分)。约0.87的r4/r3的值将会提供根据面积分割为约75%的中央部分和25%的外侧部分的晶片表面。本领域的技术人员将会认识到,也可以根据这里所提供的教导确定不同的值。
根据另一个实施例,图6示出了气体分配系统450的简图。气体分配系统450包括具有连接到基团产生系统的入口470的主体460,并且该主体460被构造为从基团产生系统接收处理剂流并通过气体分配板480将处理剂流分配到处理空间475中的衬底的上方。
主体460包括内侧增压室464以及通过分隔构件462与内侧增压室分开的外侧增压室466。在本实施例中,在分隔构件462将处理剂流的外侧部分转入衬底上方的中央区域的同时,分隔构件462将处理剂流的内侧部分转入衬底上方的周边区域。通过这样做,在相对地较低速度的流体可以被分配到衬底上方的中央区域的同时,相对地较高速度的流体可以被分配到衬底上方的周边区域。内侧增压室464被构造为通过环形入口474接收进入入口470的处理剂流的一部分。此外,内侧增压室464被构造为通过气体分配板480的内侧板构件481将全部流的这部分引导到处理空间475的基本中央区域476中。外侧增压室466被构造为通过中央入口472接收进入入口470的处理剂流的剩余部分。此外,外侧增压室466被构造为通过气体分配板480的外侧板构件482将全部流的这部分引导到处理空间475的基本周边区域477中。
气体分配板480的内侧板构件481和外侧板构件482都分别设计有数目从约1个开口到约100个开口的多个开口,并且期望的数目从约10个开口到约100个开口。此外,例如内侧板构件481和外侧板构件482可以设计有多个开口,每个开口具有的直径的范围从约1mm到约100mm,并且期望的范围从约4mm到约10mm。此外,例如内侧板构件481和外侧板构件482可以设计有多个开口,每个开口具有的长度的范围从约1mm到约100mm,并且期望的范围从约2mm到约20mm。此外,内侧板构件481和外侧板构件482可以包括如下参考图8A到图8F所描述的间隙或狭缝。
在一个实施例中,一个或多个开口均匀地分配在气体分配系统480的内侧板构件481和外侧板构件482上。可选择地,在另一个实施例中,一个或多个开口不均匀地分配在气体分配系统480的内侧板构件481和外侧板构件482上。可选择地,在另一个实施例中,内侧板构件481内的一个或多个开口的分布不均匀,或者外侧板构件482内的一个或多个开口的分布不均匀,或者它们的组合。例如,在内侧板构件481的周边区域内相比于内侧板构件481的中央区域内具有更多的开口。
气体分配板480可以由诸如铝或阳极氧化铝的金属或陶瓷制作。例如,气体分配板480可以由石英、硅、氮化硅、碳化硅、碳、铝、氮化铝等制作。此外,气体分配板480可以涂有诸如氧化铝或氧化钇的陶瓷材料。例如,气体分配板480可以涂有从由Al2O3、Sc2O3、Sc2F3、YF3、La2O3、Y2O3和DyO3所组成的组中选择的材料。
可选择地,可以不采用气体分配板480,并且分隔构件462直接地将全部处理剂流的一部分转入衬底的基本中央区域476并直接地将处理剂的剩余部分转入衬底的基本周边区域477。
仍参照图6,气体分配系统450的入口470的特征可以在于具有诸如半径r1的第一尺寸。此外,通过入口472进入外侧增压室466的全部流的这部分可以被诸如分隔构件半径r2的第二尺寸所控制。全部流的剩余部分通过分隔构件462外侧的半径r1与r2之间的环形入口474进入内侧增压室464。
除了分隔构件462在入口470处的位置r2/r1之外,分隔构件在气体分配板480处的位置r4/r3、以及在内侧板构件481和外侧板构件482中的开口的分布也别用来调整和/或控制衬底的表面处的基团的空间分布的均匀性。可以使用实验或模拟确定这些参数。对于r2/r1,例如0.1×r1<r2<0.9×r1(这约为0.1<η<0.9),可选择地,0.3×r1<r2<0.7×r1(这约为0.3<η<0.7),仍然可选择地,0.4×r1<r2<0.5×r1(这约为0.4<η<0.5)。对于r4/r3,例如,r4/r3~0.7(该比率将晶片分割为具有相同面积的两个部分),或者可选择地,r4/r3~0.87(该比率将晶片分割为约75%的中央部分和25%的外侧部分)。再次,本领域的技术人员可以根据这里所提供的教导确定不同的值。
根据另一个实施例,图7示出了气体分配系统550的简图。气体分配系统550包括具有连接到基团产生系统的入口570的主体560,并且该主体560被构造为从基团产生系统接收处理剂流并通过气体分配板580将处理剂流分配到处理空间575中的衬底的上方。
主体560包括内侧增压室564以及通过分隔构件562与内侧增压室分开的外侧增压室566。在本实施例中,在分隔构件562将处理剂流的外侧部分转入衬底上方的中央区域的同时,分隔构件562将处理剂流的内侧部分转入衬底上方的周边区域。通过这样做,在相对地较低速度的流体可以被分配到衬底上方的中央区域的同时,相对地较高速度的流体可以被分配到衬底上方的周边区域。如图7所示,分隔构件562包括四个(4)出口,这些出口构造为将处理剂分配到外部增压室566中。但是,可以使用更多或更少的出口以分配处理剂。在图7的实施例中,出口被实施为沿径向从中央入口572延伸到外侧增压室566中的不连续的管道。这些出口优选地对称地间隔(例如,4个出口距离90°分离)以实现到外侧增压室566的所有区域的均匀气体流。通过单独的管道或分隔构件562的出口之间产生的流通路径将环形入口574流体地连接到内侧增压室。
内侧增压室564被构造为通过环形入口574接收进入入口570的处理剂流的外侧部分。此外,内侧增压室564被构造为通过气体分配板580的内侧板构件581将全部流的这部分引导到处理空间575的基本中央区域576中。外侧增压室566被构造为通过中央入口572接收进入入口570的处理剂流的内侧部分。此外,外侧增压室566被构造为通过气体分配板580的外侧板构件582将全部流的这部分引导到处理空间575的基本周边区域577中。
气体分配板580的内侧板构件581和外侧板构件582都分别设计有数目从约1个开口到约100个开口的多个开口(在图7中未示出),并且期望的数目从约10个开口到约100个开口。此外,例如内侧板构件581和外侧板构件582可以设计有多个开口,每个开口具有的直径的范围从约1mm到约100mm,并且期望的范围从约4mm到约10mm。此外,例如内侧板构件581和外侧板构件582可以设计有多个开口,每个开口具有的长度的范围从约1mm到约100mm,并且期望的范围从约2mm到约20mm。
在一个实施例中,一个或多个开口均匀地分布在气体分配系统580的内侧板构件581和外侧板构件582上。可选择地,在另一个实施例中,一个或多个开口不均匀地分布在气体分配系统580的内侧板构件581和外侧板构件582上。可选择地,在另一个实施例中,内侧板构件581内的一个或多个开口的分布不均匀,或者外侧板构件582内的一个或多个开口的分布不均匀,或者它们的组合。例如,在内侧板构件581的周边区域内相比于内侧板构件581的中央区域内具有更多的开口。
气体分配板580可以由诸如铝或阳极氧化铝的金属或陶瓷制作。例如,气体分配板580可以由石英、硅、氮化硅、碳化硅、碳、铝、氮化铝等制作。此外,气体分配板580可以涂有诸如氧化铝或氧化钇的陶瓷材料。例如,气体分配板580可以涂有从由Al2O3、Sc2O3、Sc2F3、YF3、La2O3、Y2O3和DyO3所组成的组中选择的材料。
可选择地,可以不采用气体分配板580,并且分隔构件562直接地将全部处理剂流的一部分转入衬底的基本中央区域576并直接地将处理剂的剩余部分转入衬底的基本周边区域577。
在以上所讨论的实施例中,通过气体分配板穿过多个开口来提供气体流。根据本发明的其他实施例,气体分配板可以包括至少一个用于通过其来提供气体的间隙或狭缝。这种结构的一个优点是气体流间隙也可以起到分配板的热地和/或电地绝缘部分的功能,以使得这部分可以被单独地控制。例如,可以为气体分配板的每个分离部分设置不同的温度。类似的,不同的DC和/或RF电压可以被施加到气体分配板的每个分离部分。更进一步,分离的气体分配板部分可以由不同材料制成。例如,间隙可以产生气体分配板上的陶瓷与金属板部分之间的分界线,同时气体在这些部分之间流动。
图8A、图8B、图8C、图8D、图8E和图8F每个都示出了根据本发明的不同实施例的采用气体分配间隙的气体分配系统的平面底视图。正如以上关于图4-7所讨论的,这些图中的每个都示出了包括内侧板构件802、外侧板构件804以及用于将内侧板构件802与外侧板构件804相分隔的分隔构件806(以部分剖视示出)的气体分配板。如图8A所示,内侧板构件802包括为了经由其而提供处理气体的开口808。但是,处理气体经由间隙810提供到外侧板区域。在图8A的实施例中,间隙810为将外侧板构件808分隔为两个同心区域的基本连续的间隙。虽然未示出,基本连续的间隙810可以包括连接同心部分之间以提供结构支撑的小的桥接材料部分。
在图8A的实施例中,间隙具有固定的宽度;然而,可以使用可变宽度的间隙。此外,如图8B所示,间隙810′可以与开口806相结合。虽然图8A中的间隙810的轮廓是圆形的,间隙如图8C中的间隙810″所示可以是曲折的。本领域的技术人员可以认识到,基本连续的间隙可以具有为气体分配板实现所期望的气体分配、热分隔和/或电分隔性能所需要的包括复杂轮廓的任何轮廓。
在另一个实施例中,多个分立的间隙可以设置在气体分配板中。如图8D所示,气体分配间隙812被设置在内侧板构件802上以经由其提供气体。与以上所讨论的基本连续气体间隙相同,每个分立的间隙812可以具有连续的宽度或者变化的宽度。例如,图8D中间隙的宽度可以随着间隙辐射状向外延伸而增加。此外,虽然分立的间隙812如所示的沿径向延伸,分立的间隙也可以螺旋形、圆周地或者以任何期望的方向延伸,该期望的方向必须实现气体分配板的期望的气体分配、热分隔和/或电分隔性能。
图8D的实施例也包括了如以上在图8A中所讨论的在外侧板构件804中的设置的连续间隙810。但是,分立的间隙812也可以设置在外侧板构件中。例如,图8E示出了以螺旋形设置在外侧板构件804上的分立的间隙812′,图8F示出了在外侧板构件804内沿径向延伸的分立的间隙812″。本领域的技术人员可以认识到,通孔、连续的间隙和分立的间隙的任何组合都可以用在内侧板构件和/或外侧板构件中,并且可以被用在此处描述的任何气体分配系统中。
现在参照图9,描述根据实施例的从衬底移除污染物的方法。该方法包括从将衬底布置在处理室中的基座上的1010开始的流程图1000。处理室包括图2和图3中描述的处理室。
在1020中,处理气体被引导到连接到处理室的基团产生室中。处理气体可以包括任何上述气体。例如,处理气体可以含有氧气的气体、含有氢气的气体、含有氟的气体或以上任意两者或更多者的组合的处理气体。例如,处理气体可以分别包括氧气(O2)、氢气(H2)、CO、CO2、NO、NO2、N2O(或者,更一般地是NxOy)、N2、三氟化氮(NF3)、NH3、O3、XeF2、ClF3、碳氢化合物(或者,更一般地是CxHy)、氢氟碳化合物(或者,更一般地是CxHyFz)、碳氟化合物(或者,更一般地是CxFy)或者以上任意两者或更多者的组合。
例如,处理参数可以包括大约1到大约10Torr的室压力、范围从大约3slm到大约5slm的处理气体流速、以及范围从大约100摄氏度到大约300摄氏度的基座温度。
在1030中,由基团产生系统形成处理气体的基团。可以通过形成等离子体和引起处理气体的离解而形成基团。可选择地,可以使用用于离解处理气体的其他技术,包括诸如紫外(UV)辐射的电磁(EM)辐射。
在1040中,由处理气体形成的基团从基团产生室传输到处理室。例如,可以通过图4A、图4B、图6和图7中描绘的基团传输系统中的任意一者或其任何组合来传输基团。
在1050中,衬底被暴露到基团流中,并且衬底被处理。衬底可以被暴露到基团中,而不被暴露到基团产生室的等离子体中。
虽然上文中仅详细描述了本发明的特定实施例,本领域的技术人员将会很容易地认识到在本质上不超出本发明的新颖教导和优点的情况下,多种修改是可能的。因此,所有的这种修改都被确定为包括在本发明的范围内。

Claims (25)

1.一种处理系统,包括:
处理室,其包括处理空间;
基团产生系统,其连接到所述处理室并且构造为接收处理气体并从所述处理气体产生基团;
气体分配系统,其构造为接收基团流并在所述处理空间中分配所述基团流,其中所述气体分配系统包括连接到所述基团产生系统的出口的入口、连接到所述处理室的出口以及连接到气体分配系统的分隔构件,并且所述分隔构件被构造为通过所述入口将处理气体流的一部分分离地转入所述衬底上方的第一区域中,并通过所述入口将所述处理气体流的剩余部分分离地转入所述衬底上方的第二区域中;
基座,其连接到所述处理室并且所述基座被构造为在所述处理室的所述处理空间中支撑衬底并调整所述衬底的温度;
真空泵系统,其连接到所述处理室并且所述真空泵系统被构造为对所述处理室抽气。
2.根据权利要求1所述的处理系统,其中,所述第一区域包括所述衬底上方基本中央的区域,所述第二区域包括在所述衬底上方基本周边的区域。
3.权利要求2所述的处理系统,其中,所述分隔构件将所述处理气体流的基本内侧的部分转入所述衬底上方的所述第一区域中,并且其中所述分隔构件将所述处理气体流的基本外侧的部分转入所述衬底上方的所述第二区域中。
4.根据权利要求2所述的处理系统,其中,所述分隔构件将所述处理气体流的基本内侧的部分转入所述衬底上方的所述第二区域中,并且其中所述分隔构件将所述处理气体流的基本外侧的部分转入所述衬底上方的所述第一区域中。
5.根据权利要求1所述的处理系统,其中,所述气体分配系统包括主体,并且所述分隔构件将所述主体划分为内侧增压室和外侧增压室。
6.根据权利要求5所述的处理系统,其中,所述气体分配系统还包括气体分配板,所述气体分配板在所述内侧增压室的出口和所述外侧增压室的出口处连接到所述主体,其中所述气体分配板包括一个或多个穿通的开口。
7.根据权利要求6所述的处理系统,其中,所述气体分配板包括基本均匀地分布在所述气体分配板上的多个开口。
8.根据权利要求6所述的处理系统,其中,所述气体分配板包括不均匀地分布在所述气体分配板上的多个开口。
9.根据权利要求6所述的处理系统,其中,所述气体分配板包括在所述内侧增压室的出口处连接到所述气体分配系统的内侧板构件,并且所述气体分配板包括在所述外侧增压室的出口处连接到所述气体分配系统的外侧板构件。
10.根据权利要求6所述的处理系统,其中,所述主体、所述分隔构件或者所述气体分配板或者以上两者或更多者的组合是由二氧化硅或碳制成。
11.根据权利要求1所述的处理系统,其中,所述基座包括构造为控制所述衬底的温度的一个或多个加热元件或一个或多个冷却元件或者以上的组合。
12.根据权利要求1所述的处理系统,其中,所述分隔构件定位在所述入口中,以将基本相等的气体流提供到所述第一区域和所述第二区域中。
13.根据权利要求1所述的处理系统,其中,所述处理室、所述基团产生系统、所述气体分配系统、所述基座或以上二者或更多者的组合具有形成在其表面上的涂层。
14.根据权利要求1所述的处理系统,其中,所述分隔构件定位在所述入口中,以将基本相等的气体流提供到所述第一区域和所述第二区域中。
15.根据权利要求13所述的处理系统,其中,所述涂层包括至少一种第III族元素。
16.根据权利要求13所述的处理系统,其中,所述涂层包括从由Al2O3、Sc2O3、Sc2F3、YF3、La2O3、Y2O3和DyO3所组成的组中选择的材料。
17.根据权利要求1所述的处理系统,还包括:
处理气体供应系统,其连接到所述基团产生系统,并且所述处理气体供应系统将所述处理气体提供给所述基团产生系统。
18.根据权利要求17所述的处理系统,其中,所述处理气体供应系统被构造为提供H2、O2、N2、F2、NO、NO2、N2O、CO、CO2、NH3、NF3、碳氟化合物、碳氢化合物、氢氟碳化合物或者以上二者或更多者的任意组合。
19.一种被构造为连接到衬底处理系统的气体分配系统,包括:
具有构造为接收处理气体流的入口的主体、构造为将所述处理气体分配到所述处理系统中的出口、以及连接到所述气体分配系统的分隔构件,并且所述分隔构件被构造为通过所述入口将所述处理气体流的一部分分离地转入所述衬底上方的第一区域中,并通过所述入口将所述处理气体流的剩余部分分离地转入所述衬底上方的第二区域中。
20.根据权利要求19所述的气体分配系统,其中,所述第一区域包括所述衬底上方基本中央的区域,所述第二区域包括在所述衬底上基本周边的区域。
21.权利要求20所述的气体分配系统,其中,所述分隔构件将所述处理气体流的基本内侧的部分转入所述衬底上方的第一区域中,并且其中所述分隔构件将所述处理气体流的基本外侧的部分转入所述衬底上方的第二区域中。
22.根据权利要求20所述的气体分配系统,其中,所述分隔构件将所述处理气体流的基本内侧的部分转入所述衬底上方的第二区域中,并且其中所述分隔构件将所述处理气体流的基本外侧的部分转入所述衬底上方的第一区域中。
23.根据权利要求19所述的气体分配系统,其中,所述气体分配系统包括主体,并且所述分隔构件将所述主体划分为内侧增压室和外侧增压室。
24.根据权利要求23所述的气体分配系统,其中,所述气体分配系统还包括气体分配板,所述气体分配板在所述内侧增压室的出口和所述外侧增压室的出口处连接到所述主体,其中所述气体分配板包括一个或多个穿通的开口。
25.一种处理系统,包括:
处理室,其包括处理空间;
用于与所述处理空间远距离地由所述处理气体产生基团的装置;
用于将所述基团传递到所述处理空间的装置,使所述基团在衬底的表面上基本均匀地分配,所述衬底设置在所述处理空间中;
基座,其连接到所述处理室并且所述基座被构造为在所述处理室的所述处理空间中支撑所述衬底并调整所述衬底的温度;
真空泵系统,其连接到所述处理室并且所述真空泵系统被构造为对所述处理室抽气。
CN2008800042350A 2007-02-06 2008-01-04 用于处理系统的多区域气体分配系统 Active CN101605925B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/671,704 2007-02-06
US11/671,704 US8715455B2 (en) 2007-02-06 2007-02-06 Multi-zone gas distribution system for a treatment system
PCT/US2008/050155 WO2008097670A1 (en) 2007-02-06 2008-01-04 Multi-zone gas distribution system for a treatment system

Publications (2)

Publication Number Publication Date
CN101605925A true CN101605925A (zh) 2009-12-16
CN101605925B CN101605925B (zh) 2011-08-31

Family

ID=39675158

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800042350A Active CN101605925B (zh) 2007-02-06 2008-01-04 用于处理系统的多区域气体分配系统

Country Status (6)

Country Link
US (1) US8715455B2 (zh)
JP (1) JP5419711B2 (zh)
KR (1) KR101578939B1 (zh)
CN (1) CN101605925B (zh)
TW (1) TWI381443B (zh)
WO (1) WO2008097670A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108292600A (zh) * 2015-09-24 2018-07-17 应用材料公司 装载锁定整合斜面蚀刻器系统
CN109256315A (zh) * 2017-07-13 2019-01-22 Asm Ip控股有限公司 用于在单一处理腔室中从半导体膜去除氧化物和碳的设备和方法
CN112320752A (zh) * 2019-08-05 2021-02-05 上海新微技术研发中心有限公司 负性光刻胶图形化膜层的制备方法
CN112802730A (zh) * 2019-11-14 2021-05-14 Psk有限公司 挡板单元及包括该挡板单元的基板处理设备

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
CN102246274A (zh) * 2008-10-10 2011-11-16 奥塔装置公司 用于气相沉积的同轴喷头
JP5261291B2 (ja) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US20120088356A1 (en) * 2010-09-14 2012-04-12 Applied Materials, Inc. Integrated platform for in-situ doping and activation of substrates
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9129778B2 (en) * 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US10225919B2 (en) 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
KR101322783B1 (ko) * 2012-05-08 2013-10-29 한국세라믹기술원 고밀도 플라즈마 에칭에 대한 저항성이 우수한 세라믹 보호 피막 및 그 코팅 방법
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
WO2016210299A1 (en) * 2015-06-26 2016-12-29 Tokyo Electron Limited GAS PHASE ETCH WITH CONTROLLABLE ETCH SELECTIVITY OF Si-CONTAINING ARC OR SILICON OXYNITRIDE TO DIFFERENT FILMS OR MASKS
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
KR102614922B1 (ko) * 2020-12-30 2023-12-20 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11535938B2 (en) * 2021-03-03 2022-12-27 Sky Tech Inc. Shower head assembly and atomic layer deposition device
CN115155417B (zh) * 2022-07-01 2023-08-18 北京北方华创微电子装备有限公司 半导体工艺设备的气体混合装置及半导体工艺设备
CN116804270B (zh) * 2023-08-29 2023-11-10 上海陛通半导体能源科技股份有限公司 二氧化硅薄膜的低温沉积方法及器件制备方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6353932A (ja) * 1986-08-22 1988-03-08 Nec Corp 半導体ウエハ−の薄膜成長装置
KR950000662B1 (ko) * 1988-11-18 1995-01-27 가부시키가이샤 시바우라 세이사쿠쇼 드라이에칭 방법
JPH031531A (ja) * 1989-05-29 1991-01-08 Mitsubishi Electric Corp 半導体製造装置
JP2524869B2 (ja) * 1990-07-23 1996-08-14 大日本スクリーン製造株式会社 基板の表面処理方法および装置
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
JPH05184977A (ja) * 1992-01-09 1993-07-27 Toshiba Corp シャワーノズル
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001140078A (ja) * 1999-11-12 2001-05-22 Anelva Corp 化学蒸着装置
US6475854B2 (en) * 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2004061888A2 (en) * 2002-12-20 2004-07-22 Tokyo Electron Limited Method and apparatus for determining consumable lifetime
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050221000A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7449416B2 (en) * 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
US7138067B2 (en) * 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108292600A (zh) * 2015-09-24 2018-07-17 应用材料公司 装载锁定整合斜面蚀刻器系统
CN109256315A (zh) * 2017-07-13 2019-01-22 Asm Ip控股有限公司 用于在单一处理腔室中从半导体膜去除氧化物和碳的设备和方法
CN112320752A (zh) * 2019-08-05 2021-02-05 上海新微技术研发中心有限公司 负性光刻胶图形化膜层的制备方法
CN112802730A (zh) * 2019-11-14 2021-05-14 Psk有限公司 挡板单元及包括该挡板单元的基板处理设备
CN112802730B (zh) * 2019-11-14 2024-03-12 Psk有限公司 挡板单元及包括该挡板单元的基板处理设备

Also Published As

Publication number Publication date
US20080185104A1 (en) 2008-08-07
WO2008097670A1 (en) 2008-08-14
KR20090115138A (ko) 2009-11-04
JP2010518602A (ja) 2010-05-27
CN101605925B (zh) 2011-08-31
TW200845190A (en) 2008-11-16
US8715455B2 (en) 2014-05-06
JP5419711B2 (ja) 2014-02-19
TWI381443B (zh) 2013-01-01
KR101578939B1 (ko) 2015-12-18

Similar Documents

Publication Publication Date Title
CN101605925B (zh) 用于处理系统的多区域气体分配系统
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
CN101410941B (zh) 用于去除衬底上的残留物的刻蚀后处理系统
US9038567B2 (en) Plasma processing apparatus
US20180308695A1 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
EP0889976B1 (en) Apparatus for uniform distribution of plasma
TWI636505B (zh) 平滑矽膜之電漿促進化學氣相沉積
TW201643268A (zh) 用於有效的混合與清洗之進氣口
KR102648956B1 (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
TW201921490A (zh) 具有多個電漿配置構件之半導體處理系統
CN110112048A (zh) 用于图案化非挥发性金属的室
CN108630578B (zh) 超高选择性的氮化物蚀刻以形成FinFET器件
KR102650948B1 (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
JPH02234419A (ja) プラズマ電極
KR102623770B1 (ko) 성막 방법
JP2022510862A (ja) インターバル調整パージによるスループットの向上
JP7412257B2 (ja) エッチング方法、基板処理装置、及び基板処理システム
KR20220097974A (ko) 무선 주파수 (rf) 전력 램핑을 사용한 플라즈마 강화된 원자층 증착 (ald)
JP4126229B2 (ja) プラズマ生成装置及び方法
JP2021118347A (ja) エッチング方法、基板処理装置、及び基板処理システム
CN116364540A (zh) 基板处理设备和基板处理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant