KR20090115138A - 처리 시스템용 다구역 가스 분배 시스템 - Google Patents

처리 시스템용 다구역 가스 분배 시스템 Download PDF

Info

Publication number
KR20090115138A
KR20090115138A KR1020097016295A KR20097016295A KR20090115138A KR 20090115138 A KR20090115138 A KR 20090115138A KR 1020097016295 A KR1020097016295 A KR 1020097016295A KR 20097016295 A KR20097016295 A KR 20097016295A KR 20090115138 A KR20090115138 A KR 20090115138A
Authority
KR
South Korea
Prior art keywords
substrate
gas distribution
processing
region
gas
Prior art date
Application number
KR1020097016295A
Other languages
English (en)
Other versions
KR101578939B1 (ko
Inventor
조제프 브르카
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090115138A publication Critical patent/KR20090115138A/ko
Application granted granted Critical
Publication of KR101578939B1 publication Critical patent/KR101578939B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

본 발명에서는 기판을 다양한 처리에 노출시키기 위한 처리 시스템을 설명한다. 또한, 본 발명의 가스 분배 시스템은, 처리 물질을 기판 상에 분배하기 위해 처리 시스템에 결합되어 함께 사용되도록 구성되어 있다. 처리 시스템은 처리 챔버와, 처리 챔버에 결합된 라디칼 발생 시스템과, 라디칼 발생 시스템에 결합되어 있고 반응성 라디칼을 기판 상에 분배하도록 구성되어 있는 가스 분배 시스템, 그리고 진공 챔버에 결합되어 있고 기판을 지지하도록 구성되어 있는 온도 제어형 받침대를 포함한다. 가스 분배 시스템은, 라디칼을 기판까지 효율적으로 이송하고 라디칼을 기판 상에 분배시키도록 구성되어 있다.

Description

처리 시스템용 다구역 가스 분배 시스템{MULTI-ZONE GAS DISTRIBUTION SYSTEM FOR A TREATMENT SYSTEM}
관련 출원에 대한 상호 참조
본 발명은 "에칭후 처리 시스템용 가스 분배 시스템(GAS DISTRIBUTION SYSTEM FOR A POST-ETCH TREATMENT SYSTEM)"란 명칭으로 2006년 3월 28일자로 출원된 계류 중인 미국 특허 출원 제11/390,196호(대리인 사건 번호 287929US)와 관련이 있다. 이 특허 출원의 모든 내용은 본원에 참조로 인용되어 있다.
본 발명은 기판의 처리를 위한 처리 시스템에 관한 것이고, 보다 구체적으로는 효율적으로 기판에 처리제를 이송하고 기판 위에 처리제를 분배하도록 구성된 가스 분배 시스템을 갖는 처리 시스템에 관한 것이다.
통상적으로, 원격 플라즈마 처리를 비롯한 플라즈마 처리가, 반도체 디바이스 제조에 있어서, 재료 가공, 표면 준비 및 기판과 기판 상의 각 박막의 세척 중에 이용된다. 특히, 이러한 플라즈마 처리는, 디바이스 제조 중의 프로세스를 통합할 수 있게 하고, 디바이스 금속 배선의 성능을 향상시키며, 작업 장치의 생산량을 최적화한다. 예컨대, 수소(H2) 플라즈마, 또는 수소와 다른 가스 첨가제의 혼합 물로 형성된 플라즈마가, 전자 구조의 금속 배선 이전에 전자 구조의 접촉면을 세척하는 데 사용될 수 있다. 또 다른 예에서는, 산소(O2) 플라즈마, 또는 산소와 다른 가스 첨가제의 혼합물로 형성된 플라즈마가, 에칭 실시 이후에 기판 상에 남아 있는 마스크 잔류물 및 에칭 잔류물을 제거하는 데 사용될 수 있다. 이러한 플라즈마 처리의 이용은, 트랜지스터 제조 중의 기판공정(FEOL) 애플리케이션 뿐만 아니라 배선공정(BEOL) 애플리케이션 전반에 걸쳐 공통된 것이다.
그러나, 플라즈마 처리가 이용되는 많은 애플리케이션에서, 제조되는 디바이스는 플라즈마의 에너지종에 민감하며, 이러한 에너지종에 노출되었을 때 손상을 입을 수 있다. 예컨대, 기판을 플라즈마 내에 잠입시키면, 고에너지 하전 입자(예컨대, 에너지 전자 등) 및 전자기(EM) 방사[예컨대, 자외선(UV) 방사]에 대한 노출을 제어할 수 없게 되고, 그 결과 기저층 및/또는 기저구조가 디바이스 제조자에게 용납될 수 없는 손상을 입게될 수 있다. 따라서, 이러한 애플리케이션의 다수가, 플라즈마를 원격 형성하는 플라즈마 처리를 필요로 한다. 원격 플라즈마는, 처리 프로세스를 촉진시키도록 기판으로 이송되는 반응종의 형성을 용이하게 한다.
본 발명은 기판을 처리하는 시스템과, 기판을 처리제로 처리하는 시스템에 관한 것이다. 예컨대, 처리제는 원자 혹은 분자 라디칼을 포함할 수 있다.
일 실시예에 따르면, 처리 시스템은, 원자 혹은 분자 라디칼의 흐름을 이용하여 기판 상의 잔류물 혹은 오염물을 제거하기 위한 것이다.
다른 실시예에 따르면, 처리 시스템은, 처리 공간을 갖는 처리 챔버; 처리 챔버에 결합되어 있고, 프로세스 가스를 받아들여 이 프로세스 가스로부터 라디칼을 생산하도록 구성되어 있는 라디칼 발생 시스템; 라디칼의 흐름을 받아들여 처리 공간 내에 분배하도록 구성되어 있는 가스 분배 시스템으로서, 라디칼 발생 시스템의 출구에 결합되어 있는 입구와, 처리 챔버에 결합되어 있는 출구, 그리고 가스 분배 시스템에 결합되어 있고, 프로세스 가스의 흐름의 일부분을 상기 입구를 통해 기판 상의 제1 영역으로 따로 돌리도록 구성되어 있으며, 프로세스 가스의 흐름의 나머지 부분을 상기 입구를 통해 기판 상의 제2 영역으로 따로 돌리도록 구성되어 있는 분할 부재를 구비하는 가스 분배 시스템; 처리 챔버에 결합되어 있고, 처리 챔버의 처리 공간 내에서 기판을 지지하며 기판의 온도를 조절하도록 구성되어 있는 받침대; 및 처리 챔버에 결합되어 있고, 처리 챔버를 배기(排氣)하도록 구성되어 있는 진공 펌핑 시스템을 포함한다.
또 다른 실시예에 따르면, 가스 분배 시스템은, 프로세스 가스의 흐름을 받아들이도록 구성되어 있는 입구와, 프로세스 가스를 처리 시스템 내에 분배하도록 구성되어 있는 출구, 그리고 가스 분배 시스템에 결합되어 있고, 프로세스 가스의 흐름의 일부분을 상기 입구를 통해 기판 상의 제1 영역으로 따로 돌리며, 프로세스 가스의 흐름의 나머지 부분을 상기 입구를 통해 기판 상의 제2 영역으로 따로 돌리도록 구성되어 있는 분할 부재 구비하는 본체를 포함하는 것이다.
첨부 도면에서,
도 1a, 도 1b 및 도 1c는 기판 상의 박막에 피처(feature)를 가공하기 위한 절차를 개략적으로 보여주고,
도 2는 처리 시스템의 개략적인 다이어그램이며,
도 3은 일 실시예에 따른 처리 시스템의 개략적인 다이어그램이고,
도 4a 및 도 4b는 다른 실시예에 따른 가스 분배 시스템의 개략적인 다이어그램이며,
도 4c는 도 4a 및 도 4b에 도시된 가스 분배 시스템에 있어서 내부 가스 흐름과 외부 가스 흐름 사이의 관계를 보여주고,
도 5는 기판에서의 처리제 농도의 공간적 분포와, 처리제를 분배하는데 이용되는 가스 분배 시스템 사이의 관계를 보여주며,
도 6은 다른 실시예에 따른 가스 분배 시스템의 개략적인 다이어그램이고,
도 7은 다른 실시예에 따른 가스 분배 시스템의 개략적인 다이어그램이며,
도 8a, 도 8b, 도 8c, 도 8d, 도 8e 및 도 8f는 각각 본 발명의 여러 실시예에 따른 가스 분배 갭을 이용하는 가스 분배 시스템의 저면도이고,
도 9는 또 다른 실시예에 따른 기판 처리 방법을 보여준다.
이하의 설명에서는, 본 발명의 완전한 이해를 돕기 위해, 그리고 제한이 아니라 설명을 목적으로 하여, 처리 시스템의 특정한 기하 구조 및 가스 분배 시스템의 설명 등과 같은 구체적인 사양을 기재한다. 그러나, 본 발명은 이러한 구체적인 사양에서 벗어난 다른 실시예로 실시될 수 있는 것으로 이해되어야 한다.
재료 처리 방법에 있어서, 패턴 에칭은, 포토레지스트 등과 같은 감광성 재료의 박층을 기판의 상면에 도포하는 것을 포함하며, 이후에 상기 감광성 재료는 에칭 중에 기판 상의 하부 박막에 패턴을 전사(轉寫)하기 위한 마스크를 제공하기 위해 패턴화된다. 일반적으로, 감광성 재료의 패턴화는, 예컨대 마이크로 리소그래피 시스템을 사용하여 감광성 재료를 소정의 기하학적 패턴의 전자기(EM) 방사에 노출시킨 후, 현상 용매를 사용하여 감광성 재료의 (포지티브형 포토레지스트의 경우) 조사(照射) 영역을 혹은 (네거티브형 포토레지스트의 경우) 비조사 영역을 제거하는 것을 포함한다.
예컨대, 도 1a 내지 도 1c에 도시된 바와 같이, 패턴(2)(예컨대, 패턴화된 포토레지스트)을 갖는 감광성층을 구비한 마스크(3)가, 피처 패턴을 기판(5) 상의 박막(4)에 전사하는 데 이용될 수 있다. 패턴(2)은 피처(6)를 형성하기 위해, 예컨대 건식 플라즈마 에칭을 이용하여 박막(4)에 전사되며, 에칭이 종료되면, 마스크(3)는 제거된다. 종래 기술에서, 마스크(3)는, 박막(4)에 형성된 피처 패턴(2)의 측벽 및/또는 바닥에 쌓인 다른 잔류물과 마찬가지로 제거된다.
예컨대, 포토레지스트 등과 같은 유기 잔류물, 또는 폴리실리콘 에칭으로부터의 할로겐 잔류물은, 기판을 산소 플라즈마 등과 같은 플라즈마에 잠입시키는 것에 의해 제거되고, 남아있는 마스크 및 에칭후 잔류물은 회화(灰化)(또는 박리)된다. 또한, 예컨대 금속 라인과의 접촉이 이루어지는 피처 패턴(2)의 바닥에 형성된 자연 금속 산화물을 비롯한 다른 오염물 등은, 자연 산화물을 제거하기 위해 기판을 수소 플라즈마 등과 같은 플라즈마에 잠입시키는 것에 의해 제거된다. 그러 나, 예컨대 반도체 제조에서의 기판공정(FEOL) 애플리케이션에서 접촉부를 형성하는 동안에, 반응성 또는 정교한 구조 혹은 층을 갖는 기판을 건식 세척할 때, 플라즈마에 직접적으로 노출시키는 것은, 에너지를 갖는(하전) 파티클, 전자기(EM) 방사선 등의 존재로 인하여 디바이스에 유해한 영향을 미칠 수 있다.
이제 도 2를 참조해 보면, 처리 시스템(100)은, 기판(125)을 지지하도록 구성되어 있는 받침대(120)를 구비한 처리 챔버(110)를 포함하는 것이고, 이 처리 챔버에서는 잔류물 제거 공정 또는 산화물 제거 공정 등과 같은 처리 공정이 처리제를 사용하여 수행된다. 기판(125)은, 예컨대 반도체 기판, 웨이퍼, 또는 액정 디스플레이일 수 있다. 또한, 처리제를 기판(125)에 도입시키기 위해, 라디칼 발생 시스템(115)이 라디칼 전달 시스템(140)을 통해 처리 챔버(110)에 결합되어 있다.
또한, 처리 챔버(110)는 덕트 및 압력 제어 시스템(예컨대, 진공 밸브 등)을 통해 진공 펌핑 시스템(170)에 결합되어 있고, 진공 펌핑 시스템(170)은 기판(125)에서 처리 공정을 수행하기에 적합한 압력 및 라디칼 발생 시스템(115)에서 라디칼을 생산하기에 적합한 압력으로, 처리 챔버(110), 라디칼 전달 시스템(140) 및 라디칼 발생 시스템(115)을 배기시키도록 구성되어 있다.
계속해서 도 2를 참조해 보면, 라디칼 발생 시스템(115)은, 하나 이상의 가스 공급 도관(162)을 통해 가스 공급 시스템(160)으로부터 공급되는 프로세스 가스로부터, 원자 라디칼 또는 분자 라디칼 혹은 양자 모두를 원격 발생시키도록 구성되어 있다. 라디칼 발생 시스템(115)에서 원격 형성된 라디칼은, 라디칼 전달 시스템(140)을 통해 이송되어 기판(125) 상의 처리 공간(145)에 도입된다. 라디칼 전달 시스템(140)은 라디칼을 처리 공간(145)에 도입하는 한편, 라디칼의 흐름에 대하여 최소의 임피던스를 제공하고, 기판 표면에 도달하기 전에 라디칼이 재결합하는 것을 억제한다. 예컨대, 라디칼 전달 시스템은, 덕트 입구가 라디칼 발생 시스템(115)의 출구에 결합되고 덕트 출구가 처리 챔버(110)에 결합된 덕트를 포함할 수 있다.
라디칼 발생 시스템(115)은, 기판(125)을 화학적으로 처리하도록 되어 있는, 예컨대 기판(125)에 대한 손상을 최소화하면서 임의의 잔류물, 오염물 등과 반응하여 이를 제거하도록 되어 있는 하나 이상의 화학 라디칼을 생산하도록 구성되어 있다. 예컨대, 라디칼 발생 시스템(115)은, 산소 함유 가스, 수소 함유 가스, 불소 함유 가스, 또는 이들 중 2이상의 임의의 조합으로 이루어진 프로세스 가스로부터, 산소, 수소, 또는 불소 라디칼을 발생시키도록 구성되어 있는 상류측 플라즈마 소스를 포함할 수 있다. 예컨대, 프로세스 가스는 산소(O2), 수소(H2), CO, CO2, NO, NO2, N2O(또는 더 일반적으로는 NxOy), N2, 삼불화질소(NF3), NH3, O3, XeF2, ClF3, 탄화수소(또는 더 일반적으로는 CxHy), 수소화불화탄소(또는 더 일반적으로는 CxHyFz), 탄화불소(또는 더 일반적으로는 CxFy), 또는 이들 중 2이상의 임의의 조합을 각각 포함할 수 있다. 라디칼 발생 시스템(115)은, MKS Instruments, Inc.(미국 매사추세츠주 01887 윌밍턴 인더스트리얼 웨이 90 소재)에서 시판하는 ASTeX® 제품인 Astron® 반응성 가스 발생기를 포함할 수 있다. 별법으로서, 라디칼 발생 시스템(115)은, 라디칼 전달 시스템(140)의 가까이에 배치된 유도 코일 또는 전극의 쌍 을 포함할 수 있다.
또한, 가스 공급 시스템(160)은, 프로세스 가스를 라디칼 발생 시스템(115)에 공급할 뿐만 아니라, 보조 프로세스 가스를 하나 이상의 가스 공급 도관(162)을 통해 라디칼 발생 시스템(115)에 공급하도록 구성될 수 있다. 보조 프로세스 가스는 라디칼 발생 시스템(115)에서 형성된 라디칼의 처리 공간(145)으로의 이송을 돕는 캐리어 가스로서 이용될 수 있거나, 또는 보조 프로세스 가스는 프로세스 가스 및 프로세스 가스로부터 형성된 라디칼을 희석하는 데 이용될 수 있다. 보조 프로세스 가스는 희가스(즉, He, Ne, Ar, Kr, Xe), 질소(N2), 또는 이들의 조합 등과 같은 불활성 가스를 포함할 수 있다. 또한, 가스 공급 시스템(160)은 하나 이상의 보조 가스 공급 도관(164)을 통해 처리 챔버(110)에 직접 보조 프로세스 가스를 도입시키도록 구성될 수 있다.
도시되어 있지는 않지만, 가스 공급 시스템(160)은 하나 이상의 가스 공급원, 하나 이상의 제어 밸브, 하나 이상의 필터, 및/또는 하나 이상의 질량 유량 제어기를 포함할 수 있다. 예컨대, 프로세스 가스 또는 보조 프로세스 가스의 유량은 약 1 sccm(표준 상태에서의 입방 센티미터/분) 내지 약 10000 sccm(또는 표준 상태에서의 10 리터/분, slm)의 범위일 수 있다. 예컨대, 프로세스 가스 또는 보조 프로세스 가스의 유량은 약 1 slm 내지 약 5 slm의 범위일 수 있다. 다른 예로서, 프로세스 가스 또는 보조 프로세스 가스의 유량은 약 3 slm 내지 약 5 slm의 범위일 수 있다.
라디칼 발생 시스템(115)의 하류측에서, 라디칼은 라디칼 전달 시스템(140)을 통과하여 처리 챔버(110) 내의 처리 공간(145)으로 흘러 들어간다. 라디칼 전달 시스템의 온도를 제어하기 위해, 라디칼 전달 시스템(140)은 증기 라인 온도 제어 시스템(도시 생략)에 결합될 수 있다. 예컨대, 온도는 약 20 ℃ 내지 약 100 ℃ 범위의 값으로 세팅될 수 있고, 다른 예로서 온도는 약 40 ℃ 내지 약 80 ℃ 범위의 값으로 세팅될 수 있다. 또한, 예컨대 라디칼 전달 시스템(140)은 약 50 리터/초를 상회하는 높은 컨덕턴스에 의해 특징지워질 수 있다.
일단 라디칼 흐름이 처리 공간(145)에 들어가면, 라디칼은 기판(125)의 표면 상의 잔류물과 화학적으로 반응한다. 받침대(120)는, 온도 제어 시스템(130)에 결합되어 있고 받침대(120) 내에 매립되어 있는 가열 요소(135)에 의해, 기판의 온도(125)를 상승시키도록 구성되어 있다. 가열 요소(135)는 저항 가열 요소일 수 있고, 또는 가열 요소(135)는 열전 디바이스의 어레이를 포함할 수 있다. 기판 홀더에 열전 디바이스를 사용하는 것에 관한 부가적인 세부 사항은, "급속한 온도 변화 및 제어를 위한 방법 및 장치(METHOD AND APPARATUS FOR RAPID TEMPERATURE CHANGE AND CONTROL)"란 제목의 계류 중인 미국 특허 제7,141,763호에 제공되어 있고, 이 특허의 전체 내용은 본원에 참조로 인용되어 있다. 예컨대, 온도 제어 시스템(130)은 기판(125)의 온도를 약 500 ℃까지 상승시키도록 구성될 수 있다. 일 실시예에서, 기판 온도는 약 40 ℃ 내지 약 500 ℃의 범위일 수 있다. 다른 실시예에서, 기판 온도는 약 100 ℃ 내지 약 300 ℃의 범위일 수 있다. 또한, 처리 챔버(110)는 챔버 벽의 온도를 제어하도록 구성된 온도 제어 시스템(130)에 결합될 수 있다.
받침대(120)는 기판(125)의 온도를 상승시킬 뿐만 아니라, 처리 중에 기판(125)을 지지하도록 구성되어 있다. 받침대(120)는, 기판(125)을 받침대(120)의 상면과 처리 챔버(110)의 이송면까지 혹은 이들 면으로부터 수직 이송시키기 위해, 3개 이상의 리프트 핀을 승강시킬 수 있는 리프트 핀 조립체(도시 생략)를 더 포함할 수 있다.
리프트 핀 조립체에서, 기판 리프트 핀은 공통의 리프트 핀 요소에 결합될 수 있고, 받침대(120)의 상면 아래까지 하강될 수 있다. 예컨대, 전기 구동 시스템(전기 스텝 모터 및 나사봉 구비) 또는 공기압식 구동 시스템(에어 실린더 구비)을 이용하는 구동 기구(도시 생략)는, 공통의 리프트 핀 요소를 승강시키기 위한 수단을 제공한다. 기판(125)은, 게이트 밸브(도시 생략) 및 챔버 피드스루 통로를 통해 처리 챔버(110)의 안과 밖으로 이송될 수 있고, 로봇 이송 시스템(도시 생략)을 통해 이송면에 위치가 맞춰질 수 있으며, 기판 리프트 핀에 의해 건네 받을 수 있다. 일단, 기판(125)을 이송 시스템으로부터 건네 받으면, 기판 리프트 핀을 하강시켜서, 기판을 받침대(120)의 상면까지 하강시킬 수 있다.
받침대(120)에는 기판(125)을 받침대(120)에 클램핑하기 위한 클램핑 시스템이 마련될 수 있거나, 또는 클램핑 시스템이 마련되지 않을 수 있다. 또한, 기판(125)과 받침대(120) 사이의 열 전도를 개선하기 위해 기판(125)의 이면에 열전달 가스를 공급하도록 구성된 이면측 가스 공급 시스템이 받침대(120)에 마련될 수 있거나, 또는 상기 이면측 가스 공급 시스템이 받침대에 마련되지 않을 수 있다.
도 2에 도시된 바와 같이, 배기 라인(도면 부호 165로 개략적으로 나타내어짐)이 처리 챔버(110)를 진공 펌핑 시스템(170)에 연결시킨다. 진공 펌핑 시스템(170)은, 처리 챔버(110)를 바람직한 진공도에 이르기까지 배기시키고 처리 중에 처리 챔버(110)로부터 가스종을 제거하는 진공 펌프를 포함한다. 자동 압력 제어기(APC)와 선택적인 트랩을 진공 펌프에 직렬로 연결하여 사용할 수 있다. 진공 펌프는 드라이 러핑 펌프를 포함할 수 있다. 별법으로서, 진공 펌프는 5000 리터/초(그 이상)에 이르는 펌핑 속도를 낼수 있는 터보 분자 펌프를 포함할 수 있다. 처리 중에, 프로세스 가스, 보조 프로세스 가스, 또는 이들의 임의의 조합이 처리 챔버(110)에 도입될 수 있고, 챔버의 압력은 APC에 의해 조정될 수 있다. 예컨대, 챔버의 압력은 약 1 mTorr 내지 약 50 Torr의 범위일 수 있고, 다른 예에서 챔버의 압력은 약 1 Torr 내지 약 10 Torr의 범위일 수 있다. APC는 나비형 밸브, 또는 게이트 밸브를 포함할 수 있다. 트랩은 처리 챔버(110)로부터의 부산물을 수집할 수 있다.
또한, 처리 시스템(100) 내의 임의의 요소는 세라믹 재료, 예컨대 산화 알루미늄 또는 이트륨 산화물 등으로 피복될 수 있다. 예컨대, 임의의 요소는 Al2O3, Sc2O3, Sc2F3, YF3, La2O3, Y2O3 및 DyO3로 이루어진 그룹에서 선택되는 재료로 피복될 수 있다.
도 2를 다시 참조해 보면, 처리 시스템(100)은, 처리 시스템(100)을 조작하고 처리 시스템의 조작을 제어하도록 구성된 제어 시스템(180)을 더 포함할 수 있 다. 제어 시스템(180)은, 처리 챔버(110), 받침대(120), 온도 제어 시스템(130), 라디칼 발생 시스템(115), 가스 공급 시스템(160) 및 진공 펌핑 시스템(170)에 결합된다.
제어 시스템(180)은, 마이크로프로세서, 메모리, 및 처리 시스템(100)과 통신하고 처리 시스템(100)으로부터의 모니터 출력뿐만 아니라 처리 시스템(100)의 입력을 활성화하기에 충분한 제어 전압을 발생시킬 수 있는 디지털 I/O 포트를 포함할 수 있다. 또한, 제어 시스템(180)은, 처리 챔버(110), 받침대(120), 온도 제어 시스템(130), 라디칼 발생 시스템(115), 가스 공급 시스템(160) 및 진공 펌핑 시스템(170)에 결합되어 이들 구성 요소와 정보를 교환한다. 메모리에 저장된 프로그램을 이용하여, 저장된 프로세스 레시피에 따라 처리 시스템(100)의 전술한 구성 요소를 제어한다. 처리 시스템의 제어 시스템(180)의 일례로는, 텍사스주 달라스 소재의 Dell Corporation에서 시판하는 DELL PRECISION WORKSTATION 610™이 있다. 제어 시스템(180)은 또한 범용 컴퓨터, 디지털 신호 프로세서 등으로서 실시될 수 있다.
제어 시스템(180)은, 메모리에 담긴 하나 이상의 명령의 하나 이상의 시퀀스를 실행시키는 프로세서에 응답하여 본 발명의 마이크로프로세서 기반 처리 단계의 일부분 또는 전체를 수행하는 범용 컴퓨터 시스템으로서 실시될 수 있다. 이러한 명령은, 하드 디스크 또는 리무버블 미디어 드라이브 등과 같은 다른 컴퓨터 판독 가능한 매체로부터 컨트롤러 메모리에 읽혀 들여진다. 또한, 멀티프로세싱 구조의 하나 이상의 프로세서가, 주메모리에 담긴 명령의 시퀀스를 실행시키는 컨트롤러 마이크로프로세서로서 이용될 수 있다. 변형례에서는, 고정 배선 회로를 소프트웨어 명령 대신에 또는 소프트웨어 명령과 함께 사용할 수 있다. 따라서, 실시예는 하드웨어 회로와 소프트웨어의 임의의 특정 조합에 한정되지 않는다.
제어 시스템(180)은, 본 발명의 교시 내용에 따라 프로그램된 명령을 유지하기 위해, 그리고 본 발명을 실시하는 데 필요할 수 있는 데이터 구조, 테이블, 레코드, 또는 그 밖의 데이터를 담기 위해, 하나 이상의 컴퓨터 판독 가능한 매체 또는 컨트롤러 메모리 등과 같은 메모리를 포함한다. 컴퓨터 판독 가능한 매체의 예로는, 컴팩트 디스크, 하드 디스크, 플로피 디스크, 테이프, 광자기 디스크, PROM(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM 등의 자기 매체, 또는 컴팩트 디스크(예컨대, CD-ROM) 등의 광학 매체, 또는 천공 카드, 종이 테이프 등의 구멍 패턴을 갖는 물리적 매체, 반송파(carrier wave)(후술함) 등의 컴퓨터가 판독할 수 있는 그 밖의 매체가 있다.
제어 시스템(180)을 제어하고, 본 발명을 실시하기 위한 디바이스(들)를 구동시키며, 및/또는 컨트롤러가 사용자와 상호 작용할 수 있게 하는 소프트웨어가 본 발명에 포함되며, 컴퓨터 판독 가능한 매체 중 어느 하나에 혹은 조합에 저장된다. 이러한 소프트웨어는 디바이스 드라이버, 운영 시스템, 개발 툴, 및 애플리케이션 소프트웨어를 포함할 수 있지만, 이에 한정되지는 않는다. 상기 컴퓨터 판독 가능한 매체는, 본 발명을 실시할 때에 실행되는 처리의 일부분(처리가 분배되는 경우) 또는 전부를 실행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코드 디바이스는, 스크립트, 해석 가능한 프로그램, 동적 링크 라이브러리(DLL), Java 클래스 및 완성된 실행 가능 프로그램 등(이에 한정되지는 않음)을 비롯한 임의의 해석 또는 실행 가능한 코드 메카니즘일 수 있다. 또한, 본 발명의 처리의 부분은 성능, 신뢰성, 및/또는 비용 개선을 위해 분배될 수 있다.
본원에서 사용되는 용어 "컴퓨터 판독 가능한 매체"는, 실행을 위한 제어 시스템(180)의 프로세서에 명령을 제공하는 데에 관여하는 임의의 매체를 지칭한다. 컴퓨터 판독 가능한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체 등(이에 한정되지는 않음)을 비롯한 많은 형태를 취할 수 있다. 비휘발성 매체는 예를 들어 광학 디스크, 자기 디스크 및 광자기 디스크, 예컨대 하드 디스크 또는 리무버블 미디어 드라이브 등을 포함한다. 휘발성 매체는 동적 메모리, 예컨대 주메모리를 포함한다. 또한, 실행을 위한 컨트롤러 프로세서에 하나 이상의 명령의 하나 이상의 시퀀스를 실행시킬 때에, 다양한 형태의 컴퓨터 판독 가능한 매체가 관여될 수 있다. 예컨대, 명령은 처음에는 원격 컴퓨터의 자기 디스크에 담길 수 있다. 원격 컴퓨터는, 본 발명의 일부분 또는 전부를 실시하기 위한 명령을 동적 메모리에 원격 로딩할 수 있고, 네트워크로 제어기(180)에 명령을 보낼 수 있다.
제어 시스템(180)은 처리 시스템(100)에 대하여 근거리에 위치할 수 있고, 또는 제어 시스템은 인터넷이나 인트라넷을 통해 처리 시스템(100)에 대하여 원거리에 위치할 수 있다. 따라서, 제어 시스템(180)은 직접 접속, 인트라넷, 또는 인터넷 중 적어도 하나를 사용하여 처리 시스템(100)과 데이터를 교환할 수 있다. 제어 시스템(180)은 고객 사이트(즉, 디바이스 메이커 등)에 있는 인트라넷에, 또 는 벤더 사이트(즉, 장치 제조업자)에 있는 인트라넷에 접속될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)가 직접 접속, 인트라넷, 또는 인터넷 중 적어도 하나를 통해 데이터를 교환하기 위해 제어 시스템(180)에 액세스할 수 있다.
전술한 바와 같이, 도 2의 처리 시스템(100)은 라디칼의 원격 발생과 이 라디칼의 처리 챔버 내의 기판으로의 전달을 제공한다. 이러한 구성은 기판에 근접해 있는 고에너지 하전 입자에 의해 야기될 수 있는 기판에 대한 손상을 최소화하는 한편, 기판 상의 오염물의 건식 세척 등과 같은 처리를 허용할 수 있다. 그러나, 원격 라디칼 발생기의 사용은, 기판의 처리 속도를 저하시킬 수 있고, 및/또는 기판의 불균일한 처리를 초래할 수 있다. 본 발명의 발명자는, 기판에서의 처리 속도에 영향을 미치는 라디칼의 재결합 속도와 마찬가지로, 라디칼 전달 시스템의 기하 구조 등과 같은 설계 특징이 라디칼의 균일한 분배에 영향을 미칠 수 있다는 것을 밝혀냈다. 일반적으로, 기판 표면으로의 라디칼의 방해받지 않은 흐름은, 재결합을 저하시켜 처리 속도를 향상시키지만, 처리의 균일성을 악화시킨다. 이와는 반대로, 가스 흐름에 방해물(예컨대 분배판 등)을 제공하면, 균일성이 향상될 수 있지만, 처리 속도가 저하될 수 있다. 따라서, 본 발명의 실시예는, 균일한 기판 처리 및/또는 기판 처리 속도를 제어하는 서로 다른 라디칼 전달 시스템을 포함한다.
이제 도 3을 참조해 보면, 다른 실시예에 따른 처리 시스템(200)이 도시되어 있다. 처리 시스템(200)은, 예컨대 도 2의 실시예와 유사한 것일 수 있으며, 여기서는 동일하거나 혹은 유사한 구성 요소를 유사한 도면 부호로 나타낸다. 처리 시 스템(200)은, 라디칼 발생 시스템(115)의 출구에 덕트(240)를 통해 결합된 가스 분배 시스템(250)을 포함한다. 가스 분배 시스템(250)은, 덕트(240)로부터 받아들인 라디칼을 기판(125) 상의 처리 공간(145) 내에 분배한다.
도 4a는 일 실시예에 따른 가스 분배 시스템(350)의 개략도이다. 가스 분배 시스템(350)은 라디칼 발생 시스템에 결합된 입구(370)를 갖는 본체(360)를 포함하며, 이 본체는 처리제의 흐름을 라디칼 발생 시스템으로부터 받아서, 이 처리제의 흐름을 가스 분배판(380)을 통해 처리 공간(375) 내의 기판 상에 분배하도록 구성되어 있다.
본체(360)는 내측 플레넘(364)과, 분할 부재(362)에 의해 내측 플레넘으로부터 분리된 외측 플레넘(366)을 포함한다. 본 실시예에서, 분할 부재(362)는 처리제의 흐름의 내측 부분을 기판 상의 중앙 영역으로 돌리며, 분할 부재(362)는 처리제 흐름의 외측 부분을 기판 상의 주변 영역으로 돌린다. 이와 같이 함으로써, 비교적 고속의 유체는 기판 상의 중앙 영역에 분배될 수 있는 한편, 비교적 저속의 유체는 기판 상의 주변 영역에 분배될 수 있다. 내측 플레넘(364)은, 중앙 입구(372)를 통해 입구(370)에 들어가는 처리제 흐름의 일부분을 받아들이도록 구성되어 있다. 또한, 내측 플레넘(364)은 전체 처리제 흐름의 상기 일부분을 가스 분배판(380)의 내측판 부재(381)를 통해 처리 공간(375)의 실질적인 중앙 영역(376)에 도입시키도록 구성되어 있다. 외측 플레넘(366)은, 환형 입구(374)를 통해 입구(370)에 들어가는 처리제 흐름의 나머지 부분을 받아들이도록 구성되어 있다. 또한, 외측 플레넘(366)은 전체 처리제 흐름의 상기 나머지 부분을 가스 분배 판(380)의 외측판 부재(382)를 통해 처리 공간(375)의 실질적인 주변 영역(377)에 도입시키도록 구성되어 있다.
가스 분배판(380)의 내측판 부재(381)와 외측판 부재(382)는 약 1개 내지 약 1000개 범위, 바람직하게는 약 10개 내지 약 100개 범위의 복수의 개구를 구비하도록 각각 구성되어 있다. 또한, 예컨대 내측판 부재(381)와 외측판 부재(382)는 약 1 ㎜ 내지 약 100 ㎜ 범위, 바람직하게는 약 4 ㎜ 내지 약 10 ㎜ 범위의 직경을 각각 갖는 복수의 개구를 구비하도록 각각 구성될 수 있다. 또한, 예컨대 내측판 부재(381)와 외측판 부재(382)는 약 1 ㎜ 내지 약 100 ㎜ 범위, 바람직하게는 약 2 ㎜ 내지 약 20 ㎜ 범위의 길이를 각각 갖는 복수의 개구를 구비하도록 각각 구성될 수 있다. 또한, 내측판 부재(381) 및/또는 외측판 부재(382)는 도 8a 내지 도 8f와 관련하여 후술하는 바와 같이 갭 또는 슬롯을 포함할 수 있다.
일 실시예에서, 하나 이상의 개구는, 도 4a에 도시된 바와 같이 가스 분배판(380)의 내측판 부재(381)와 외측판 부재(382)에 균일하게 분포되어 있다. 별법으로서, 다른 실시예에서, 하나 이상의 개구는, 도 4b에 도시된 바와 같이 가스 분배판(380')의 내측판 부재(381')와 외측판 부재(382')에 균일하게 분포되어 있지 않을 수 있다. 별법으로서, 또 다른 실시예에서, 하나 이상의 개구의 분포가 내측판 부재(381) 내에서 균일하지 않거나, 또는 하나 이상의 개구의 분포가 외측판 부재(382) 내에서 균일하지 않거나, 또는 내측판 부재와 외측판 부재 모두에서 균일하지 않다. 예를 들어, 내측판 부재(381)의 중앙 영역보다는 내측판 부재(381)의 주변 영역 내에 더 많은 개구가 존재할 수 있다.
가스 분배판(380, 380')은 알루미늄이나 아노다이징 알루미늄 등과 같은 금속, 또는 세라믹으로 제조될 수 있다. 예컨대, 가스 분배판(380, 380')은 석영, 규소, 질화 규소, 탄화 규소, 카본, 알루미나, 질화 알루미늄 등으로 제조될 수 있다. 또한, 가스 분배판(380, 380')은 산화 알루미늄 또는 이트륨 산화물 등과 같은 세라믹 재료로 피복될 수 있다. 예컨대, 가스 분배판(380, 380')은 Al2O3, Sc2O3, Sc2F3, YF3, La2O3, Y2O3 및 DyO3로 이루어진 그룹에서 선택된 재료로 피복될 수 있다.
별법으로서, 가스 분배판(380, 380')을 이용하지 않고, 분할 부재(362)가 처리제의 전체 흐름의 일부분을 기판의 실질적인 중앙 영역(376)으로 직접 돌리며, 처리제의 나머지 부분을 기판의 실질적인 주변 영역(377)으로 직접 돌린다.
도 4a 및 도 4b를 다시 참조해 보면, 가스 분배 시스템(350)에 대한 입구(370)는 반경 r1 등과 같은 제1 치수에 의해 특징지워질 수 있다. 또한, 전체 흐름 중에서 중앙 입구(372)를 통해 내측 플레넘(364)에 들어가는 부분은, 분할 부재의 반경 r2 등과 같은 제2 치수에 의해 정해질 수 있다. 전체 흐름 중의 나머지 부분은, 분할 부재(362) 외측에서 반경 r1과 r2의 사이에 있는 환형 입구(374)를 통해 외측 플레넘(366)에 들어간다.
본 발명의 발명자는, 라디칼 발생 시스템의 출구의 하류에서 가스 분배 시스템(350)의 입구(370) 내의 활성종 분포에 영향을 미칠 수 있는 2가지 잠재적 인자 를 확인했다. 첫째로, 입구(370) 내에서의 속도 프로파일은 포물선 프로파일, 즉
Figure 112009047665966-PCT00001
을 갖는 것으로 추정된다.
여기서, vo는 중심선의 속도를 나타내고, r은 반경을 나타낸다. 둘째로, 활성종의 반경방향 프로파일 n(r)은 벽에서의 손실(예컨대, 재결합 등)과 확산 이송으로 인하여 불균일해질 것이다.
가스 분배 시스템(350)의 입구(370)에서 압력 po가 일정하며 속도 프로파일이 (전술한 바와 같이) 포물선 프로파일이라고 가정하면, 내측 플레넘과 외측 플레넘에 들어가는 전체 흐름의 각각의 양은 다음과 같이 얻어질 수 있다.
Figure 112009047665966-PCT00002
, 그리고
Figure 112009047665966-PCT00003
여기서, η은 비 r2/r1을 나타낸다. 각각의 약 Q내측 및 Q외측을 총유량 Q전체=0.5povo에 의해 정규화한 후에는, 내측 및 외측 흐름의 분리를
Figure 112009047665966-PCT00004
Figure 112009047665966-PCT00005
으로 고쳐서 나타낼 수 있다. 라디칼 밀도의 반경방향 프로파일 n(r)을 제1 근사값으로서 설명하기 위해, 본 발명의 발명자는 내측 플레넘 및 외측 플레넘에 대한 입구에서 평균 라디칼 농도를 추정하였다. 예컨대, n내측 및 n외측은 내측 플레넘 및 외측 플레넘에 대한 입구에서의 평균 라디칼 농도를 각각 나타낸다. 이러한 양을 포함하도록 내측 및 외측의 흐름에 대한 식을 고친다.
Figure 112009047665966-PCT00006
, 그리고
Figure 112009047665966-PCT00007
도 4c는, 벽 손실을 고려하지 않은 흐름(빈 정사각형으로 표시)과 벽 손실을 고려한 흐름(빈 원으로 표시)에 있어서, 내측 및 외측 흐름의 η[분할 부재(362)의 위치]에 대한 의존성을 나타낸다. 이 도면에 나타나 있는 바와 같이, 분할 부재의 위치는 외측 흐름 및 내측 흐름이 실질적으로 동등하도록(내측 흐름의 곡선과 외측 흐름의 곡선의 교점으로 표시됨) 세팅될 수 있다. 별법으로서, 분할 부재는 내측 흐름 및 외측 흐름이 동등하지 않도록 위치 설정될 수 있다. 이는, 예컨대 다른 프로세스 불균일성을 상쇄시키도록, 라디칼을 기판 표면에 걸쳐서 불균일하게 분배하는 데 유용할 수 있다.
입구(370)에서의 분할 부재(362)의 위치 η 이외에도, 내측판 부재(381) 및 외측판 부재(382)에서의 개구 분포 뿐만 아니라 가스 분배판(380, 380')에서의 분할 부재의 위치 η2=r4/r3도, 기판의 표면에 있어서 라디칼의 공간적 분포의 균일성을 조절 및/또는 제어하는 데 이용된다. 이들 파라미터는 실험 또는 시뮬레이션을 이용하여 정해질 수 있다.
예컨대, 재결합 손실을 수용하면서, 수소 라디칼의 흐름에 대하여, 도 4a와 도 4b의 기하학적 특성의 컴퓨터 시뮬레이션을 수행하였다. 시뮬레이션 소프트웨어로는, 예컨대 Comsol, Inc.(미국 매사추세츠주 01803 벌링턴 스위트 350 뉴잉글 랜드 이그젝저티브 파크 1 소재)에서 시판하는 COMSOL Multi-physics™ 등과 같은 상용 소프트웨어 패키지의 이용을 통해 개발될 수 있는 2D/3D 플라즈마 유체 모델 등을 들 수 있다.
이제 도 5를 참조해 보면, 기판의 직경(DIA)이 300 ㎜이고 라디칼 공급 입구[예컨대, 입구(370)]의 DIA가 63.5 ㎜인 경우에 대하여, 예시적인 시뮬레이션 결과가 도시되어 있다. 가스 분배 시스템이 없는 경우(실선)와, 도 4a 및 도 4b에 도시된 특징을 갖는 가스 분배 시스템이 있는 경우(파선)에 대하여, 기판에서의 수소 라디칼 농도의 공간적 분포 대 반경에 대한 결과를 나타내었다. r1의 값이 16 ㎝이고 r2의 값이 대략 2.5 ㎝이며 전술한 식에 따라 η의 값이 ∼0.16인 가스 분배 시스템에 대한 시뮬레이션 결과가, 도 5에 제공되어 있다. 이러한 조건에서, 파라미터 r3는 300 ㎜인 웨이퍼 크기에 해당하고, r4는 약 120 - 약 130 ㎜(r4/r3∼0.8 - 0.87)에 해당한다. 웨이퍼와 가스 분배판 사이의 거리를 10 ㎜로 세팅하였고, 작업 압력을 60 Pa(450 mTorr)로 세팅하였으며, 플라즈마/라디칼 소스로의 입구에서는 유량 200 sccm의 수소 가스를 사용하였다.
도 5는, 전술한 바와 같은 가스 분배 시스템을 사용하였을 때, 기판에서의 라디칼 농도의 균일성이 두드러지게 향상된다는 것을 시사한다. 예를 들어, 본 발명의 가스 분배 시스템을 이용하였을 때에는, 불균일성이 10% 미만인 것으로 확인되었다. 또한, 이러한 균일성의 향상에는, 기판에서의 라디칼의 절대 농도 저하가 수반되지 않는다. 다시 말하자면, 상기 가스 분배 시스템은, 과도한 손실 또는 재 결합을 야기하는 방식으로 라디칼의 흐름을 방해하지는 않는 한편, 라디칼 농도의 실질적으로 균일한 분포를 조장한다. 도 5의 결과는 전술한 본 발명의 특정 실시예에 관한 것이다. 그러나, 본 발명은 이러한 특정 실시예에 한정되지 않으며, 다른 실시예에서도 본 발명의 유사한 장점이 예상된다.
예를 들어, 최적의 r2/r1(또는 파라미터 η)은, 가스 분배 시스템으로의 입구에서의 활성종(라디칼) 분포와, 내측 및 외측 섹션에서의 표면 물질(손실) 및 컨덕턴스, 그리고 가스 분배 시스템으로부터의 출구에서의 비 r3/r4 등을 비롯한 여러 변수에 의존한다. 이러한 변수 중 하나 이상은, 본 발명의 원리에 따라 원하는 결과를 얻기 위해 변경될 수 있다. 예를 들어, r1의 값은 특정 상용 플라즈마/라디칼 소스(바뀔 수 있음)의 출구에 맞춰서 선택된다. 따라서, r1은 3 ㎝ 내지 30 ㎝ 범위의 값일 수 있다. 마찬가지로, 실제 플라즈마/라디칼 소스에 의존하여, r2의 값은
Figure 112009047665966-PCT00008
범위 내에 있을 수 있다(즉,
Figure 112009047665966-PCT00009
). 가스 흐름을 너무 많이 제한하는 것이 바람직하지 못한 경우에는, η값은 더 엄격하게 대략
Figure 112009047665966-PCT00010
일 수 있다. 비 r4/r3은 약 0.7일 수 있다[이 비는 웨이퍼를 거의 동일한 면적의 두 부분(50% - 50%)으로 분할한다]. 비 r4/r3의 값이 0.87이면, 웨이퍼 표면은 약 75%의 면적을 차지하는 중앙 부분과 약 25%의 면적을 차지하는 외측 부분으로 분할될 것이다. 본원에 제공된 교시 내용에 기초하여 상이한 값이 정해질 수도 있음을 당업자라면 이해할 것이다.
도 6은 다른 실시예에 따른 가스 분배 시스템(450)의 개략도이다. 가스 분배 시스템(450)은 라디칼 발생 시스템에 결합된 입구(470)를 갖는 본체(460)를 포함하며, 이 본체는 처리제의 흐름을 라디칼 발생 시스템으로부터 받아서, 이 처리제의 흐름을 가스 분배판(480)을 통해 처리 공간(475) 내의 기판 상에 분배하도록 구성되어 있다.
본체(460)는 내측 플레넘(464)과, 분할 부재(462)에 의해 내측 플레넘으로부터 분리된 외측 플레넘(466)을 포함한다. 본 실시예에서, 분할 부재(462)는 처리제의 흐름의 내측 부분을 기판 상의 주변 영역으로 돌리며, 분할 부재는 처리제 흐름의 외측 부분을 기판 상의 중앙 영역으로 돌린다. 이와 같이 함으로써, 비교적 고속의 유체는 기판 상의 주변 영역에 분배될 수 있는 한편, 비교적 저속의 유체는 기판 상의 중앙 영역에 분배될 수 있다. 내측 플레넘(464)은, 환형 입구(474)를 통해 입구(470)에 들어가는 처리제 흐름의 일부분을 받아들이도록 구성되어 있다. 또한, 내측 플레넘(464)은 전체 처리제 흐름의 상기 일부분을 가스 분배판(480)의 내측판 부재(481)를 통해 처리 공간(475)의 실질적인 중앙 영역(476)에 도입시키도록 구성되어 있다. 외측 플레넘(466)은, 중앙 입구(472)를 통해 입구(470)에 들어가는 처리제 흐름의 나머지 부분을 받아들이도록 구성되어 있다. 또한, 외측 플레넘(466)은 전체 처리제 흐름의 상기 나머지 부분을 가스 분배판(480)의 외측판 부재(482)를 통해 처리 공간(475)의 실질적인 주변 영역(477)에 도입시키도록 구성되어 있다.
가스 분배판(480)의 내측판 부재(481)와 외측판 부재(482)는 약 1개 내지 약 1000개 범위, 바람직하게는 약 10개 내지 약 100개 범위의 복수의 개구를 구비하도록 각각 구성되어 있다. 또한, 예컨대 내측판 부재(481)와 외측판 부재(482)는 약 1 ㎜ 내지 약 100 ㎜ 범위, 바람직하게는 약 4 ㎜ 내지 약 10 ㎜ 범위의 직경을 각각 갖는 복수의 개구를 구비하도록 구성될 수 있다. 또한, 예컨대 내측판 부재(481)와 외측판 부재(482)는 약 1 ㎜ 내지 약 100 ㎜ 범위, 바람직하게는 약 2 ㎜ 내지 약 20 ㎜ 범위의 길이를 각각 갖는 복수의 개구를 구비하도록 구성될 수 있다. 또한, 내측판 부재(481) 및/또는 외측판 부재(482)는 도 8a 내지 도 8f와 관련하여 후술하는 바와 같이 갭 또는 슬롯을 포함할 수 있다.
일 실시예에서, 하나 이상의 개구는 가스 분배판(480)의 내측판 부재(481)와 외측판 부재(482)에 균일하게 분포되어 있다. 별법으로서, 다른 실시예에서, 하나 이상의 개구는 가스 분배판(480)의 내측판 부재(481)와 외측판 부재(482)에 균일하게 분포되어 있지 않다. 별법으로서, 또 다른 실시예에서, 하나 이상의 개구의 분포가 내측판 부재(481) 내에서 균일하지 않거나, 또는 하나 이상의 개구의 분포가 외측판 부재(482) 내에서 균일하지 않거나, 또는 내측판 부재와 외측판 부재 모두에서 균일하지 않다. 예를 들어, 내측판 부재(481)의 중앙 영역보다는 내측판 부재(481)의 주변 영역 내에 더 많은 개구가 존재할 수 있다.
가스 분배판(480)은 알루미늄이나 아노다이징 알루미늄 등과 같은 금속, 또는 세라믹으로 제조될 수 있다. 예컨대, 가스 분배판(480)은 석영, 규소, 질화 규소, 탄화 규소, 카본, 알루미나, 질화 알루미늄 등으로 제조될 수 있다. 또한, 가스 분배판(480)은 산화 알루미늄 또는 이트륨 산화물 등과 같은 세라믹 재료로 피 복될 수 있다. 예컨대, 가스 분배판(480)은 Al2O3, Sc2O3, Sc2F3, YF3, La2O3, Y2O3 및 DyO3로 이루어진 그룹에서 선택된 재료로 피복될 수 있다.
별법으로서, 가스 분배판(480)을 이용하지 않고, 분할 부재(462)가 처리제의 전체 흐름의 일부분을 기판의 중앙 영역(476)으로 직접 돌리며, 처리제의 나머지 부분을 기판의 주변 영역(477)으로 직접 돌린다.
도 6을 다시 참조해 보면, 가스 분배 시스템(450)에 대한 입구(470)는 반경 r1 등과 같은 제1 치수에 의해 특징지워질 수 있다. 또한, 전체 흐름 중에서 중앙 입구(472)를 통해 외측 플레넘(466)에 들어가는 부분은, 분할 부재의 반경 r2 등과 같은 제2 치수에 의해 정해질 수 있다. 전체 흐름 중의 나머지 부분은, 분할 부재(462) 외측에서 반경 r1과 r2의 사이에 있는 환형 입구(474)를 통해 내측 플레넘(464)에 들어간다.
입구(470)에서의 분할 부재(462)의 위치 r2/r1 이외에도, 내측판 부재(481) 및 외측판 부재(482)에서의 개구 분포 뿐만 아니라 가스 분배판(480)에서의 분할 부재의 위치 r4/r3도, 기판의 표면에 있어서 라디칼의 공간적 분포의 균일성을 조절 및/또는 제어하는 데 이용된다. 이들 파라미터는 실험 또는 시뮬레이션을 이용하여 정해질 수 있다. r2/r1에 대해서는, 예컨대
Figure 112009047665966-PCT00011
(즉, 약
Figure 112009047665966-PCT00012
), 다르게는
Figure 112009047665966-PCT00013
(즉, 약
Figure 112009047665966-PCT00014
), 또 다르게는
Figure 112009047665966-PCT00015
(즉, 약
Figure 112009047665966-PCT00016
로 한다. r4/r3에 대해서는, 예컨대
Figure 112009047665966-PCT00017
(이 비에 의하면 웨이퍼가 동일한 면적의 두 부분으로 분할됨), 혹은 다르게는
Figure 112009047665966-PCT00018
(이 비에 의하면 웨이퍼가 약 75%의 면적을 차지하는 중앙 부분과 약 25%의 면적을 차지하는 외측 부분으로 분할됨)로 한다. 게다가, 본원에 제공된 교시 내용에 기초하여 당업자는 다른 값을 결정할 수 있다.
도 7은 다른 실시예에 따른 가스 분배 시스템(550)의 개략도이다. 가스 분배 시스템(550)은 라디칼 발생 시스템에 결합된 입구(570)를 갖는 본체(560)를 포함하며, 이 본체는 처리제의 흐름을 라디칼 발생 시스템으로부터 받아서, 이 처리제의 흐름을 가스 분배판(580)을 통해 처리 공간(575) 내의 기판 상에 분배하도록 구성되어 있다.
본체(560)는 내측 플레넘(564)과, 분할 부재(562)에 의해 내측 플레넘으로부터 분리된 외측 플레넘(566)을 포함한다. 본 실시예에서, 분할 부재(562)는 처리제의 흐름의 내측 부분을 기판 상의 주변 영역으로 돌리며, 분할 부재는 처리제 흐름의 외측 부분을 기판 상의 중앙 영역으로 돌린다. 이와 같이 함으로써, 비교적 고속의 유체는 기판 상의 주변 영역에 분배될 수 있는 한편, 비교적 저속의 유체는 기판 상의 중앙 영역에 분배될 수 있다. 분할 부재(562)는, 도 7에 도시된 바와 같이 처리제를 외측 플레넘(566)에 분배하도록 구성된 4개의 출구를 구비한다. 그러나, 처리제를 분배하는 데 사용되는 출구가 더 많이 혹은 더 적게 존재할 수 있다. 도 7의 실시예에서, 출구는 중앙 입구(572)로부터 외측 플레넘(566)까지 반경 방향 외측으로 연장되는 이산형 덕트로서 실시되어 있다. 이들 출구는 바람직하게는 외측 플레넘(566)의 전체 영역에 대하여 균일한 가스 흐름을 확보하도록 대칭적으로 이격되어 있다(예컨대, 4개의 출구가 90°의 간격을 두고 이격됨). 환형 입구(574)는, 분할 부재(562)의 개개의 덕트 또는 출구 사이에 형성된 유로에 의하여 내측 플레넘에 유체적으로 연결되어 있다.
내측 플레넘(564)은, 환형 입구(574)를 통해 입구(570)에 들어가는 처리제 흐름의 외측 부분을 받아들이도록 구성되어 있다. 또한, 내측 플레넘(564)은 전체 처리제 흐름의 상기 외측 부분을 가스 분배판(580)의 내측판 부재(581)를 통해 처리 공간(575)의 실질적인 중앙 영역(576)에 도입시키도록 구성되어 있다. 외측 플레넘(566)은, 중앙 입구(572)를 통해 입구(570)에 들어가는 처리제 흐름의 내측 부분을 받아들이도록 구성되어 있다. 또한, 외측 플레넘(566)은 전체 처리제 흐름의 상기 내측 부분을 가스 분배판(580)의 외측판 부재(582)를 통해 처리 공간(575)의 실질적인 주변 영역(577)에 도입시키도록 구성되어 있다.
가스 분배판(580)의 내측판 부재(581)와 외측판 부재(582)는 약 1개 내지 약 1000개 범위, 바람직하게는 약 10개 내지 약 100개 범위의 복수의 개구(도 7에는 도시되어 있지 않음)를 구비하도록 각각 구성되어 있다. 또한, 예컨대 내측판 부재(581)와 외측판 부재(582)는 약 1 ㎜ 내지 약 100 ㎜ 범위, 바람직하게는 약 4 ㎜ 내지 약 10 ㎜ 범위의 직경을 각각 갖는 복수의 개구를 구비하도록 구성될 수 있다. 또한, 예컨대 내측판 부재(581)와 외측판 부재(582)는 약 1 ㎜ 내지 약 100 ㎜ 범위, 바람직하게는 약 2 ㎜ 내지 약 20 ㎜ 범위의 길이를 각각 갖는 복수의 개 구를 구비하도록 구성될 수 있다.
일 실시예에서, 하나 이상의 개구는 가스 분배판(580)의 내측판 부재(581)와 외측판 부재(582)에 균일하게 분포되어 있다. 별법으로서, 다른 실시예에서, 하나 이상의 개구는 가스 분배판(580)의 내측판 부재(581)와 외측판 부재(582)에 균일하게 분포되어 있지 않다. 별법으로서, 또 다른 실시예에서, 하나 이상의 개구의 분포가 내측판 부재(581) 내에서 균일하지 않거나, 또는 하나 이상의 개구의 분포가 외측판 부재(582) 내에서 균일하지 않거나, 또는 내측판 부재와 외측판 부재 모두에서 균일하지 않다. 예를 들어, 내측판 부재(581)의 중앙 영역보다는 내측판 부재(581)의 주변 영역 내에 더 많은 개구가 존재할 수 있다.
가스 분배판(580)은 알루미늄이나 아노다이징 알루미늄 등과 같은 금속, 또는 세라믹으로 제조될 수 있다. 예컨대, 가스 분배판(580)은 석영, 규소, 질화 규소, 탄화 규소, 카본, 알루미나, 질화 알루미늄 등으로 제조될 수 있다. 또한, 가스 분배판(580)은 산화 알루미늄 또는 이트륨 산화물 등과 같은 세라믹 재료로 피복될 수 있다. 예컨대, 가스 분배판(580)은 Al2O3, Sc2O3, Sc2F3, YF3, La2O3, Y2O3 및 DyO3로 이루어진 그룹에서 선택된 재료로 피복될 수 있다.
별법으로서, 가스 분배판(580)을 이용하지 않고, 분할 부재(562)가 처리제의 전체 흐름의 일부분을 기판의 중앙 영역(576)으로 직접 돌리며, 처리제의 나머지 부분을 기판의 주변 영역(577)으로 직접 돌린다.
전술한 실시예에서는, 가스 흐름은 가스 분배판 혹은 복수의 개구를 통해 제 공된다. 본 발명의 다른 실시예에 따르면, 가스 분배판은 가스를 통과시키는 하나 이상의 갭 또는 슬롯을 포함할 수 있다. 이러한 구성의 한 가지 장점은, 가스 유동 갭이 가스 분패판의 일부분이 개별적으로 제어될 수 있도록 이러한 부분을 열적으로 및/또는 전기적으로 절연하는 역할도 할 수 있다는 것이다. 예컨대, 가스 분배판의 각 개별 부분에 대하여 상이한 온도를 세팅할 수 있다. 이와 마찬가지로, 가스 분배판의 각 개별 부분에 대하여 상이한 DC 및/또는 RF 전압을 인가할 수 있다. 더 나아가, 가스 분배판의 부분들은 서로 다른 재료로 제조될 수 있다. 예컨대, 갭이 가스 분배판의 세라믹판 부분과 금속판 부분 사이의 경계를 형성할 수 있고, 이들 부분 사이로 가스가 유동한다.
도 8a, 도 8b, 도 8c, 도 8d, 도 8e 및 도 8f는 각각 본 발명의 여러 실시예에 따른 가스 분배 갭을 이용하는 가스 분배 시스템의 저면도이다. 이들 도면 각각은, 도 4 내지 도 7과 관련하여 설명한 바와 같이, 내측판 부재(802), 외측판 부재(804), 및 내측판 부재(802)를 외측판 부재(804)로부터 분할하는 분할 부재(806)를 구비하는 가스 분배판을 보여준다. 내측판 부재(802)는, 도 8a에 도시된 바와 같이 내측판 부재를 통해 프로세스 가스를 제공하기 위해 개구(808)를 구비한다. 그러나, 프로세스 가스는 외측판 영역에 갭(810)을 통해 제공된다. 도 8a의 실시예에서, 갭(810)은 외측판 부재(804)를 두 개의 동심 부분으로 분리하는 실질적으로 연속적인 갭이다. 도시되어 있지는 않지만, 실질적으로 연속적인 갭(810)은 동심 부분들 사이를 연결하여 구조적으로 지지하는 작은 가교 물질부를 포함할 수 있다.
도 8a의 실시예에서는, 갭의 폭이 일정하지만, 가변 폭의 갭이 사용될 수 있다. 또한, 도 8b에 도시된 바와 같이, 갭(810')은 개구(808)와 결합될 수 있다. 도 8a에서 갭(810)의 윤곽은 원형이지만, 갭은 도 8c에 갭(810")으로 도시된 바와 같이 지그재그형일 수 있다. 실질적으로 연속적인 갭은, 가스 분배판에 대하여 바람직한 가스 분배 특성, 열적 분리 특성, 및/또는 전기적 분리 특성을 달성하는 데 필요한, 복합적인 윤곽 등을 비롯한 임의의 윤곽을 가질 수 있다는 것을, 당업자라면 이해할 것이다.
또 다른 실시예에서, 가스 분배판에는 복수 개의 이산형 갭이 마련될 수 있다. 도 8d에 도시된 바와 같이, 내측판 부재(802)를 통해 가스를 제공하기 위해 내측판 부재에는 가스 분배 갭(812)이 마련된다. 전술한 실질적으로 연속적인 가스 분배 갭과 마찬가지로, 각 이산형 갭(812)의 폭은 연속적이거나 혹은 가변적일 수 있다. 예를 들어, 도 8d에 도시된 갭의 폭은, 갭이 반경방향 외측으로 나아감에 따라 커질 수 있다. 또한, 이산형 갭(812)이 반경방향으로 연장되는 것으로 도시되어 있지만, 이산형 갭은 나선 형상, 원주방향, 또는 가스 분배판에 대하여 바람직한 가스 분배 특성, 열적 분리 특성, 및/또는 전기적 분리 특성을 달성하는 데 필요한 임의의 바람직한 방향으로 연장될 수 있다.
또한, 도 8d의 실시예는, 도 8a와 관련하여 전술한 바와 같이 외측판 부재(804)에 마련된 연속적인 갭(810)을 포함한다. 그러나, 외측판 부재에는 이산형 갭(812)도 마련될 수 있다. 예컨대, 도 8e는 외측판 부재(804)에 나선 형상으로 마련된 이산형 갭(812')을 보여주고, 도 8f는 외측판 부재(804)에서 반경방향으로 연장되는 이산형 갭(812")을 보여준다. 관통 구멍과, 연속적인 갭, 그리고 이산형 갭의 임의의 조합이 내측판 부재 및/또는 외측판 부재에 사용될 수 있고, 본원에 기재된 임의의 가스 분배 시스템에 사용될 수 있다는 것을, 당업자라면 이해할 것이다.
이제 도 9를 참조하여, 일 실시예에 따라 기판으로부터 오염물을 제거하는 방법을 설명한다. 이 방법은, 처리 챔버 내의 받침대 상에 기판을 배치하는 단계(1010)로 시작되는 흐름도(1000)로 구성된다. 처리 챔버는 도 2와 도 3에서 설명한 처리 챔버를 포함할 수 있다.
단계 1020에서, 처리 챔버에 결합된 라디칼 발생 챔버에 프로세스 가스를 도입한다. 프로세스 가스는 전술한 가스 중 임의의 것을 포함할 수 있다. 예컨대, 프로세스 가스는 산소 함유 가스, 수소 함유 가스, 불소 함유 가스, 또는 이들 중 2이상의 임의의 조합을 포함할 수 있다. 예를 들어, 프로세스 가스는 산소(O2), 수소(H2), CO, CO2, NO, NO2, N2O(또는 더 일반적으로는 NxOy), N2, 삼불화질소(NF3), NH3, O3, XeF2, ClF3, 탄화수소(또는 더 일반적으로는 CxHy), 수소화불화탄소(또는 더 일반적으로는 CxHyFz), 탄화불소(또는 더 일반적으로는 CxFy), 또는 이들 중 2이상의 임의의 조합을 각각 포함할 수 있다.
예컨대, 프로세스 파라미터 공간은, 약 1 Torr 내지 약 10 Torr의 챔버 압력과, 약 3 slm 내지 약 5 slm의 범위의 프로세스 가스 유량, 그리고 약 100 ℃ 내지 약 300 ℃의 범위의 받침대 온도를 포함할 수 있다.
단계 1030에서, 프로세스 가스의 라디칼이 라디칼 발생 시스템에 의해 형성된다. 플라즈마를 형성하고 프로세스 가스의 해리(解離)를 일으켜서, 라디칼을 형성할 수 있다. 별법으로서, 자외선(UV) 방사 등과 같은 전자기(EM) 방사 등을 비롯한, 프로세스 가스를 해리시키기 위한 다른 기술이 사용될 수 있다.
단계 1040에서, 프로세스 가스로부터 형성된 라디칼은 라디칼 발생 챔버로부터 처리 챔버로 이송된다. 예컨대, 라디칼은 도 4a, 도 4b, 도 6 및 도 7에 도시된 라디칼 전달 시스템 중의 어느 하나, 또는 이들의 임의의 조합을 통해 이송될 수 있다.
단계 1050에서, 기판은 라디칼의 흐름에 노출되고, 기판은 처리된다. 기판은 라디칼 발생 챔버 내의 플라즈마에는 노출되지 않고, 라디칼에는 노출될 수 있다.
본 발명의 특정 실시예만을 앞에서 상세히 설명하였지만, 당업자라면 본 발명의 새로운 교시 내용 및 장점으로부터 실질적으로 벗어나지 않고서도 상기 실시예에 대하여 다양한 변형을 실시할 수 있음을 쉽게 이해할 것이다. 따라서, 이러한 모든 변형은 본 발명의 범위 내에 포함되도록 되어 있다.

Claims (25)

  1. 처리 공간을 갖는 처리 챔버;
    이 처리 챔버에 결합되어 있고, 프로세스 가스를 받아들여 이 프로세스 가스로부터 라디칼을 생산하도록 구성되어 있는 라디칼 발생 시스템;
    상기 라디칼의 흐름을 받아들여 상기 처리 공간 내에 분배하도록 구성되어 있는 가스 분배 시스템으로서, 상기 라디칼 발생 시스템의 출구에 결합되어 있는 입구와, 상기 처리 챔버에 결합되어 있는 출구, 그리고 가스 분배 시스템에 결합되어 있고, 상기 프로세스 가스의 흐름의 일부분을 상기 입구를 통해 상기 기판 상의 제1 영역으로 따로 돌리도록 구성되어 있으며, 상기 프로세스 가스의 흐름의 나머지 부분을 상기 입구를 통해 상기 기판 상의 제2 영역으로 따로 돌리도록 구성되어 있는 분할 부재를 구비하는 가스 분배 시스템;
    상기 처리 챔버에 결합되어 있고, 처리 챔버의 상기 처리 공간 내에서 기판을 지지하며 이 기판의 온도를 조절하도록 구성되어 있는 받침대; 및
    상기 처리 챔버에 결합되어 있고, 상기 처리 챔버를 배기(排氣)하도록 구성되어 있는 진공 펌핑 시스템
    을 포함하는 처리 시스템.
  2. 제1항에 있어서, 상기 제1 영역은 상기 기판 상의 실질적인 중앙 영역을 포함하고, 상기 제2 영역은 상기 기판 상의 실질적인 주변 영역을 포함하는 것인 처 리 시스템.
  3. 제2항에 있어서, 상기 분할 부재는 상기 프로세스 가스 흐름의 실질적인 내측 부분을 상기 기판 상의 상기 제1 영역으로 돌리고, 상기 분할 부재는 상기 프로세스 가스 흐름의 실질적인 외측 부분을 상기 기판 상의 상기 제2 영역으로 돌리는 것인 처리 시스템.
  4. 제2항에 있어서, 상기 분할 부재는 상기 프로세스 가스 흐름의 실질적인 내측 부분을 상기 기판 상의 상기 제2 영역으로 돌리고, 상기 분할 부재는 상기 프로세스 가스 흐름의 실질적인 외측 부분을 상기 기판 상의 상기 제1 영역으로 돌리는 것인 처리 시스템.
  5. 제1항에 있어서, 상기 가스 분배 시스템은 본체를 포함하고, 상기 분할 부재는 상기 본체를 내측 플레넘과 외측 플레넘으로 구획하는 것인 처리 시스템.
  6. 제5항에 있어서, 상기 가스 분배 시스템은 상기 내측 플레넘의 출구와 상기 외측 플레넘의 출구에서 상기 본체에 결합된 가스 분배판을 더 포함하고, 상기 가스 분배판은 이를 관통하는 하나 이상의 개구를 구비하는 것인 처리 시스템.
  7. 제6항에 있어서, 상기 가스 분배판은 복수의 개구를 구비하고, 이 복수의 개 구는 상기 가스 분배판에 실질적으로 균일하게 분포되어 있는 것인 처리 시스템.
  8. 제6항에 있어서, 상기 가스 분배판은 복수의 개구를 구비하고, 이 복수의 개구는 상기 가스 분배판에 불균일하게 분포되어 있는 것인 처리 시스템.
  9. 제6항에 있어서, 상기 가스 분배판은 상기 내측 플레넘의 출구에서 상기 가스 분배 시스템에 결합된 내측판 부재를 포함하고, 상기 가스 분배판은 상기 외측 플레넘의 출구에서 상기 가스 분배 시스템에 결합된 외측판 부재를 포함하는 것인 처리 시스템.
  10. 제6항에 있어서, 상기 본체, 상기 분할 부재, 상기 가스 분배판, 또는 이들 중 2 이상의 조합이 이산화규소 또는 카본으로 제조되는 것인 처리 시스템.
  11. 제1항에 있어서, 상기 받침대는 상기 기판의 온도를 제어하도록 구성된 하나 이상의 가열 요소, 하나 이상의 냉각 요소, 또는 이들의 조합을 포함하는 것인 처리 시스템.
  12. 제1항에 있어서, 상기 분할 부재는 상기 제1 영역과 상기 제2 영역에 실질적으로 동등한 가스 흐름을 제공하도록 상기 입구에 위치 설정되어 있는 것인 처리 시스템.
  13. 제1항에 있어서, 상기 처리 챔버, 상기 라디칼 발생 시스템, 상기 가스 분배 시스템, 상기 받침대, 또는 이들 중 2 이상의 조합은 그 표면에 코팅이 형성되는 것인 처리 시스템.
  14. 제1항에 있어서, 상기 분할 부재는 상기 제1 영역과 상기 제2 영역에 실질적으로 동등한 가스 흐름을 제공하도록 상기 입구에 위치 설정되어 있는 것인 처리 시스템.
  15. 제13항에 있어서, 상기 코팅은 하나 이상의 Ⅲ족 원소를 포함하는 것인 처리 시스템.
  16. 제13항에 있어서, 상기 코팅은 Al2O3, Sc2O3, Sc2F3, YF3, La2O3, Y2O3 및 DyO3로 이루어진 그룹에서 선택되는 하나 이상의 요소를 포함하는 것인 처리 시스템.
  17. 제1항에 있어서, 상기 라디칼 발생 시스템에 결합되어 상기 프로세스 가스를 상기 라디칼 발생 시스템에 공급하도록 구성되어 있는 프로세스 가스 공급 시스템을 더 포함하는 것인 처리 시스템.
  18. 제17항에 있어서, 상기 프로세스 가스 공급 시스템은, H2, O2, N2, F2, NO, NO2, N2O, CO, CO2, NH3, NF3, 탄화불소, 탄화수소, 수소화불화탄소, 또는 이들 중 2이상의 조합 중의 하나 이상을 공급하도록 구성되어 있는 것인 처리 시스템.
  19. 기판 처리 시스템에 결합되도록 구성되어 있는 가스 분배 시스템으로서,
    본체를 포함하며, 이 본체는, 프로세스 가스의 흐름을 받아들이도록 구성되어 있는 입구와, 상기 프로세스 가스를 상기 처리 시스템 내에 분배하도록 구성되어 있는 출구, 그리고 상기 가스 분배 시스템에 결합되어 있고, 상기 프로세스 가스의 흐름의 일부분을 상기 입구를 통해 상기 기판 상의 제1 영역으로 따로 돌리며, 상기 프로세스 가스의 흐름의 나머지 부분을 상기 입구를 통해 기판 상의 제2 영역으로 따로 돌리도록 구성되어 있는 분할 부재를 구비하는 것인 가스 분배 시스템.
  20. 제19항에 있어서, 상기 제1 영역은 상기 기판 상의 실질적인 중앙 영역을 포함하고, 상기 제2 영역은 상기 기판 상의 실질적인 주변 영역을 포함하는 것인 가스 분배 시스템.
  21. 제20항에 있어서, 상기 분할 부재는 상기 프로세스 가스 흐름의 실질적인 내측 부분을 상기 기판 상의 상기 제1 영역으로 돌리고, 상기 분할 부재는 상기 프로 세스 가스 흐름의 실질적인 외측 부분을 상기 기판 상의 상기 제2 영역으로 돌리는 것인 가스 분배 시스템.
  22. 제20항에 있어서, 상기 분할 부재는 상기 프로세스 가스 흐름의 실질적인 내측 부분을 상기 기판 상의 상기 제2 영역으로 돌리고, 상기 분할 부재는 상기 프로세스 가스 흐름의 실질적인 외측 부분을 상기 기판 상의 상기 제1 영역으로 돌리는 것인 가스 분배 시스템.
  23. 제19항에 있어서, 상기 가스 분배 시스템은 본체를 포함하고, 상기 분할 부재는 상기 본체를 내측 플레넘과 외측 플레넘으로 구획하는 것인 가스 분배 시스템.
  24. 제23항에 있어서, 상기 내측 플레넘의 출구와 상기 외측 플레넘의 출구에서 상기 본체에 결합된 가스 분배판을 더 포함하고, 상기 가스 분배판은 이를 관통하는 하나 이상의 개구를 구비하는 것인 가스 분배 시스템.
  25. 처리 공간을 갖는 처리 챔버;
    상기 처리 공간으로부터 원거리에서 라디칼을 프로세스 가스로부터 발생시키기 위한 수단;
    상기 라디칼을 처리 공간에 전달하면서, 처리 공간에 마련된 기판의 표면에 걸쳐서 실질적으로 균일하게 분포시키기 위한 수단;
    상기 처리 챔버에 결합되어 있고, 처리 챔버에서 기판을 지지하며 이 기판의 온도를 조절하도록 구성되어 있는 받침대; 및
    상기 처리 챔버에 결합되어 있고, 상기 처리 챔버를 배기하도록 구성되어 있는 진공 펌핑 시스템
    을 포함하는 처리 시스템.
KR1020097016295A 2007-02-06 2008-01-04 처리 시스템 및 가스 분배 시스템 KR101578939B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/671,704 US8715455B2 (en) 2007-02-06 2007-02-06 Multi-zone gas distribution system for a treatment system
US11/671,704 2007-02-06

Publications (2)

Publication Number Publication Date
KR20090115138A true KR20090115138A (ko) 2009-11-04
KR101578939B1 KR101578939B1 (ko) 2015-12-18

Family

ID=39675158

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097016295A KR101578939B1 (ko) 2007-02-06 2008-01-04 처리 시스템 및 가스 분배 시스템

Country Status (6)

Country Link
US (1) US8715455B2 (ko)
JP (1) JP5419711B2 (ko)
KR (1) KR101578939B1 (ko)
CN (1) CN101605925B (ko)
TW (1) TWI381443B (ko)
WO (1) WO2008097670A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101322783B1 (ko) * 2012-05-08 2013-10-29 한국세라믹기술원 고밀도 플라즈마 에칭에 대한 저항성이 우수한 세라믹 보호 피막 및 그 코팅 방법
KR20220055900A (ko) * 2020-10-27 2022-05-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220097679A (ko) * 2020-12-30 2022-07-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20240035416A (ko) * 2017-07-13 2024-03-15 에이에스엠 아이피 홀딩 비.브이. 단일 처리 챔버에서 반도체 필름으로부터의 산화물 및 탄소를 제거하는 장치 및 방법

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
CN102246274A (zh) * 2008-10-10 2011-11-16 奥塔装置公司 用于气相沉积的同轴喷头
JP5261291B2 (ja) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US20120088356A1 (en) * 2010-09-14 2012-04-12 Applied Materials, Inc. Integrated platform for in-situ doping and activation of substrates
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9129778B2 (en) * 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
CN107924816B (zh) * 2015-06-26 2021-08-31 东京毅力科创株式会社 具有含硅减反射涂层或硅氧氮化物相对于不同膜或掩模的可控蚀刻选择性的气相蚀刻
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
CN112320752A (zh) * 2019-08-05 2021-02-05 上海新微技术研发中心有限公司 负性光刻胶图形化膜层的制备方法
KR102225657B1 (ko) * 2019-11-14 2021-03-10 피에스케이 주식회사 배플 유닛, 이를 포함하는 기판 처리 장치
US11535938B2 (en) * 2021-03-03 2022-12-27 Sky Tech Inc. Shower head assembly and atomic layer deposition device
CN115155417B (zh) * 2022-07-01 2023-08-18 北京北方华创微电子装备有限公司 半导体工艺设备的气体混合装置及半导体工艺设备
CN116804270B (zh) * 2023-08-29 2023-11-10 上海陛通半导体能源科技股份有限公司 二氧化硅薄膜的低温沉积方法及器件制备方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6353932A (ja) * 1986-08-22 1988-03-08 Nec Corp 半導体ウエハ−の薄膜成長装置
US5201994A (en) * 1988-11-18 1993-04-13 Kabushiki Kaisha Tokuda Seisakusho Dry etching method
JPH031531A (ja) * 1989-05-29 1991-01-08 Mitsubishi Electric Corp 半導体製造装置
JP2524869B2 (ja) * 1990-07-23 1996-08-14 大日本スクリーン製造株式会社 基板の表面処理方法および装置
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
JPH05184977A (ja) * 1992-01-09 1993-07-27 Toshiba Corp シャワーノズル
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001140078A (ja) * 1999-11-12 2001-05-22 Anelva Corp 化学蒸着装置
US6475854B2 (en) * 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US7384876B2 (en) * 2002-12-20 2008-06-10 Tokyo Electron Limited Method and apparatus for determining consumable lifetime
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050221000A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
EP1784690A2 (en) * 2004-09-01 2007-05-16 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus with cooling means
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101322783B1 (ko) * 2012-05-08 2013-10-29 한국세라믹기술원 고밀도 플라즈마 에칭에 대한 저항성이 우수한 세라믹 보호 피막 및 그 코팅 방법
KR20240035416A (ko) * 2017-07-13 2024-03-15 에이에스엠 아이피 홀딩 비.브이. 단일 처리 챔버에서 반도체 필름으로부터의 산화물 및 탄소를 제거하는 장치 및 방법
KR20220055900A (ko) * 2020-10-27 2022-05-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220097679A (ko) * 2020-12-30 2022-07-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
TWI381443B (zh) 2013-01-01
CN101605925B (zh) 2011-08-31
JP5419711B2 (ja) 2014-02-19
TW200845190A (en) 2008-11-16
US20080185104A1 (en) 2008-08-07
CN101605925A (zh) 2009-12-16
JP2010518602A (ja) 2010-05-27
WO2008097670A1 (en) 2008-08-14
US8715455B2 (en) 2014-05-06
KR101578939B1 (ko) 2015-12-18

Similar Documents

Publication Publication Date Title
KR101578939B1 (ko) 처리 시스템 및 가스 분배 시스템
JP7313528B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
US8034176B2 (en) Gas distribution system for a post-etch treatment system
KR101313426B1 (ko) 기판 상의 잔류물을 제거하기 위한 에칭후 처리 시스템
US7759249B2 (en) Method of removing residue from a substrate
US9115429B2 (en) Dry non-plasma treatment system and method of using
TWI618135B (zh) 用於鹵化物驅氣的處理系統及方法
TWI806323B (zh) 被處理體的處理系統、方法及裝置
JP2017531921A (ja) 2層aldを用いた正確な限界寸法制御
US20140069459A1 (en) Methods and apparatus for cleaning deposition chambers
TW201323674A (zh) 蝕刻方法及蝕刻裝置
JP7433164B2 (ja) 基板処理システム
US20200144034A1 (en) Target object processing method and plasma processing apparatus
US7569478B2 (en) Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US20220351940A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping
WO2020257147A1 (en) Use of vacuum during transfer of substrates
WO2013190812A1 (ja) 半導体装置の製造方法及び半導体装置並びに半導体装置の製造システム
JP2022505629A (ja) 補完的なパターンのステーション設計
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
WO2024111454A1 (ja) ドライ現像方法及びドライ現像装置
TW202032662A (zh) 電漿處理方法及電漿處理裝置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20181129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191202

Year of fee payment: 5