TWI381443B - 處理系統用之多區域氣體配送系統 - Google Patents

處理系統用之多區域氣體配送系統 Download PDF

Info

Publication number
TWI381443B
TWI381443B TW097103868A TW97103868A TWI381443B TW I381443 B TWI381443 B TW I381443B TW 097103868 A TW097103868 A TW 097103868A TW 97103868 A TW97103868 A TW 97103868A TW I381443 B TWI381443 B TW I381443B
Authority
TW
Taiwan
Prior art keywords
substrate
processing
gas distribution
gas
chamber
Prior art date
Application number
TW097103868A
Other languages
English (en)
Other versions
TW200845190A (en
Inventor
Jozef Brcka
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200845190A publication Critical patent/TW200845190A/zh
Application granted granted Critical
Publication of TWI381443B publication Critical patent/TWI381443B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

處理系統用之多區域氣體配送系統
本發明係關於用以處理基板的處理系統,而尤其係關於具有氣體配送系統的處理系統,此氣體配送系統用以將處理劑有效運送至基板並且將此處理劑配送至基板上方。
【相關申請案的交互參照】
本發明係關於申請中之美國專利申請號第11/390196號,標題為「GAS DISTRIBUTION SYSTEM FOR A POST-ETCH TREATMENT SYSTEM」,代理人案號第287929US號並且申請於2006年3月28日。此申請案之整體內容藉由參考文獻方式合併於此。
典型上,在材料處理、表面製備、以及基板與於其上之個別薄膜的清潔期間,包含遠端處理的電漿處理被利用在半導體裝置製造上。尤其,這些處理可進行製造期間內的製程整合、增強裝置金屬化的性能、以及將工作裝置的良率最佳化。舉例而言,氫氧(H2 )電漿、或由氫氣與其他氣體添加物之混合物所形成的電漿可用以在這些電子結構進行金屬化之前,清潔電子結構中的接觸表面。在又另一範例中,氧氣(O2 )電漿、或由氧氣與其他氣體添加物所形成的電漿可用以在蝕刻應用之後,移除基板上的遮罩殘留物以及蝕刻殘留剩餘物。此種電漿處理的使用在電晶體製造期間的整個前段製程(FEOL,front-end-of-line)應用與後段製程(BEOL,back-end-of-line)應用係普遍的。
然而,在利用電漿處理的許多應用中,所製造的裝置對電漿的高能物質具敏感性並且當曝露於此種物質時會受到損壞。例如,將基板浸入電漿內會導致對於高能帶電粒子(例如高能電子)以及電磁(EM,electro-magnetic)輻射(例如紫外線(UV)輻射)的未受控曝露,其可引起下伏層及/或結構的損壞,此種損壞係裝置 製造所無法接受的。因此,許多這些應用需要電漿處理,其中電漿被遠端形成。此遠端電漿可促進反應性物質的形成,此反應性物質被運送至基板以催化處理程序。
本發明係關於用以處理基板的系統,以及關於以處理劑處理基板的系統。舉例而言,此處理劑可包含原子或分子自由基。
依照一實施例,說明一種使用原以或分子自由基移除基板上之殘留物或污染物的處理系統。
依照另一實施例,一種處理系統包含:處理室,包含處理空間;自由基產生系統,耦合至處理室並用以接收處理氣體以及從處理氣體產生自由基;氣體配送系統,用以接收並配送自由基流至處理空間內,其中此迷體配送系統包含耦合至自由基產生系統之出口的入口、耦合至處理室的出口、以及分度構件,此構件耦合至氣體配送系統並且用以透過此入口使處理氣體流的一部份分離地轉向至位於基板上方的第一區域,並且透過此入口使處理氣體流的剩餘部份分離地轉向至位於基板上方的第二區域;載台,耦合至處理室並且用以支撐位於處理室之處理空間內的基板以及調整此基板的溫度;以及真空幫浦系統,耦合至處理室並且用以排空處理室。
依照又另一實施例,說明一種氣體配送系統,包含:主體,具有用以接收處理氣體流的入口、用以將處理氣體配送至處理系統中的出中、以及分度構件,此構件耦合至氣體配送系統並且用以透過此入口使處理氣體流的一部份分離地轉向至位於基板上方的第一區域,並且透過此入口使處理氣體流的剩餘部份分離地轉向至位於基板上方的第二區域。
在下列說明中,為了促進本發明的整體瞭解以及說明且不限 制之目的,而提出特定細節,例如處理系統的特殊幾何以及氣體配送系統的說明。然而,吾人應瞭解本發明可在離開這些特定細節的其他實施例中被實現。
在材料處理方法學中,圖案蝕刻包含將例如光阻之光敏性材料的薄層施加至基板的上表面,此上表面繼而被圖型化,以提供用以在蝕刻期間將此圖案轉印至位於基板上之下層薄膜的遮罩。光敏材料的圖型化一般包含使用例如微影系統將光敏性材料曝露於電磁(EM,electro-magnetic)輻射的幾何圖案,然後使用顯影劑將光敏性材料之受照射的區域移除(如正光阻的情形),或將未受照射的區域移除(如負光阻的情形)。
舉例而言,如圖1A至1C所示,包含具有圖案2(例如圖型化光阻)之光敏性層3的遮罩可被用於將特徵圖案轉印至位於基板5上的薄膜4內。使用例如乾式電漿蝕刻將圖案2轉印至薄膜4,以形成特徵部6,並且在完成蝕刻之後移除遮罩3。傳統上,遮罩3與累積在形成於薄膜4中之特徵圖案2之側壁及/或底部上的其他殘留物會被移除。
舉例來說,吾人可藉由將基板浸入例如氧電漿的電漿中,以移除例如光阻的有機殘留物,或來自多晶矽蝕刻的鹵素殘留物,並且將剩餘的遮罩及後蝕刻殘留物灰化(或剝離)。此外,舉例而言,藉由將基板浸入例如氫電漿的電漿中,吾人可移除包含形成在特徵圖案2之底部上與例如金屬線產生接觸之原生金屬氧化物的其他污染物。然而,當對具有敏感性或易碎結構或薄層的基板進行乾式清潔時,例如在半導體製造之前段製程(FEOL,front-end-of-line)應用的接點形成期間,由於高能(帶電)粒子、電磁(EM)輻射等等的存在,故對電漿的直接曝露會在裝置上具有不利的影響。
以下參考圖2,處理系統100被顯示包含具有用以支撐基板125之載台120的處理室110,於此基板上使用處理劑進行例如殘留物移除製程、氧化物移除製程的處理製程。基板125可例如為 半導體基板、晶圓或液晶顯示器。此外,自由基產生系統115經由自由基輸送系統140而耦合至處理室110,以將處理劑引導至基板125。
透過管路及壓力控制系統(例如真空閥等等),處理室110進一步耦合至真空幫浦系統170,其中幫浦系統170用以將處理室110、自由基輸送系統140、以及自由基產生系統115排空至適合在基板125上進行處理製程以及適合在自由基產生系統115中產生自由基的壓力。
依然參考圖2,自由基產生系統115用以從處理氣體遠端產生原子自由基或分子自由基或兩者,此處理氣體係從氣體供應系統160透過一個以上的氣體供應導管162而供應。在自由基產生系統115中遠端形成的自由基係透過自由基輸送系統140而運送,並且引導至位於基板125上方的處理空間145。當對自由基流提供最小阻抗並且抑制自由基在到達基板表面之前產生重組時,自由基輸送系統140將自由基引導至處理空間145。舉例而言,此自由基輸送系統可包含管路,此管路具有耦合至自由基產生系統115之出口的管路入口以及耦合至處理室110的管路出口。
自由基產生系統115用以產生一個以上的化學自由基,此自由基用以化學處理基板125,並且在對基板125產生最小損壞的情況下例如與任何殘留物、污染物等等發生反應並將之移除。舉例來說,自由基產生系統115可包含上游電漿源,此電漿源用以從處理氣體產生氧、氫或氟自由基,此處理氣體包含含氧氣體、含氫氣體、或含氟氣體、或其兩種以上的任何組合。例如,此處理迷體可個別包含:氧氣(O2 )、氫氣(H2 )、CO、CO2 、NO、NO2 、N2 O(或更廣泛為Nx Oy )、N2 、三氟化氮(NF3 )、NH3 、O3 、XeF2 、ClF3 、碳氫化合物(或更廣泛為Cx Hy )、氫氟碳化物(或更廣泛為Cx Hy Fz )、或氟碳化物(或更廣泛為Cx Fy )、或其兩種以上的任何組合。自由基產生系統115能夠包含可從MKS Instruments,Inc.,ASTeXProducts(90 Industrial Way,Wilmington,MA 01887)購得的 Astron反應性氣體產生器。或者,自由基產生系統115可包含配置在自由基輸送系統140周圍的感應線圈或電極對。
除了將處理氣體供應至自由基產生系統115之外,氣體供應系統160可進一步用以透過一個以上的氣體供應導管162將輔助處理氣體供應至自由基產生系統115。此輔助處理氣體可用以作為載氣,以促進將形成在自由基產生系統115中的自由基運送至處理空間145,或者此輔助處理氣體可用以稀釋處理氣體以及由處理氣體所形成的自由基。此輔助氣體可包含惰性氣體,例如純氣(即He、Ne、Ar、Kr、Xe)、或氮氣(N2 )、或其組合。再者,氣體供應系統160可用以透過一個以上的輔助氣體供應導管164將輔助處理氣體直接引導至處理室110。
雖然沒有顯示,氣體供應系統160可包含一個以上的氣體來源、一個以上的控制閥、一個以上的過濾器、及/或一個以上的質量流率控制器。例如,處理氣體或輔助處理氣體的流率可從大約1 sccm(每分鐘標準立方公分)至大約10000 sccm(或每分鐘10標準公升,slm)分佈。舉例而言,處理氣體或輔助處理氣體的流率可從約1 slm至約5 slm分佈。經由進一步的範例,處理氣體或輔助處理氣體的流率可從約3 slm至約5 slm分佈。
從自由基產生系統115的下游,自由基流過自由基輸送系統140並且進入位於處理室110內的處理空間145。自由基輸送系統140可耦合至氣相線溫度控制系統(無顯示),以控制此自由基輸送系統的溫度。舉例來說,此溫度可被設定成從大約20℃至大約100℃分佈的值,並且經由另一範例,此溫度可被設定成從約40℃至約80℃分佈的值。此外,例如,自由基輸送系統140的特徵可為超過約50公升/秒的高傳導率。
一旦自由基流進入處理空間145後,自由基可與位於基板125之表面上的殘留物發生化學反應。載台120用以藉由埋設於載台120內且耦合至溫度控制系統130之加熱元件135的功效而升高基板125的溫度。加熱元件135可為阻抗加熱元件,或者加熱元件 135可包含熱電裝置的陣列。對於在基板支架中熱電裝置之使用的額外細節被提供在審查中之美國專利第7141763號中,標題為「METHOD AND APPARATUS FOR RAPID TEMPERATURE CHANGE AND CONTROL」,其整體內容以文獻方式合併於此。舉例而言,溫度控制系統130可用以將基板125的溫度升高至大約500℃。在一實施例中,基板溫度可從約40℃至約500℃分佈。在另一實施例中,基板溫度可從約100℃至約300℃分佈。此外,處理室110可耦合至用以控制室壁溫度的溫度控制系統130。
除了升高基板125的溫度之外,載台120用以在處理期間支撐基板125。載台120能夠更包含可升起與降下三個以上舉桿的舉桿組件(無顯示),以在處理室110中垂直地將基板125運送至載台120的上表面以及運送平面,以及從載台120的上表面以及運送平面運送。
在此舉桿組件中,基板舉桿可耦合至共同的舉桿元件,並且可被降下至低於載台120的上表面。利用例如電動系統(具有電動步進馬達與螺紋桿)或氣動系統(具有氣缸)的驅動機構(無顯示)提供用以升起與降下共同舉桿元件的裝置。基板125可透過間閥(無顯示)與腔室通道而被送進與送出處理室110、經由自動控制運送系統(無顯示)而被排列在運送平面上、以及藉由基板舉桿而被容納。一旦基板125從運送系統被容納後,其可藉由降下基板舉桿而被降下至載台120的上表面。
載台120可設置箝制系統以將基板125箝制在載台120,或者其可不設置箝制系統。此外,載台120可設置背側氣體供應系統,此系統用以將熱傳氣體供應至基板125的背側,以改善基板125與載台120之間的熱傳導,或者其可不設置背側氣體供應系統。
如圖2所示,排放管使處理室110連接至真空幫浦系統170。真空幫浦系統170包含真空幫浦,以將處理室110排空至期望的真空程度,並且在處理期間從處理室110移除氣體物質。自動壓 力控制器(APC,automatic pressure controller)以及可選擇的捕集器可被使用與真空幫浦串聯。真空幫浦可包含乾式粗抽幫浦。或者,真空幫浦可包含渦輪分子幫浦(TMP,turbo-molecular PumP),其抽取速度可上至每秒5000公升(以及更大)。在處理期間,處理氣體、或輔助處理氣體、或其任何組合可被引導至處理室110內,以及室壓可從大約1 mTorr至大約50 Torr分佈,而在另外的範例中,室壓可從約1 Torr至約10 Torr分佈。自動壓力控制器可包含蝶型閥、或間閥。捕集器可收集來自處理室110的副產物。
此外,位於處理系統100內的任何元件可以例如氧化鋁或氧化釔的陶瓷材料加以塗佈。舉例來說,任何元件可以選自由Al2 O3 、Sc2 O3 、Sc2 F3 、YF3 、La2 O3 、Y2 O3 、以及DyO3 所組成之群組的材料加以塗佈。
依然參考圖2,處理系統100可更包含控制系統180,其用以運作並且控制處理系統100的操作。控制系統180耦合至處理室110、載台120、溫度控制系統130、自由基產生系統115、氣體供應系統160、以及真空幫浦系統170。
控制系統180可包含微處理器、記憶體、以及數位I/O埠,其可產生足以傳遞並啟動處理系統100之輸入以及來自處理系統100之監測輸出的控制電壓。此外,控制系統180耦合至處理室110、載台120、溫度控制系統130、自由基產生系統115、氣體供應系統160、以及真空幫浦系統170,並且與其交換資訊。儲存在記憶體中的程式用以依照所儲存之處理配方而控制上述處理系統100的元件。控制系統180的一範例為可從Dell Corporation,Dallas,Texas購得之DELL PRECISION WORKSTATION 610TM 。控制系統180亦可被實現為一般泛用電腦、數位信號處理器等等。
控制系統180可被實現為一般泛用電腦系統,其用以執行基於本發明之處理步驟的部份或所有微處理器,此微處理器係因應於用以行使容納在記憶體中之一個以上指令之一個以上順序的處 理器。此種指令可自例如硬碟或可移除式媒體驅動器的另一電腦可讀取記錄媒體被讀進控制器記憶體。在多處理配置中之一個以上的處理器亦可被利用作為控制器微處理器,以行使容納在主記憶體中之指令的順序。在替代實施例中,硬佈線電路系統可被使用以取代或與軟體指令結合。因此,實施例並不限於任何特定之硬體電路系統與軟體的組合。
控制系統180包含至少一電腦可讀取記錄媒體或例如控制器記憶體的記憶體,其用以保存依照本發明之教示所編寫的指令,並用以容納實現本發明所需的資料結構、表、記錄、或其他資料。電腦可讀取記錄媒體的範例為:光碟機、硬碟、軟式磁碟片、磁帶、磁光碟、PROMs(EPROM、EEPROM、flash EPROM)、DRAM、SRAM、SDRAM或任何其他磁性媒體、光碟機(例如CD-ROM)或任何其他光學媒體、打孔卡片、紙帶或其他有洞圖樣之物理媒體、載波(於下敘述)或任何其他電腦可讀取之媒體。
用以控制控制器180、用以驅動執行本發明之裝置、及/或用以使此控制器與人類使用者產生互動的常駐軟體係儲存在任何一個電腦可讀取記錄媒體上或電腦可讀取記錄媒體之組合上。此種軟體可包含但不限於裝置驅動器、操作系統、開發工具、以及應用軟體。此種電腦可讀取記錄媒體更包含用以進行在實現本發明時所進行之處理的全部或一部份的本發明電腦程式產品。
本發明之電腦碼裝置可為任何可解譯或可執行之編碼機制,包括:稿本、可解譯程式、動態鏈接館(DLLs,dynamic link libraries)、Java等級及完整的可執行程式。此外,部份本發明之處理可為了較佳性能、可靠性及/或成本而分開。
如在此所使用的「電腦可讀取記錄媒體」一詞係指:參與將指令提供至執行用控制系統180之處理器的任何媒體。電腦可讀取記錄媒體可採用許多形式,包含但不限於:非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體例如包含:光碟、磁碟及磁光碟,例如硬碟或可移除媒體驅動器。揮發性媒體包含:動態記 憶體,例如主記憶體。此外,電腦可讀取記錄媒體之不同形式可包含於實現對於執行用控制系統之處理器的一或多個指令之一或多個序列。例如,指令最初可於遠端電腦之磁碟上實現。此遠端電腦能夠遠距離載入用以實現本發明之全部或一部份指令至動態記憶體,並透過網路送出指合至控制系統180。
控制系統180可相對於處理系統100就近設置,或相對於處理系統100,經由網際網路或內部網路遠距離設置。舉例來說,控制系統180可利用直接連接、內部網路或網際網路至少其中之一,而與處理系統100交換資料。控制系統180可耦合至例如內部網路中的客戶位置(即裝置製造商等),或耦合至例如內部網路中的供應商位置(即設備製造者等)。再者,其他電腦(即控制器、伺服器等)可經由直接連接、內部網路或網際網路至少其中之一,存取控制系統180而交換資料。
如上所述,圖2的處理系統100可提供遠端產生的自由基並且將此種自由基輸送至位於處理室內的基板。此種形態可在將因高能帶電粒子接近基板所引起之基板損壞降至最低時,進行例如乾式清潔位於基板上之污染物的處理。然而,使用遠端自由基產生器會降低基板的處理速度及/或引起基板的不均勻處理。本案發明人已發現例如自由基輸送系統之幾何的設計特徵會影響自由基的均勻配送以及自由基的比例重組,此比例重組會影響在基板上的處理速度。一般而言,到基板表面之未受阻的自由基流會減少重組,而改善處理速度,但會提供較差的處理均勻性。反之,對氣體流提供阻礙(例如配送板)可改善均勻性,但會降低處理速度。因此,本發明之實施例包含不同的自由基輸送系統,其可控制均勻的基板處理及/或基板處理速度。
以下參考圖3,依照另一實施例顯示處理系統200。處理系統200可例如類似於圖2的實施例,其中相同的參考符號指定相同或類似的元件。處理系統200包含氣體配送系統250,此氣體配送系統透過管路240而耦合至自由基產生系統115的出口。迷體配送 系統250將自管路240所接收的自由基配送至位於基板125上方的處理空間145內。
依照一實施例,圖4A顯示氣體配送系統350的示意圖。氣體配送系統350包含主體360,此主體具有耦合至自由基產生系統的入口370,並且用以接收來自自由基產生系統的處理劑流動,且透過位於處理空間375中之基板上方的氣體配送板380配送處理劑流動。
主體360包含內部充氣腔364以及外部充氣腔366,此外部充氣腔係藉由分度構件362與此內部充氣腔隔開。在本實施例中,當分度構件362使處理劑流動的外部份轉向位於基板上方的周圍區域時,分度構件362可使處理劑流動的內部份轉向位於基板上方的中央區域。這樣做,相當高速的流體可被配送至位於基板上方的中央區域,而相當慢速的流體可被配送至位於基板上方的周圍區域。內部充氣腔364用以透過中央入口372接收進入入口370之處理劑流動的部份。再者,內部充氣腔364用以透過氣體配送板380的內部板構件381,將總流動的此部份引導至處理空間375的實質中央區域376。外部充氣腔366用以透過環狀入口374接收進入入口370之處理劑流動的剩餘部份。再者,外部充氣腔366用以透過氣體配送板380的外部板構件382,將總流動的此部份引導至處理空間375的實質周圍區域377。
氣體配送板380的內部板構件381與外部板構件382可各自設有以數量從大約1個開口至大約1000個開口分佈的複數開口,並且理想上係以數量從大約10個開口至大約100個開口分佈。此外,例如,內部板構件381與外部板構件382可設有複數開口,每一個開口具有從大約1 mm至大約100 mm分佈的直徑,並且理想上係從大約4 mm至大約10 mm分佈。再者,舉例而言,內部板構件381與外部板構件382可設有複數開口,每一個開口具有從大約1 mm至大約100 mm分佈的長度,並且理想上係從大約2 mm至大約20 mm分佈。又,內部板構件381及/或外部板構件382可 包含如以下關於圖8A-8F所述的間隙或狹縫。
在一實施例中,如圖4A所示,一個以上的開口被均勻地分佈在氣體配送板380的內部板構件381以及外部板構件382上。或者,在另一實施例中,如圖4B所示,一個以上的開口不被均勻地分佈在氣體配送系統350’的內部板構件381’以及外部板構件382’上。或者,在又另一實施例中,位於內部板構件381內之一個以上開口的分佈不為均勻,或位於外部板構件382內之一個以上開口的分佈不為均勻,或可為其組合。舉例來說,內部板構件381之周圍區域內的開口可多於內部板構件381之中央區域的開口。
氣體配送板380、380’可由例如鋁或陽極處理鋁的金屬或陶瓷所製成。舉例而言,氣體配送板380、380’可由石英、矽、氮化矽、碳化矽、二氧化矽、碳、氧化鋁、氮化鋁等等所製成。此外,氣體配送板380、380’可以例如氧化鋁或氧化釔的陶瓷材料加以塗佈。例如,氣體配送板380、380’可以選自由Al2 O3 、Sc2 O3 、Sc2 F3 、YF3 、La2 O3 、Y2 O3 、以及DyO3 所組成之群組的材料加以塗佈。
或者,吾人可不利用氣體配送板380、380’,而以分度構件362使處理劑總流動的一部份直接轉向基板的實質中央區域376,並且使處理劑的剩餘部份直接轉向基板的實質周圍區域377。
依然參考圖4A與4B,到氣體配送系統350之入口370的特徵為例如半徑r1 的第一尺寸。此外,透過中央入口372進入內部充氣腔364之總流動的部份可被例如半徑r2 的第二尺寸所支配。總流動的剩餘部份透過位於半徑r1 與r2 之間之分度構件362外部的環狀入口374進入外部充氣腔366。
本案發明人發現兩個潛在因素,其會影響氣體配送系統350(為自由基產生系統的出口下游)之入口370內的活性物質分佈。第一,假設入口370內之速度分佈具有拋物線分佈,亦即: v(r)=v0 (1-r2 /r1 2 )
其中v0 表示中心線速度,而r表示半徑。第二,由於在壁上 的擴散遷移與損失(例如重組),故活性物質徑向分佈將為不均勻。
假設在氣體配送系統350之入口370的壓力p0 為固定並且為拋物線分佈(如上所規定)時,進入內部充氣腔以及外部充氣腔之總流動的個別量可推導如下: Q內部 =0.5p0 v0 η2 ,及 Q外部 =0.5p0 v0 (1-η2 )
其中η表示比例r2 /r1 。在藉由總流率Q =0.5p0 v0 將Q內部 與Q外部 的每一個量標準化之後,內部與外部流的分流可改寫成Q內部 =η2 (×100%)以及Q外部 =(1-η2 )(×100%)。為了說明自由基密度的徑向分佈(r),如第一近似式,本案發明人假設入口到內部與外部充氣腔具有平均自由基濃度。舉例而言,n內部 與n外部 分別表示入口到內部充氣腔與入口到外部充氣腔的平均自由基濃度。吾人修改內部與外部流的公式以包含這些量。
圖4C顯示關於η(分度構件362的位置)在不考慮壁損失(以空心方塊表示)時之流動以及考慮壁損失(以空心圓圈表示)時之流動的內部與外部流相依性。如本圖所示,吾人可設定分度構件的位置,以使外部流與內部流實質上相等(以內部與外部流曲線的交點表示)。或者,吾人可將分度構件放在適當的位置,以使內部與外部流不相等。此可以係有用的,例如提供不均勻的自由基分佈以遍及基板表面各處而對其他處理不均勻性進行補償。
除了分度構件362在入口370的位置η之外,分度構件在氣體配送板380、380’的位置η2 =r4 /r3 ,以及開口在內部板構件381與外部板構件382上的分佈亦用以調整及/或控制位於基板表面之自由基空間分佈的均勻性。吾人可使用實驗或模擬決定這些參數。
舉例而言,對於考慮到重組損失時的氫自由基流,圖4A與4B之幾何特徵的計算模擬已被進行。模擬軟體例如可包含2D/3D電漿流體模型,其例如可藉由市售套裝軟體的利用而發展,例如可從Comsol,Inc.(1NeW England Executive Park,Suite 350,Burlington,MA,01803)所購得的COMSOL Multi-physicsTM
以下參考圖5,顯示關於300 mm直徑(DIA,diameter)之基板與63.5mm直徑之自由基供應入口(例如入口370)的示範模擬結果。對於無氣體配送系統(實線)以及對於圖4A與4B之氣體配送系統特徵(虛線),呈現位於基板之氫自由基濃度對半徑的空間分佈結果。對於具有等於16 cm之r1 值以及等於約2.5cm之r2 值的氣體配送系統,提供關於依照上述方程式所提供之η值~0.16之圖5的模擬結果。以這些條件,參數r3 對應至300 mm晶圓尺寸以及參數r4 大約為120-130 mm(r4 /r3 ~0.8-0.87)。晶圓與板之間的距離被設為10 mm,工作壓力被設為60 Pa(450 mTorr),以及所使用的氣體為氫氣,此氣體在進行電漿/自由基源的入口處具有200 sccm流率。
圖5暗示當使用如上所設置之氣體配送系統時在基板處之自由基濃度均勻性的顯著改善。舉例來說,當利用本發明之氣體配送系統時,不均勻性已被發現低於10%。再者,均勻性的改善並不會伴隨在基板處之絕對自由基濃度的減少。換言之,當沒有以引起過度損失或重組的方式阻礙自由基流時,氣體配送系統可促進實質上均勻的自由基濃度分佈。圖5的結果係相當於上述本發明的特定實施例。然而,本發明並不限制於此特定實施例,並且本發明的類似優點可預期在其他實施例上發生。
例如,相對於r1 的最佳r2 (或參數η)係取決於數種變數,其包含在進入配送系統之入口處的活性物質(自由基)分佈、通過內部與外部份的表面材料(損失)以及傳導率、以及在離開配送系統之出口處的比例r4 /r3 。一種以上的這些變數可被加以改變而達到依照本發明原理的期望結果。舉例而言,選擇r1 值以配合市售電 漿/自由基源的多樣化出口。因此,r1 值可從3 cm至30 cm分佈。同樣地,在關於實際電漿/自由基源的相依性中,r2 值可為0.1×r1 <r2 <0.9×r1 的範圍(即為約0.1<η<0.9)。在此,理想上可極為限定氣體流,此值可更限定為約0.3<η<0.7。比例r4 /r3 可為大約0.7(此比例將晶圓劃分成具有大約相同面積50%-50%的兩個部份)。大約0.87的r4 /r3 值可提供以面積計約75%之中央部份以及25%之外部份的晶圓表面劃分。在此一技術領域中具有通常知識者可瞭解不同的值亦可根據在此所提供的教示而決定。
依照另一實施例,圖6顯示氣體配送系統450的示意圖。氣體配送系統450包含主體460,此主體具有耦合至自由基產生系統的入口470,並且用以接收來自自由基產生系統的處理劑流動,且透過位於處理空間475中之基板上方的氣體配送板480配送處理劑流動。
主體460包含內部充氣腔464以及外部充氣腔466,此外部充氣腔係藉由分度構件462與此內部充氣腔隔開。在本實施例中,當分度構件462使處理劑流動的外部份轉向至位於基板上方的中央區域時,分度構件462可使處理劑流動的內部份轉向至位於上方的周圍區域。這樣做,相當高速的流體可被配送至位於基板上方的周圍區域,而相當慢速的流體可被配送至位於基板上方的中央區域。內部充氣腔464用以接收透過環狀入口474進入入口470之處理劑流動的一部份。再者,內部充氣腔464用以透過氣體配送板480的內部板構件481將總流動的此部份引導至處理空間475的實質中央區域476。外部充氣腔466用以透過中央入口472接收進入入口470之處理劑流動的剩餘部份。再者,外部充氣腔466用以透過氣體配送板480的外部板構件482將總流動的此部份引導至處理空間475的實質周圍區域477。
氣體配送板480的內部板構件481與外部板構件482各自設有以數量從大約1個開口至大約1000個開口分佈的複數開口,並且理想上係以數量從大約10個開口至大約100個開口分佈。此 外,例如,內部板構件481與外部板構件482可設有複數開口,每一個開口具有從大約1 mm至大約100 mm分佈的直徑,並且理想上係從大約4 mm至大約10 mm分佈。再者,舉例而言,內部板構件481與外部板構件482可設有複數開口,每一個開口具有從大約1 mm至大約100 mm分佈的長度,並且理想上係從大約2 mm至大約20 mm分佈。又,內部板構件481及/或外部板構件482可包含如以下關於圖8A一8F所述的間隙或狹縫。
在一實施例中,一個以上的開口可被均勻地分佈在氣體配送板480的內部板構件481與外部板構件482上。或者,在另一實施例中,一個以上的開口不被均勻地分佈在氣體配送板480的內部板構件481與外部板構件482上。或者,在又另一實施例中,位於內部板構件481內之一個以上開口的分佈不為均勻,或位於外部板構件482內之一個以上開口的分佈不為均勻,或可為其組合。舉例來說,內部板構件481之周圍區域內的開口可多於內部板構件481之中央區域的開口。
氣體配送板480可由例如鋁或陽極處理鋁的金屬或陶瓷所製成。舉例而言,氣體配送板480可由石英、矽、氮化矽、碳化矽、二氧化矽、碳、氧化鋁、氮化鋁等等所製成。此外,氣體配送板480可以例如氧化鋁或氧化釔的陶瓷材料加以塗佈。例如,氣體配送板480可以選自由Al2 O3 、SC2 O3 、Sc2 F3 、YF3 、La2 O3 、Y2 O3 、以及DyO3 所組成之群組的材料加以塗佈。
或者,吾人可不利用氣體配送板480,而以分度構件462使處理劑總流動的一部份直接轉向基板的中央區域476,並且使處理劑的剩餘部份直接轉向基板的周圍區域477。
依然參考圖6,到氣體配送系統450之入口470的特徵為例如半徑r1 的第一尺寸。此外,透過中央入口372進入外部充氣腔466之總流動的部份可被例如半徑r2 的第二尺寸所支配。總流動的剩餘部份透過位於半徑r1 與r2 之間之分度構件462外部的環狀入口474進入內部充氣腔464。
除了位於入口470處之分度構件462的位置r2 /r1 之外,分度構件在氣體配送板480的位置r4 /r3 ,以及開口在內部板構件481與外部板構件482上的分佈亦用以調整及/或控制位於基板表面之自由基空間分佈的均勻性。吾人可使用實驗或模擬決定這些參數。例如,關於r2 /r1 ,0.1×r1 <r2 <0.9×r1 (即為約0.1<η<0.9),或者,0.3×r1 <r2 <0.7×r1 (即為約0.3<η<0.7),又或者,0.4×r1 <r2 <0.5×r1 (即為約0.4<η<0.5)。例如,關於r4 /r3 ,r4 /r3 ~0.7(此比例將晶圓劃分成具有相同面積的兩個部份),或者r4 /r3 ~0.87(其將晶圓劃分成約75%的中央部份與25%的外部份)。又,在此一技術領域中具有通常知識者可根據在此所提供的教示決定其他的值。
依照另一實施例,圖7顯示氣體配送系統550的示意圖。氣體配送系統550包含主體560,此主體具有耦合至自由基產生系統的入口570,並且用以接收來自自由基產生系統的處理劑流動,且透過位於處理空間575中之基板上方的氣體配送板580配送處理劑流動。
主體560包含內部充氣腔564以及外部充氣腔566,此外部充氣腔係藉由分度構件562與此內部充氣腔隔開。在本實施例中,當分度構件562使處理劑流動的外部份轉向至位於基板上方的中央部份時,此分度構件可使處理劑流動的內部份轉向至位於基板上方的周圍部份。這樣做,相當高速的流體可被配送至位於基板上方的周圍部份,而相當慢速的流體可被配送至位於基板上方的中央部份。如圖7所示,分度構件562包含四(4)個出口,這些出口用以配送外部充氣腔566中的處理劑。然而,可存在有更多或更少之用以配送處理劑的出口。在圖7之實施例中,這些出口被實現為從中央入口572至外部充氣腔566朝外擴大的分離管路。這些出口較佳係被對稱地隔開(例如,以90∘隔開4個出口)以達到至外部充氣腔566之所有區域的均勻氣體流。藉由在個別管路間或分度構件562之出口間所產生的流動路徑,環狀入口574與內 部充氣腔流體耦合。
內部充氣腔564用以接收透過環狀入口574進入入口570之處理劑流動的外部份。再者,內部充氣腔564用以透過氣體配送板580的內部板構件581將總流動的此部份引導至處理空間575的實質中央區域576。外部充氣腔566用以接收透過中央入口572進入入口570之處理劑流動的內部份。再者,外部充氣腔566用以透過氣體配送板580的外部板構件582將處理劑流動的此部份引導至處理空間575的實質周圍區域577。
氣體配送板580的內部板構件581與外部板構件582各自設有以數量從大約1個開口至大約1000個開口分佈的複數開口(沒有顯示於圖7中),並且理想上係以數量從大約10個開口至大約100個開口分佈。此外,例如,內部板構件581與外部板構件582可設有複數開口,每一個開口具有從大約1 mm至大約100 mm分佈的直徑,並且理想上係從大約4 mm至大約10 mm分佈。再者,舉例而言,內部板構件581與外部板構件582可設有複數開口,每一個開口具有從大約1 mm至大約100 mm分佈的長度,並且理想上係從大約2 mm至大約20 mm分佈。
在一實施例中,一個以上的開口被均勻地分佈在氣體配送板580的內部板構件581與外部板構件582上。或者,在另一實施例中,一個以上的開口不被均勻分佈在氣體配送板580的內部板構件581與外部板構件582上。或者,在又另一實施例中,位於內部板構件581內之一個以上開口的分佈不為均勻,或位於外部板構件582內之一個以上開口的分佈不為均勻,或可為其組合。舉例來說,內部板構件581之周圍區域內的開口可多於內部板構件581之中央區域的開口。
氣體配送板580可由例如鋁或陽極處理鋁的金屬或陶瓷所製成。舉例而言,氣體配送板580可由石英、矽、氮化矽、碳化矽、二氧化矽、碳、氧化鋁、氮化鋁等等所製成。此外,氣體配送板580可以例如氧化鋁或氧化釔的陶瓷材料加以塗佈。例如,氣體配 送板580可以選自由Al2 O3 、SC2 O3 、Sc2 F3 、YF3 、La2 O3 、Y2 O3 、以及DyO3 所組成之群組的材料加以塗佈。
或者,吾人可不利用氣體配送板580,而以分度構件562使處理劑總流動的一部份直接轉向基板的中央區域576,並且使處理劑的剩餘部份直接轉向基板的周圍區域577。
在上述實施例中,透過氣體配送板藉由複數開口而提供氣體流。依照本發明之其他實施例,氣體配送板可包含至少一用以透過其而提供氣體的間隙或狹縫。此種構造的一優點為:氣體流間隙亦可對配送板的熱及/或電絕緣部份起作用,俾能使這些部份可被獨立控制。舉例來說,吾人可對氣體配送板的每一分離部份設定不同的溫度。同樣地,吾人可將不同的直流(DC,direct current)及/或無線射頻(RF,radio frequency)電壓施加至氣體配送板的每一分離部份。又,分離的氣體配送板部份可由不同的材料所製成。例如,間隙可在氣體配送板之陶瓷與金屬板部份之間建立邊界,並且在上述陶瓷與金屬板部份之間有氣體流動。
圖8A、8B、8C、8D、8E、以及8F分別顯示利用依照本發明之不同實施例之氣體配送間隙之氣體配送系統800、815、825、835、845、及855的平面底視圖。如關於上述圖4-圖7所述,這些圖式各自顯示包含內部板構件802、外部板構件804以及用以分隔內部板構件802與外部板構件804之分度構件806(以假想體顯示)的氣體配送板。如圖8A所示,內部板構件802包含用以透過其而提供處理氣體的開口808。然而,處理氣體透過間隙810被提供至外部板區域中。在圖8A的實施例中,間隙810為將外部板構件804隔成兩同心部份的實質連續間隙。雖然沒有顯示,實質連續間隙810可包含小的橋接材料部份,其連接在同心部份之間以提供結構支撐。
在圖8A的實施例中,間隙具有固定的寬度;然而,吾人可使用不同寬度的間隙。又,如圖8B所示,間隙810’可與開口808結合。雖然圖8A之間隙810的輪廓為圓形,但此間隙可蜿蜒如圖 8C之間隙810’’所示。在此一技術領域中具有通常知識者可瞭解:實質連續間隙可具有任何輪廓,其包含達到氣體配送板之期望氣體配送、熱隔離、及/或電隔離特性所需的複雜輪廓。
在又另一實施例中,複數的分離間隙可被設置在氣體配送板中。如圖8D所示,氣體配送間隙812被設置在內部本件802中,以透過其而提供氣體。如以上述實質連續氣體間隙,每一分離間隙812可具有連續的寬度或可變的寬度。舉例而言,圖8D中之間隙的寬度可如間隙徑向地朝外延伸而增加。又,雖然分離間隙812顯示徑向延伸,但分離間隙可以螺旋形狀,或以達到氣體配送板之期望氣體配送、熱隔離、及/或電隔離特性所需的任何期望方向加以周圍地延伸。
如以上圖8A所述,圖8D的實施例亦包含設置在外部板構件804中的連續間隙810。然而,分離間隙812亦可被設置在外部板構件中。例如,圖8E顯示以螺旋形狀設置在夕卜部板構件804中的分離間隙812’,而圖8F顯示在外部板構件804中徑向延伸的分離間隙812’’。在此一技術領域中具有通常知識者可瞭解通孔、連續間隙以及分離間隙的任何組合可用於內部板構件及/或外部板構件,並且可用於在此所述之氣體配送系統的任何一種。
以下參考圖9,依照實施例說明用以從基板移除污染物的方法。此方法包含開始於1010的流程圖1000,於其中將基板設置在處理室的載台上。此處理室可包含圖2及圖3所述的處理室。
在1020中,處理氣體被引導至與處理室耦合的自由基產生室。處理氣體可包含上述氣體的任何一種。舉例而言,處理氣體可包含含氧氣體、含氫氣體、或含氟氣體、或其兩種以上的任何組合。例如,處理氣體可個別包含:氧氣(O2 )、氫氣(H2 )、CO、CO2 、NO、NO2 、N2 O(或更廣泛為Nx Oy )、N2 、三氟化氮(NF3 )、NH3 、O3 、XeF2 、ClF3 、碳氫化合物(或更廣泛為Cx Hy )、氫氟碳化物(或更廣泛為Cx Hy Fz )、或氟碳化物(或更廣泛為Cx Fy )、或其兩種以上的任何組合。
舉例來說,處理參數空間可包含約1至約10 Torr的室壓、 從約3至約5 slm分佈的處理氣體流率、以及從約100℃至約300℃分佈的載台溫度。
在1030中,藉由形成電漿以及誘導處理氣體解離而形成處理氣體的自由基。或者,吾人可利用用以解離處理氣體的其他技術,包含例如紫外線(UV)輻射的電磁(EM)輻射。
在1040中,由處理氣體所形成的自由基可從自由基產生室運送至處理室。例如,自由基可透過圖4A、圖4B、圖6、以及圖7所示之自由基輸送系統的任何一種、或其任何組合而被運送。
在1050中,基板被曝露於自由基的流動,而處理基板。基板可被曝露於自由基而不曝露於自由基產生室中的電漿時。
雖然本發明僅某些實施例已於上被詳細說明,但熟習本項技藝者可輕易明白在沒有實質離開本發明之新穎教示與優點的情況下,在這些實施例中許多的修改係可行的。因此,所有這種修改應被包含在本發明的範疇內。
2‧‧‧圖案
3‧‧‧遮罩
4‧‧‧薄膜
5‧‧‧基板
6‧‧‧特徵部
100‧‧‧處理系統
110‧‧‧處理室
115‧‧‧自由基產生系統
120‧‧‧載台
125‧‧‧基板
130‧‧‧溫度控制系統
135‧‧‧加熱元件
140‧‧‧自由基輸送系統
145‧‧‧處理空間
160‧‧‧氣體供應系統
162‧‧‧氣體供應導管
164‧‧‧輔助氣體供應導管
170‧‧‧真空幫浦系統
180‧‧‧控制系統
200‧‧‧處理系統
240‧‧‧管路
250‧‧‧氣體配送系統
350‧‧‧氣體配送系統
350’‧‧‧氣體配送系統
360‧‧‧主體
362‧‧‧分度構件
364‧‧‧內部充氣腔
366‧‧‧外部充氣腔
370‧‧‧入口
372‧‧‧中央入口
374‧‧‧環狀入口
375‧‧‧處理空間
376‧‧‧實質中央區域
377‧‧‧實質周圍區域
380‧‧‧氣體配送板
380’‧‧‧氣體配送板
381‧‧‧內部板構件
381’‧‧‧內部板構件
382‧‧‧外部板構件
382’‧‧‧外部板構件
450‧‧‧氣體配送系統
460‧‧‧主體
462‧‧‧分度構件
464‧‧‧內部充氣腔
466‧‧‧外部充氣腔
470‧‧‧入口
472‧‧‧中央入口
474‧‧‧環狀入口
475‧‧‧處理空間
476‧‧‧實質中央區域
477‧‧‧實質周圍區域
480‧‧‧氣體配送板
481‧‧‧內部板構件
482‧‧‧外部板構件
550‧‧‧氣體配送系統
560‧‧‧主體
562‧‧‧分度構件
564‧‧‧內部充氣腔
566‧‧‧外部充氣腔
570‧‧‧入口
572‧‧‧中央入口
574‧‧‧環狀入口
575‧‧‧處理空間
576‧‧‧實質中央區域
577‧‧‧實質周圍區域
580‧‧‧氣體配送板
581‧‧‧內部板構件
582‧‧‧外部板構件
800‧‧‧氣體配送系統
802‧‧‧內部板構件
804‧‧‧外部板構件
806‧‧‧分度構件
808‧‧‧開口
810‧‧‧連續間隙
810’‧‧‧連續間隙
810’’‧‧‧連續間隙
812‧‧‧分離間隙
812’‧‧‧分離間隙
812’’‧‧‧分離間隙
815‧‧‧氣體配送系統
825‧‧‧氣體配送系統
835‧‧‧氣體配送系統
845‧‧‧氣體配送系統
855‧‧‧氣體配送系統
1000‧‧‧流程圖
1010‧‧‧將基板設置在處理室中
1020‧‧‧將處理氣體引導至自由基產生室
1030‧‧‧由位於自由基產生室內的處理氣體形成自由基
1040‧‧‧將自由基從自由基產生室運送至處理室
1050‧‧‧將基板曝露於自由基
在隨附圖式中:圖1A、1B以及1C顯示用以在基板上之薄膜內製造特徵部的程序示意圖;圖2顯示處理系統的示意圖;圖3顯示依照一實施例之處理系統的示意圖;圖4A及4B顯示依照另一實施例之氣體配送系統的示意圖;圖4C顯示關於圖4A及4B所示之氣體配送系統之內部與外部氣體流之間的關係;圖5顯示位於基板之處理劑濃度之空間分佈與用以配送處理劑之氣體配送系統之間的關係;圖6顯示依照另一實施例之氣體配送系統的示意圖;圖7顯示依照另一實施例之氣體配送系統的示意圖;圖8A、8B、8C、8D、8E、以及8F各自顯示依照本發明之不 同實施例之利用氣體配送間隙之氣體配送系統的平面底視圖;及圖9敘述依照又另一實施例之處理基板的方法。
350‧‧‧氣體配送系統
360‧‧‧主體
362‧‧‧分度構件
364‧‧‧內部充氣腔
366‧‧‧外部充氣腔
370‧‧‧入口
372‧‧‧中央入口
374‧‧‧環狀入口
375‧‧‧處理空間
376‧‧‧實質中央區域
377‧‧‧實質周圍區域
380‧‧‧氣體配送板
381‧‧‧內部板構件
382‧‧‧外部板構件

Claims (23)

  1. 一種處理系統,包含:一處理室,包含一處理空間;一載台,耦合至該處理室並且用以支撐位於該處理室之該處理空間內的一基板,以及調整該基板的溫度;一自由基產生系統,耦合至該處理室並且用以接收處理氣體以及從該處理氣體產生自由基;一氣體配送系統,用以接收並將該自由基流配送至該處理空間內,其中該氣體配送系統包含:一入口,耦合至該自由基產生系統之一出口;一出口,耦合至該處理室;以及一分度構件,耦合至該氣體配送系統且用以透過該入口使該處理氣體流的一部份分離地轉向至位於該基板上方的一第一區域,並且透過該入口使該處理氣體流的剩餘部份分離地轉向至位於該基板上方的一第二區域;及一真空幫浦系統,耦合至該處理室並且用以排空該處理室,其中該分度構件位於該入口中,以對該第一以及該第二區域提供實質上相同的氣體流。
  2. 如申請專利範圍第1項之處理系統,其中該第一區域包含位於該基板上方的一實質中央區域,而該第二區域包含位於該基板上方的一實質周圍區域。
  3. 如申請專利範圍第2項之處理系統,其中該分度構件使該處理氣體流的一實質內部份轉向至位於該基板上方的該第一區域,並且使該處理氣體流的一實質外部份轉向至位於該基板上方的該第二區域。
  4. 如申請專利範圍第2項之處理系統,其中該分度構件使該處理氣體流的一實質內部份轉向至位於該基板上方的該第二區域,並 且使該處理氣體流的一實質外部份轉向至位於該基板上方的該第一區域。
  5. 如申請專利範圍第1項之處理系統,其中該氣體配送系統包含一主體,而該分度構件將該主體劃分成一內部充氣腔以及一外部充氣腔。
  6. 如申請專利範圍第5項之處理系統,其中該氣體配送系統更包含一氣體配送板,該配送板在該內部充氣腔之一出口處以及在該外部充氣腔之一出口處與該主體耦合,其中該氣體配送板包含穿過其中之一個以上的開口。
  7. 如申請專利範圍第6項之處理系統,其中該氣體配送板包含複數開口,該複數開口實質上平均分佈在該氣體配送板上。
  8. 如申請專利範圍第6項之處理系統,其中該氣體配送板包含複數開口,該複數開口實質上不平均分佈在該氣體配送板上。
  9. 如申請專利範圍第6項之處理系統,其中該氣體配送板包含:一內部板構件,在該內部充氣腔的一出口處與該氣體配送系統耦合;以及一外部板構件,在該外部充氣腔的一出口處與該氣體配送系統耦合。
  10. 如申請專利範圍第6項之處理系統,其中該主體、該分度構件、或該氣體配送板、或其兩種以上的組合係由二氧化矽或碳所製造。
  11. 如申請專利範圍第1項之處理系統,其中該載台包含一個以上的加熱元件、或一個以上的冷卻元件、或其組合,該等元件用以控制該基板的溫度。
  12. 如申請專利範圍第1項之處理系統,其中該處理室、該自由基產生系統、該氣體配送系統、或該載台、或其兩種以上的組合具有形成在其表面上的一塗層。
  13. 如申請專利範圍第12項之處理系統,其中該塗層含有至少一Ⅲ族元素。
  14. 如申請專利範圍第12項之處理系統,其中該塗層含有至少一選自以Al2 O3 、Sc2 O3 、Sc2 F3 、YF3 、La2 O3 、Y2 O3 、以及DyO3 所組成之群組的元素。
  15. 如申請專利範圍第1項之處理系統,更包含:一處理氣體供應系統,耦合至該自由基產生系統,並用以將該處理氣體供應至該自由基產生系統。
  16. 如申請專利範圍第15項之處理系統,其中該處理氣體供應系統用以供應下列一種以上的氣體:H2 、O2 、N2 、F2 、NO、NO2 、N2 O、CO、CO2 、NH3 、NF3 、氟碳化物、碳氫化合物、或氫氟碳化物、或其兩種以上的組合。
  17. 一種氣體配送系統,用以耦合至一基板處理系統,該氣體配送系統包含:一主體,具有:一入口,用以接收處理氣體流;一出口,用以將該處理氣體配送至該處理系統中;以及一分度構件,耦合至該氣體配送系統且用以透過該入口使該處理氣體流的一部份分離地轉向至位於該基板上方的一第一區域,並且透過該入口使該處理氣體流的剩餘部份分離地轉向至位於該基板上方的一第二區域, 其中該分度構件位於該入口中,以對該第一以及該第二區域提供實質上相同的氣體流。
  18. 如申請專利範圍第17項之氣體配送系統,其中該第一區域包含位於該基板上方的一實質中央區域,而該第二區域包含位於該基板上方的一實質周圍區域。
  19. 如申請專利範圍第18項之氣體配送系統,其中該分度構件使該處理氣體流的一實質內部份轉向至位於該基板上方的該第一區域,並且使該處理氣體流的一實質外部份轉向至位於該基板上方的該第二區域。
  20. 如申請專利範圍第18項之氣體配送系統,其中該分度構件使該處理氣體流的一實質內部份轉向至位於該基板上方的該第二區域,並且使該處理氣體流的一實質外部份轉向至位於該基板上方的該第一區域。
  21. 如申請專利範圍第17項之氣體配送系統,其中該分度構件將該主體劃分成一內部充氣腔以及一外部充氣腔。
  22. 如申請專利範圍第21項之氣體配送系統,其中該氣體配送系統更包含一氣體配送板,該配送板在該內部充氣腔之一出口處以及在該外部充氣腔之一出口處與該主體耦合,其中該氣體配送板包含穿過其中之一個以上的開口。
  23. 一種處理系統,包含:一處理室,包含一處理空間;一載台,耦合至該處理室並且用以支撐位於該處理空間內的一基板,以及調整該基板的溫度; 一遠離該處理空間用以從處理氣體產生自由基的裝置;一用以將該自由基輸送至該處理空間的裝置,該裝置以遍佈該處理空間內所設置之該基板表面的實質均勻分佈進行輸送,該用以將該自由基輸送至該處理空間的裝置包含:一入口裝置、一出口裝置、及一分度構件裝置,其中該基板之上的該處理空間包含一第一區域和一第二區域,且其中該分度構件裝置係位於該入口裝置中,以對該第一以及該第二區域提供實質上相同的氣體流;及一真空幫浦系統,耦合至該處理室並且用以排空該處理室。
TW097103868A 2007-02-06 2008-02-01 處理系統用之多區域氣體配送系統 TWI381443B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/671,704 US8715455B2 (en) 2007-02-06 2007-02-06 Multi-zone gas distribution system for a treatment system

Publications (2)

Publication Number Publication Date
TW200845190A TW200845190A (en) 2008-11-16
TWI381443B true TWI381443B (zh) 2013-01-01

Family

ID=39675158

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097103868A TWI381443B (zh) 2007-02-06 2008-02-01 處理系統用之多區域氣體配送系統

Country Status (6)

Country Link
US (1) US8715455B2 (zh)
JP (1) JP5419711B2 (zh)
KR (1) KR101578939B1 (zh)
CN (1) CN101605925B (zh)
TW (1) TWI381443B (zh)
WO (1) WO2008097670A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
EP2359392A2 (en) * 2008-10-10 2011-08-24 Alta Devices, Inc. Concentric showerhead for vapor deposition
JP5261291B2 (ja) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US20120088356A1 (en) * 2010-09-14 2012-04-12 Applied Materials, Inc. Integrated platform for in-situ doping and activation of substrates
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9129778B2 (en) * 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US10225919B2 (en) 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
KR101322783B1 (ko) * 2012-05-08 2013-10-29 한국세라믹기술원 고밀도 플라즈마 에칭에 대한 저항성이 우수한 세라믹 보호 피막 및 그 코팅 방법
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
WO2016210299A1 (en) * 2015-06-26 2016-12-29 Tokyo Electron Limited GAS PHASE ETCH WITH CONTROLLABLE ETCH SELECTIVITY OF Si-CONTAINING ARC OR SILICON OXYNITRIDE TO DIFFERENT FILMS OR MASKS
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US12087573B2 (en) 2019-07-17 2024-09-10 Lam Research Corporation Modulation of oxidation profile for substrate processing
CN112320752A (zh) * 2019-08-05 2021-02-05 上海新微技术研发中心有限公司 负性光刻胶图形化膜层的制备方法
KR102225657B1 (ko) * 2019-11-14 2021-03-10 피에스케이 주식회사 배플 유닛, 이를 포함하는 기판 처리 장치
KR102674205B1 (ko) * 2020-10-27 2024-06-12 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102614922B1 (ko) * 2020-12-30 2023-12-20 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11535938B2 (en) * 2021-03-03 2022-12-27 Sky Tech Inc. Shower head assembly and atomic layer deposition device
CN115155417B (zh) * 2022-07-01 2023-08-18 北京北方华创微电子装备有限公司 半导体工艺设备的气体混合装置及半导体工艺设备
CN116804270B (zh) * 2023-08-29 2023-11-10 上海陛通半导体能源科技股份有限公司 二氧化硅薄膜的低温沉积方法及器件制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200509246A (en) * 2003-05-22 2005-03-01 Axcelis Tech Inc Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050269292A1 (en) * 2002-11-26 2005-12-08 Akira Koshiishi Plasma processing apparatus and method, and electrode plate for plasma processing apparatus
TW200628022A (en) * 2005-01-28 2006-08-01 Applied Materials Inc Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
WO2006107573A2 (en) * 2005-04-01 2006-10-12 Lam Research Corporation High strip rate downstream chamber

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6353932A (ja) * 1986-08-22 1988-03-08 Nec Corp 半導体ウエハ−の薄膜成長装置
WO1990005994A1 (en) * 1988-11-18 1990-05-31 Kabushiki Kaisha Tokuda Seisakusho Dry-etching method
JPH031531A (ja) * 1989-05-29 1991-01-08 Mitsubishi Electric Corp 半導体製造装置
JP2524869B2 (ja) * 1990-07-23 1996-08-14 大日本スクリーン製造株式会社 基板の表面処理方法および装置
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
JPH05184977A (ja) * 1992-01-09 1993-07-27 Toshiba Corp シャワーノズル
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001140078A (ja) * 1999-11-12 2001-05-22 Anelva Corp 化学蒸着装置
US6475854B2 (en) * 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
AU2003294379A1 (en) * 2002-12-20 2004-07-29 Tokyo Electron Limited Method and apparatus for determining consumable lifetime
US20050221000A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
WO2006026765A2 (en) * 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050269292A1 (en) * 2002-11-26 2005-12-08 Akira Koshiishi Plasma processing apparatus and method, and electrode plate for plasma processing apparatus
TW200509246A (en) * 2003-05-22 2005-03-01 Axcelis Tech Inc Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
TW200628022A (en) * 2005-01-28 2006-08-01 Applied Materials Inc Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
WO2006107573A2 (en) * 2005-04-01 2006-10-12 Lam Research Corporation High strip rate downstream chamber

Also Published As

Publication number Publication date
KR20090115138A (ko) 2009-11-04
JP2010518602A (ja) 2010-05-27
WO2008097670A1 (en) 2008-08-14
KR101578939B1 (ko) 2015-12-18
US8715455B2 (en) 2014-05-06
CN101605925A (zh) 2009-12-16
TW200845190A (en) 2008-11-16
CN101605925B (zh) 2011-08-31
JP5419711B2 (ja) 2014-02-19
US20080185104A1 (en) 2008-08-07

Similar Documents

Publication Publication Date Title
TWI381443B (zh) 處理系統用之多區域氣體配送系統
JP5305316B2 (ja) エッチング後の処理システムのためのガス分配システム
KR101313426B1 (ko) 기판 상의 잔류물을 제거하기 위한 에칭후 처리 시스템
JP4943912B2 (ja) 基板から残渣を除去する方法
US9704723B2 (en) Processing systems and methods for halide scavenging
KR20240127492A (ko) 포토레지스트 패터닝 스컴 (scum) 의 제거를 위한 원자 층 세정
WO2009158311A2 (en) Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
US7897498B2 (en) Method for manufacturing semiconductor device
JP6235974B2 (ja) 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
TW202220030A (zh) 被處理體之處理方法及電漿處理裝置
WO2024111454A1 (ja) ドライ現像方法及びドライ現像装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees