TW202032662A - 電漿處理方法及電漿處理裝置 - Google Patents

電漿處理方法及電漿處理裝置 Download PDF

Info

Publication number
TW202032662A
TW202032662A TW108144447A TW108144447A TW202032662A TW 202032662 A TW202032662 A TW 202032662A TW 108144447 A TW108144447 A TW 108144447A TW 108144447 A TW108144447 A TW 108144447A TW 202032662 A TW202032662 A TW 202032662A
Authority
TW
Taiwan
Prior art keywords
plasma processing
procedure
pattern
film
processing method
Prior art date
Application number
TW108144447A
Other languages
English (en)
Other versions
TWI822918B (zh
Inventor
木原嘉英
久松亨
谷口謙介
畑崎芳成
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202032662A publication Critical patent/TW202032662A/zh
Application granted granted Critical
Publication of TWI822918B publication Critical patent/TWI822918B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Abstract

本發明實現基板上所形成的圖案之精密尺寸控制。本發明之電漿處理裝置所執行之電漿處理方法,包括:第一程序,將第一膜形成在基板上所形成之具有疏密之圖案上;以及第二程序,將第一膜加以濺鍍或蝕刻。

Description

電漿處理方法及電漿處理裝置
以下揭示內容,係關於電漿處理方法及電漿處理裝置。
半導體裝置的精細化進展,伴隨極紫外光(EUV:Extreme ultraviolet)微影等技術發展,半導體基板上所形成之遮罩等之圖案尺寸的精密控制乃為吾人所需要者。
例如,吾人為了控制蝕刻圖案的CD(Critical Dimension;臨界尺寸)的收縮率,而提案一種技術,將形成在蝕刻對象膜上之遮罩,於蝕刻前藉由處理氣體進行處理(專利文獻1)。 [先前技術文獻] 〔專利文獻〕
專利文獻1:日本特開2015-115410號公報
〔發明所欲解決之問題〕
本發明提供一種可精密地控制基板上所形成之圖案尺寸之技術。 〔解決問題之方式〕
本發明的一態樣之電漿處理裝置所執行之電漿處理方法,包括:第一程序,將第一膜形成在基板上所形成之具有疏密之圖案上;以及第二程序,將第一膜加以濺鍍或蝕刻。 〔發明之效果〕
依據本發明可精密地控制基板上所形成之圖案尺寸。
〔實施發明之較佳形態〕
以下,基於圖示詳細說明本發明之實施形態。此外,本實施形態非限定。又,各實施形態,可於不使處理內容矛盾之範圍下適當組合。此外,各圖式針對同一或相當的部分標註同一符號。
當將半導體裝置加以精細化時,則執行複數次處理至形成期望圖案為止。而且,有時於各處理中形成之層之間,產生圖案的偏差。為了消除圖案間的偏差,需將半導體裝置上所形成之圖案的尺寸加以控制。
就遮罩的圖案而言,有橢圓形等具長徑與短徑之圖案。於形成有如此圖案之情形下,蝕刻程序之中容易因負載效應而使長徑的尺寸變化。於是,吾人期望獨立控制長徑與短徑。
(針對X-Y CD控制) 首先,說明X-Y CD控制。CD係一種意指半導體基板上之圖案的尺寸之用語。CD係使用作為將半導體裝置的特性加以顯示之參數,且於未達成期望CD之情形下,會影響半導體裝置的性能。因此,電漿處理需達成期望CD。
半導體裝置的製造中形成之圖案具有各種形狀。例如上述,就一圖案而言,有著在雙軸方向上具有不同長度之橢圓等圖案。下述記載之中,將橢圓形狀的孔等俯視下正交之二個方向(X方向:短徑、Y方向:長徑)中之尺寸具有差異之圖案,稱作X-Y圖案。又,將控制X-Y圖案的CD稱作X-Y CD控制。X-Y CD控制,具有X>Y收縮、X>Y收縮、X=Y收縮等。X>Y收縮之中,以短邊X的開口尺寸的變化量(ΔX)大於長邊Y的開口尺寸的變化量(ΔY)之方式,減小(收縮)X-Y圖案的開口尺寸。X>Y收縮之中,以ΔY大於ΔX之方式,減小將X-Y圖案的開口尺寸。X=Y收縮之中,以ΔX與ΔY相等之方式,減小X-Y圖案的開口尺寸。
圖8係用以說明X-Y圖案的一例。圖8係基板上所形成之具有X-Y圖案之遮罩的一例的局部俯視圖。圖8所示之基板,形成為俯視下略矩形(橢圓形)的開口部呈複數排列之狀態。複數之開口部具有約略同一尺寸。
如圖8所示形成X-Y圖案之遮罩的實際尺寸與設計尺寸具有偏差之情形下,若直接隔著遮罩進行蝕刻,則偏差轉錄至蝕刻後的形狀。因此,於蝕刻前,進行X-Y CD控制亦即控制X-Y圖案的尺寸。
圖9A用以說明X-Y圖案的尺寸控制例1。圖9B用以說明X-Y圖案的尺寸控制例2。圖9C用以說明X-Y圖案的尺寸控制例3。圖9A的例,係於維持短邊X的開口尺寸之狀態下,減小長邊Y的開口尺寸之控制例(X>Y收縮:以Y邊的減少量大於X之方式減小開口部)。圖9A的例,係於X-Y圖案形成後,在基板上成膜使Y邊變短,。另一方面,圖9B的例,係於減小短邊X的開口尺寸之狀態下,維持長邊Y的開口尺寸之控制例(X>Y收縮:以X邊的減少量大於Y邊之方式減小開口部)。圖9B的例,係於X-Y圖案形成後,在基板上成膜成使X邊變短。再者,圖9C的例,係使短邊X的開口尺寸與長邊Y的開口尺寸同程度減少之控制例(X=Y收縮)。圖9C的例,於X-Y圖案形成後,在基板上成膜成使Y邊與X邊同程度變短。
圖10係用以說明X-Y圖案之尺寸控制之圖表。圖10中,左上區域「X>Y收縮」(圖10,(1)),例如可使用化學氣相沉積(Chemical Vapor Deposition:CVD)的負載效應來實現。又,在中央往右方上揚延伸之直線「X=Y收縮」(圖10,(2)),係例如使用原子層沉積(Atomic Layer Deposition:ALD)來實現。以下說明之一實施形態之電漿處理方法,實現右下的「X>Y收縮」(圖10,(3))。
(一實施形態之電漿處理裝置之構成) 首先,參照圖1說明一實施形態之電漿處理裝置1。圖1顯示一實施形態之電漿處理裝置1之構成的一例。本實施形態之電漿處理裝置1,使用電漿而執行半導體晶圓之蝕刻、成膜、濺鍍等。本實施形態之電漿處理裝置1,係一種在腔室10內將載置台20與氣體噴淋頭30加以相向配置之平行板型的電漿處理裝置(電容耦合型電漿處理裝置)。載置台20亦作為下部電極而發揮功能,且氣體噴淋頭30亦作為上部電極發揮功能。
電漿處理裝置1例如具有由表面已進行氧皮鋁處理(陽極氧化處理)之鋁所構成之圓筒形的腔室10。腔室10係電性接地。腔室10的底部設有用以將半導體晶圓(以下僅稱作「晶圓W」)加以載置之載置台20。晶圓W係基板的一例。載置台20具有:靜電夾盤21,藉由靜電吸附力固持晶圓W;以及基台22,支持靜電夾盤21。
基台22係由鋁(Al)、鈦(Ti)、碳化矽(SiC)等所形成。
基台22的上表面設有用以將晶圓加以靜電吸附之靜電夾盤21。靜電夾盤21成為在絕緣體21a之間夾入夾盤電極21b之構造。夾盤電極21b連接有直流電壓源23,且自直流電壓源23將直流電壓HV施加至夾盤電極21b,藉以利用庫侖力來使晶圓W吸附在靜電夾盤21。靜電夾盤21的上表面形成有:固持面,用以固持晶圓W;以及周緣部,高度低於固持面。在靜電夾盤21的固持面,載置晶圓W。
靜電夾盤21的周緣部配置有邊緣環24,俾圍繞靜電夾盤21的固持面所載置之晶圓W。邊緣環24例如由矽或石英形成。邊緣環24發揮下述功能:提昇電漿處理的面內均勻性。
又,載置台20(基台22)的內部形成有冷媒流道26a。冷媒流道26a連接有冷媒入口配管26b及冷媒出口配管26c。自冷卻器27輸出之例如冷卻水或鹽水等冷卻媒體(以下亦稱作「冷媒」),流通在冷媒入口配管26b、冷媒流道26a、及冷媒出口配管26c而進行循環。藉由冷媒,將載置台20加以排熱、冷卻。
傳熱氣體供給源28,將氦氣(He)或氬氣(Ar)等傳熱氣體經由氣體供給線路28a而供給至靜電夾盤21上之晶圓W的背面。藉由此構成,利用循環在冷媒流道26a之冷媒、供給至晶圓W的背面之傳熱氣體,而將靜電夾盤21加以溫度控制。此結果,可將晶圓W控制成預定溫度。傳熱氣體供給源28及氣體供給線路28a係將傳熱氣體供給至晶圓W的背面之傳熱氣體供給機構的一例。
載置台20連接有將雙頻重疊電力加以供給之電力供給裝置29。電力供給裝置29具有:第一射頻電源29a,供給第一頻率之第一射頻電力(電漿產生用射頻電力);以及第二射頻電源29b,供給第二頻率之第二射頻電力(偏壓電壓產生用射頻電力),且第二頻率低於第一頻率。第一射頻電源29a經由第一匹配器29c而電性連接至載置台20。第二射頻電源29b經由第二匹配器29d而電性連接至載置台20。第一射頻電源29a將例如40MHz之第一射頻電力施加至載置台20。第二射頻電源29b將例如400kHz之第二射頻電力施加至載置台20。此外,本實施形態,將第一射頻電力施加至載置台20,但亦可施加至氣體噴淋頭30。
第一匹配器29c使負載阻抗匹配於第一射頻電源29a的內部(或輸出)阻抗。第二匹配器29d使負載阻抗匹配於第二射頻電源29b的內部(或輸出)阻抗。第一匹配器29c發揮下述功能:於腔室10內產生電漿時,使第一射頻電源29a的內部阻抗與負載阻抗在外觀上一致。第二匹配器29d發揮下述功能:於腔室10內產生電漿時,使第二射頻電源29b的內部阻抗與負載阻抗在外觀上一致。
氣體噴淋頭30安裝成插設著將其周緣部加以被覆之屏蔽環31而封閉腔室10的頂壁部的開口。氣體噴淋頭30亦可如圖1所示電性接地。
氣體噴淋頭30形成有將氣體加以導入之氣體導入口32。氣體噴淋頭30的內部設有從氣體導入口32分歧之中心部的擴散室32a及邊緣部的擴散室32b。自氣體供給源33輸出之氣體,經由氣體導入口32而供給至擴散室32a、32b,且在擴散室32a、32b進行擴散,並從多數個氣體供給孔34導入向載置台20。
腔室10的底面形成有排氣口40,藉由連接至排氣口40之排氣裝置41來將腔室10內加以排氣。藉此,可將腔室10內維持為預定的真空度。腔室10的側壁設有閘閥G。閘閥G,於從腔室10進行晶圓W的運入及運出之際,將運出運入口加以開閉。
電漿處理裝置1設有將裝置全體的動作加以控制之控制部50。控制部50具備CPU(Central Processing Unit;中央處理器)51、ROM(Read Only Memory;唯讀記憶體)52及RAM(Random Access Memory;隨機存取記憶體)53。CPU51,依循此等記憶區域所儲存之各種配方,而執行後述之電漿處理等期望處理。配方記載有針對處理條件之裝置的控制資訊,即處理時間、壓力(氣體的排氣)、射頻電力或電壓、各種氣體流量、腔室內溫度(上部電極溫度、腔室的側壁溫度、晶圓W溫度(靜電夾盤溫度)等)、從冷卻器27輸出之冷媒的溫度等。此外,將此等程式或處理條件加以顯示之配方,亦可記憶於硬碟或半導體記憶體。又,配方亦可於係由CD(Compact Disc;光碟)-ROM、DVD(Digital Versatile Disc;數位多功能光碟)等可攜性之可由電腦讀取的記憶媒體所收容之狀態下設定在預定位置、並被讀出。
例如,控制部50以進行後述電漿處理方法之方式控制電漿處理裝置1的各部。
(一實施形態之電漿處理方法) 圖2係將一實施形態之電漿處理的大致流程的一例加以顯示之流程圖。圖3A係形成有遮罩圖案之晶圓的概略剖視圖。圖3B係一實施形態之成膜處理後之晶圓的概略剖視圖。圖3C係一實施形態之濺鍍或蝕刻後之晶圓的概略剖視圖。
首先,提供處理對象即晶圓W(參照步驟S21、圖3A)。在晶圓W上,將成為蝕刻對象之基底膜101加以形成。又,在基底膜101上,藉由EUV微影或ArF微影等來形成光阻的遮罩圖案103。遮罩圖案103含有上述X-Y圖案。再者,針對遮罩圖案103,實施塗佈層105。塗佈層105亦可含有矽。亦可省略將遮罩圖案103的抗性加以提昇之保護膜即塗佈層105。
其次,電漿處理裝置1的控制部50,控制電漿處理裝置1的各部而針對晶圓W執行成膜處理(步驟S22,圖3B)。藉由成膜處理,而在晶圓W的遮罩圖案103上形成第一膜107。步驟S22的成膜處理,係使用例如碳氟化合物(Cx Fy )系的處理氣體而藉由CVD執行。將第一膜107加以形成之步驟S22的成膜處理,以下亦稱作第一程序。
其次,控制部50,針對步驟S22中形成之第一膜107進行濺鍍(或蝕刻)(步驟S23,圖3C)。例如,控制部50,產生電漿而將RF(Radio Frequency;射頻)偏壓電壓施加至載置台20(下部電極),並使氬(Ar)氣體等稀有氣體的離子衝撞向第一膜107。自第一膜107彈出之粒子(例如Cx Fy 的原子或分子),再次附著沉積至周圍的圖案。此時,自第一膜107彈出之成膜材料的粒子,在短邊X上不易排出至孔外,附著在短邊X的側壁之量變多。另一方面,在長邊Y上,由濺鍍產生之成膜材料容易從孔向外排出,因此附著在長邊Y的側壁之粒子少於短邊X。因此,步驟S22的成膜及步驟S23的濺鍍(或蝕刻)之組合,實現X>Y收縮。以下亦將步驟S23的濺鍍(蝕刻)稱作第二程序。
其次,控制部50判斷遮罩圖案103的收縮量(ΔX、ΔY)是否達到預定量(步驟S24)。控制部50,例如於步驟S22及步驟S23的執行次數達到預定數之情形下,則判斷為收縮量達到預定量。於判斷出收縮量未達預定量之情形(步驟S24,否)下,控制部50返回步驟S22,而重複成膜與濺鍍。另一方面,於判斷出收縮量達到預定量之情形(步驟S24,是)下,控制部50將形成在遮罩圖案103下之基底膜101之蝕刻加以執行(步驟S25)。藉此,將圖案形成在基底膜101。藉此結束一實施形態之電漿處理。此外,步驟S24之中,可測量步驟S22中之成膜後的遮罩圖案103的CD值,來取代判斷遮罩圖案103的收縮量是否達到預定量,或於判斷遮罩圖案103的收縮量是否達到預定量之外,添加測量步驟S22中之成膜後的遮罩圖案103的CD值。CD值之測量,可於成膜後使用光學方法來執行。而且,亦可依CD值是否成為預定值,來判斷收縮量達到預定量。
(一實施形態之X>Y收縮效果) 圖4用以說明一實施形態之X>Y CD控制中之長徑(Y)及短徑(X)的變化。圖5係用以將一實施形態之X>Y CD控制中之長徑(Y)及短徑(X)的變化加以說明之其它圖。
圖4的例之中,針對具有X-Y圖案之晶圓W,利用八氟環丁烷(C4 F8 )與(Ar)進行CVD之後,使用氬氣而進行濺鍍。如圖4所示,CVD期間,一併在短徑(X邊)、長徑(Y邊)上,使膜形成並收縮(圖4的(1))。又,CVD期間,因負載效應,長徑側的收縮量稍微多於短徑側。其後,當進行濺鍍時,則過渡向X>Y收縮(圖4(2))。依據此處理,則可於抑制ΔY之狀況下,使ΔX增加而使短徑(X邊)收縮。如此一來,實施形態之處理,可選擇性使成膜材料附著在短徑側,而使短徑方向的CD收縮。
圖5的例之中,利用一氧化碳(CO)與氬進行CVD後,利用氬氣進行濺鍍。圖5的例,亦於CVD期間,一併在短徑、長徑上,使膜形成並收縮(圖5(1))。其後,藉由濺鍍而過渡向X>Y收縮(圖5(2)),使長徑側不收縮,僅短徑側收縮。意即,藉由濺鍍,而於修整長徑側之狀況下,維持短徑側的收縮。可單獨抑制ΔY。此處理之中,藉由於(1)成膜之碳系薄膜係於濺鍍之中作為保護膜而發揮功能,而抑制ΔX的收縮量。另一方面,在長徑側,藉由濺鍍的負載效應而實現修整。如此一來,可藉由組合成膜與濺鍍,而實現X-Y圖案的X>Y收縮。
(追加程序) 此外,上述電漿處理方法之中,於將第一程序(成膜程序)與第二程序(濺鍍或蝕刻)加以循環進行之情形下,亦可於氣體切換時機包含真空抽引程序或氣滌(氣體交換)程序等追加程序。追加程序,例如係用以使第一程序中使用之氣體與第二程序中使用之氣體不在腔室中混合之程序。圖6用以說明一實施形態之電漿處理的追加程序的一例。
圖6的例之中,電漿處理方法於從成膜程序(S22-1)過渡向濺鍍(S23-1)時、及從濺鍍(S23-1)過渡向成膜程序(S22-2)時,包含追加程序。追加程序,例如於成膜氣體及濺鍍氣體之供給、第一射頻電力(RF1,參照圖1的29a)及第二射頻電力(RF2,參照圖1的29b)之施加係停止時進行。
此外,上述實施形態,說明在晶圓W上將基底膜101、遮罩圖案103、塗佈層105加以形成者。
基底膜101例如係氮化鈦(TiN)、氧化矽、多晶矽、有機膜、抗反射膜(就一例而言Si-ARC(Anti-reflective coating))等。例如,將氮化鈦膜藉由濺鍍而沉積在晶圓W上。其次,將氧化矽膜藉由以四乙氧基矽烷(TEOS)等為原料之電漿CVD來進行沉積。其次,將多晶矽膜藉由電漿CVD來進行沉積。而且,將有機膜使用旋轉塗佈層材料來形成。再者,在有機膜上形成抗反射膜。
再者,在抗反射膜上,形成遮罩圖案103。例如,使用EUV微影而在抗反射膜上形成光阻膜的遮罩圖案103。
然而,於上述實施形態定為蝕刻對象之基底膜101,不限定於上述構成,亦可係含矽膜。就含矽膜而言,例如可使用氧化矽、氮化矽、多晶矽、金屬矽化物、單晶矽。又,晶圓W上亦可含有導電性膜、絕緣膜、抗反射膜、擴散膜等其它的材料膜。
(使用複迴歸式之處理條件之決定) 本實施形態之中,更配合期望收縮量而決定成膜處理(步驟S22)及濺鍍(步驟S23)的處理條件。例如,一實施形態之電漿處理裝置1,藉由複迴歸分析而決定對期望收縮之達成造成影響之解釋變數,並設定複迴歸曲線。而且,電漿處理裝置1,使用所設定之複迴歸曲線而決定各處理的處理條件,並利用所決定之處理條件而執行成膜處理及濺鍍。
一實施形態之中,就用以進行遮罩圖案103的X>Y控制之複迴歸曲線而言,使用以下算式(1)。 ΔX=aα+bβ+c…(1) 算式(1)中,ΔX表示短徑(X)的收縮量;aα表示成膜處理(步驟S22)的處理條件,例如處理時間;bβ表示濺鍍(步驟S23)的處理條件,例如處理時間;c係截距。
此外,算式(1)之中,將目標變數定為ΔX、ΔY、ΔY-ΔX、X/Y的比率等,將解釋變數定為各處理的處理條件而藉由執行複迴歸分析來導出。作為解釋變數而言,例如使用成膜處理及濺鍍中之處理氣體流量、處理時間、施加電壓值。此結果,就對CD的收縮量造成之影響係大的變數而言,特定出成膜處理及濺鍍各自的處理時間。
圖7係將一實施形態之處理條件決定處理的流程的一例加以顯示之流程圖。首先,針對晶圓W上所形成之遮罩圖案103,設定期望收縮量(例如ΔX)(步驟S61)。例如,圖2的步驟S21之中,基於將遮罩圖案103形成在晶圓W上之後之例如使用光之檢查來決定期望收縮量。收縮量可基於過去的資料預先設定,亦可依所處理之晶圓來決定。
其次,將步驟S61中設定之收縮量代入至上述複迴歸曲線的算式(1)。而且,基於算式(1),決定成膜處理(步驟S22,第一程序)及濺鍍(步驟S23,第二程序)的處理條件(步驟S62)。算式(1)之中,因為aα項及bβ項各自係成膜處理的處理時間及濺鍍的處理時間,所以在此決定各處理的處理時間。藉此,結束處理條件決定處理。
複迴歸曲線,係基於過去處理之晶圓W的資料而預先計算,並事先記憶在控制部50。又,亦可將電漿處理裝置1所處理之晶圓W的資料事先儲存在控制部50,並定期性再次執行複迴歸分析來更新複迴歸曲線。又,電漿處理裝置1,亦可實時取得處理中之晶圓W的資料例如CD,而修正針對該晶圓W執行之處理的處理條件。
又,電漿處理裝置1,使用複迴歸曲線,不僅可決定成膜處理(步驟S22)及濺鍍(步驟S23)的處理條件,亦可決定蝕刻(步驟S25)的處理條件。例如,取代算式(1),計算出將蝕刻的處理條件定為解釋變數之複迴歸曲線。而且,電漿處理裝置1使用所算出之複迴歸曲線來決定蝕刻的處理條件。
又,上述算式(1)係定為基於ΔX來決定各處理時間之複迴歸曲線,亦可將ΔY、ΔX-ΔY等作為目標變數來設定複迴歸曲線。
(一實施形態的效果) 上述實施形態之電漿處理方法包含第一程序與第二程序。第一程序之中,電漿處理裝置將第一膜形成在基板上所形成之具有疏密之圖案上。第二程序之中,電漿處理裝置將第一膜加以濺鍍或蝕刻。實施形態之電漿處理方法,例如使第一程序中形成之第一膜藉由第二程序而附著在周圍的圖案,藉以實現X>Y收縮。
又,依據實施形態之電漿處理方法,電漿處理裝置將第一程序與第二程序重複執行預定次數。因此,電漿處理裝置,即使於將第一程序及第二程序執行一次亦未達成期望收縮量之情形下,尚可將各程序重複二次以上,藉以達成期望收縮量。
又,實施形態之電漿處理方法,於第一程序與第二程序之間,更包含真空抽引程序或氣體交換程序。因此,實施形態之電漿處理方法,可使第一程序中使用之氣體與第二程序中使用之氣體不在腔室中混合。
又,依據實施形態之電漿處理方法,第一程序所使用之氣體包含C、H、F、O、S或N。例如,第一程序所使用之氣體包含由Cx Hy 、Cx Fy 、Cx Oy 、Cx Hy Fz 、Cx Hy Oz 、Cx Hy Nz 、Cx Hy Sz 、Cw Hx Fy Oz 、Cw Hx Ny Oz 、Cw Hx Sy Oz 所構成之氣體及液體試樣。又,第二程序所使用之氣體係稀有氣體、非活性氣體或將此等混合成之氣體。
又,實施形態之電漿處理方法,更包含:微影程序:將圖案藉由極紫外光(EUV)或ArF微影來形成。即使於藉由EUV微影等而形成有精細圖案之情形下,依據實施形態之電漿處理方法,亦可容易實現X>Y收縮。
又,實施形態之電漿處理方法之中,第一程序與第二程序之組合,使圖案所含之圖案緊密配置之部分的配置間隔,以比圖案稀疏配置之部分的配置間隔更大的變動量進行減少。亦即,實施形態之電漿處理方法實現X>Y收縮。又,實施形態之電漿處理方法,無論圖案的形狀,使圖案緊密配置之部分的配置間隔,以比圖案稀疏配置之部分的配置間隔更大的變動量進行減少。因此,依據實施形態,可控制線寬與線距、或圖案粗糙度等多樣的圖案的不規則性。
又,實施形態之電漿處理方法,更包含:決定程序,基於將變動量作為目標變數、並將第一程序及第二程序的處理條件作為解釋變數之複迴歸曲線,來決定第一程序及第二程序的處理條件。又,於實施形態之電漿處理方法之中,決定程序,決定第一程序及第二程序的處理時間。因此,依據實施形態,則可配合定為目標之收縮量來決定各程序的條件,可有效率地控制尺寸。
又,實施形態之電漿處理方法,更包含隔著圖案進行蝕刻之程序。因此,依據實施形態,電漿處理裝置可使用執行X-Y CD控制後之遮罩來進行蝕刻,能以高精度製造半導體裝置。
又,實施形態之電漿處理方法,更包含:第二決定程序,基於複迴歸曲線來決定蝕刻的處理條件。因此,依據實施形態,則基於複迴歸分析,不僅可有效率地決定第一程序、第二程序,亦可有效率地決定蝕刻程序的處理條件。
又,實施形態之電漿處理方法,包含:基板提供程序,提供具備圖案之基本,前述圖案具有稀疏區域與緊密區域;以及成膜程序,在前述圖案上形成第一膜。又,實施形態之電漿處理方法,更包含:濺鍍程序,將第一膜進行濺鍍,且使所濺鍍之粒子再次附著至圖案的側壁,藉以使緊密區域之CD減少量大於稀疏區域之CD減少量。因此,依據實施形態,可藉由成膜與濺鍍之組合,實現X>Y收縮。
(變形例) 此外,上述實施形態,就X-Y圖案而言,使用形成複數個大小約略同一之橢圓形的孔之圖案來進行說明。然而,不限於此,本實施形態可應用於橢圓形以外之具有疏密的圖案。具有疏密的圖案,例如具有將設計上同一尺寸的圖案加以稀疏形成之部分、及緊密形成之部分。於具有複數個上述橢圓形的孔之X-Y圖案之情形下,橢圓的短徑方向係緊密形成之部分,長徑方向係稀疏形成之部分。本實施形態之電漿處理方法,例如可應用於線寬與線距(L/S)之尺寸控制、粗糙度改善等。
又,複迴歸分析亦可使用機械學習來執行。例如,以當輸入晶圓W的CD值、定為目標之ΔX、ΔY、處理條件(處理氣體、施加電壓等)時,則輸出各程序(成膜、濺鍍、蝕刻)的處理時間之方式,執行機械學習。又,亦可依電漿處理裝置的消耗而變更所應用之複迴歸曲線。又,複迴歸分析之中,亦可採用上述以外的參數作為解釋變數。例如,亦可將所成膜之膜的材料氣體、濺鍍中之劑量等作為解釋變數。
此外,上述實施形態,就一例而言,使用將電容耦合型電漿(CCP:Capacitively Coupled Plasma)作為電漿源之電漿處理裝置1來進說明。然而,本案揭示之技術不限於此,可採用感應耦合型電漿(ICP:Inductively Coupled Plasma)、或微波電漿等使用任意電漿源之電漿處理裝置。
又,施形在遮罩圖案103上之矽(Si)系的塗佈層105,例如使用直流濺鍍(Direct Current Sputtering)、直流疊加(DCS:Direct Current Superposition)等來執行。DCS例如可藉由美國專利申請公開第2018/0151333號說明書之圖4A及圖4B等所記載之方法來執行。
又,依電漿處理裝置的形狀及功能,圖2的各處理亦可在一電漿處理裝置的腔室內執行,且尚可在腔室間將晶圓W加以運入運出而執行。本實施形態之中,成膜處理(步驟S22)、濺鍍(步驟S23)、蝕刻(步驟S25)執行於同一電漿處理裝置1的腔室10內。又,亦可將電漿處理裝置1構成為使在晶圓W上施加塗佈層105之處理等亦執行於同一腔室10內。
又,上述說明中,將圖2的成膜處理(步驟S22)所使用之處理氣體定為碳氟化合物(Cx Fy )系的氣體。然而,不限於此,只要處理氣體係含碳之氣體、且包含因步驟S23的濺鍍而自圖案表面釋出並再附著並沉積在圖案之材料即可。例如,可將含有C、H、F、O、S或N之處理氣體使用於成膜處理。例如,可將由Cx Hy 、Cx Fy 、Cx Oy 、Cx Hy Fz 、Cx Hy Oz 、Cx Hy Nz 、Cx Hy Sz 、Cw Hx Fy Oz 、Cw Hx Ny Oz 、Cw Hx Sy Oz 所構成之氣體及液體試樣使用於成膜處理。
又,上述實施形態之電漿處理之中,亦可於步驟S25之後執行成膜及其它處理。
吾人當知本說明書揭示之實施形態,在全部各點均係例示而非限制。上述實施形態,可於不超脫附加的發明申請專利範圍及其主旨之狀況下,以各種形態進行省略、取代或變更。
1:電漿處理裝置 10:腔室 20:載置台 21:靜電夾盤 21a:絕緣體 21b:夾盤電極 22:基台 23:直流電壓源 24:邊緣環 26a:冷媒流道 26b:冷媒入口配管 26c:冷媒出口配管 27:冷卻器 28:傳熱氣體供給源 28a:氣體供給線路 29:電力供給裝置 29a:第一射頻電源 29b:第二射頻電源 29c:第一匹配器 29d:第二匹配器 30:氣體噴淋頭 31:屏蔽環 32:氣體導入口 32a,32b:擴散室 33:氣體供給源 34:氣體供給孔 40:排氣口 41:排氣裝置 50:控制部 51:CPU(中央處理器) 52:ROM(唯讀記憶體) 53:RAM(隨機存取記憶體) 101:基底膜 103:遮罩圖案 105:塗佈層 107:第一膜 G:閘閥 S21~S25,S61~S62:步驟 W:晶圓
圖1顯示一實施形態之電漿處理裝置的構成的一例。 圖2係將一實施形態之電漿處理的大致流程的一例加以顯示之流程圖。 圖3A係形成有遮罩圖案之晶圓的概略剖視圖。 圖3B係一實施形態之成膜處理後之晶圓的概略剖視圖。 圖3C係一實施形態之濺鍍或蝕刻後之晶圓的概略剖視圖。 圖4用以說明一實施形態之X>Y CD控制中之長徑(Y)及短徑(X)之變化。 圖5係用以說明一實施形態之X>Y CD控制中之長徑(Y)及短徑(X)的變化之其它圖。 圖6用以說明一實施形態之電漿處理的追加程序的一例。 圖7係將一實施形態之處理條件決定處理的流程的一例加以顯示之流程圖。 圖8用以說明X-Y圖案的一例。 圖9A用以說明X-Y圖案的尺寸控制例1。 圖9B用以說明X-Y圖案的尺寸控制例2。 圖9C用以說明X-Y圖案的尺寸控制例3。 圖10係用以將X-Y圖案之尺寸控制加以說明之圖表。
S21~S25:步驟

Claims (13)

  1. 一種電漿處理方法,包括: 第一程序,在基板上所形成之具有疏密之圖案上形成第一膜; 第二程序,將該第一膜加以濺鍍或蝕刻。
  2. 如請求項1之電漿處理方法,其中 將該第一程序與該第二程序重複執行預定次數。
  3. 如請求項1或2之電漿處理方法,其中, 於該第一程序與該第二程序之間,更包含真空抽引程序或氣體交換程序。
  4. 如請求項1~3中任一項之電漿處理方法,其中, 該第一程序所使用之氣體包含C、H、F、O、S或N。
  5. 如請求項1~4中任一項之電漿處理方法,其中, 該第二程序所使用之氣體為稀有氣體、非活性氣體或將此等混合成之氣體。
  6. 如請求項1~5中任一項之電漿處理方法,其中, 更包含:微影程序,藉由極紫外光(EUV:Extreme ultraviolet)或ArF微影來形成該圖案。
  7. 如請求項1~6中任一項之電漿處理方法,其中, 該第一程序與該第二程序之組合,使該圖案所含之圖案緊密配置之部分的配置間隔,以比圖案稀疏配置之部分的配置間隔更大的變動量減少。
  8. 如請求項7之電漿處理方法,其中, 更包含:決定程序,基於將該變動量定為目標變數、且將該第一程序及該第二程序的處理條件定為解釋變數之複迴歸曲線,來決定該第一程序及該第二程序的處理條件。
  9. 如請求項8之電漿處理方法,其中, 該決定程序,決定該第一程序及該第二程序的處理時間。
  10. 如請求項1~9中任一項之電漿處理方法,其中, 更包含隔著該圖案而進行蝕刻之程序。
  11. 如請求項10之電漿處理方法,其中, 更包含:第二決定程序,基於複迴歸曲線來決定該蝕刻的處理條件。
  12. 一種電漿處理方法,包括: 基板提供程序,提供包含具有稀疏區域與緊密區域的圖案之基板; 成膜程序,在該圖案上形成第一膜;以及 濺鍍程序,將該第一膜進行濺鍍,且使所濺鍍之粒子再次附著在該圖案的側壁,藉以使該緊密區域的CD(臨界尺寸)減少量大於該稀疏區域的CD減少量。
  13. 一種電漿處理裝置,具備: 腔室,提供處理空間; 載置台,設在該腔室的內部,將基板加以載置; 氣體供給部,用以將處理氣體供給至該腔室的內部;以及 控制部,於該腔室內,執行在基板上所形成之具有疏密之圖案上形成第一膜之第一程序、及將該第一膜加以濺鍍或蝕刻之第二程序。
TW108144447A 2018-12-14 2019-12-05 電漿處理方法及電漿處理裝置 TWI822918B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-234742 2018-12-14
JP2018234742A JP7229750B2 (ja) 2018-12-14 2018-12-14 プラズマ処理方法およびプラズマ処理装置

Publications (2)

Publication Number Publication Date
TW202032662A true TW202032662A (zh) 2020-09-01
TWI822918B TWI822918B (zh) 2023-11-21

Family

ID=71072896

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108144447A TWI822918B (zh) 2018-12-14 2019-12-05 電漿處理方法及電漿處理裝置

Country Status (5)

Country Link
US (2) US11094551B2 (zh)
JP (2) JP7229750B2 (zh)
KR (1) KR20200074033A (zh)
CN (1) CN111326395A (zh)
TW (1) TWI822918B (zh)

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI229905B (en) * 2003-11-13 2005-03-21 Nanya Technology Corp Method for controlling critical dimension by utilizing resist sidewall protection
JP2007214299A (ja) * 2006-02-09 2007-08-23 Tokyo Electron Ltd エッチング方法
JP2007294905A (ja) 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
US7700444B2 (en) * 2006-10-26 2010-04-20 Yijian Chen Post-lithography misalignment correction with shadow effect for multiple patterning
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
US7838426B2 (en) 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
JP5102653B2 (ja) * 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP5200687B2 (ja) 2008-06-18 2013-06-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US8435419B2 (en) * 2010-06-14 2013-05-07 Applied Materials, Inc. Methods of processing substrates having metal materials
JP2012227282A (ja) 2011-04-18 2012-11-15 Hitachi Ltd 加工装置および加工条件算出方法
JP2013243271A (ja) * 2012-05-22 2013-12-05 Hitachi High-Technologies Corp ドライエッチング方法
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP6095528B2 (ja) * 2013-09-04 2017-03-15 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6243722B2 (ja) * 2013-12-10 2017-12-06 東京エレクトロン株式会社 エッチング処理方法
JP6438831B2 (ja) 2015-04-20 2018-12-19 東京エレクトロン株式会社 有機膜をエッチングする方法
JP6817692B2 (ja) 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
EP3182459A1 (en) * 2015-12-15 2017-06-21 IMEC vzw Method of producing a pre-patterned structure for growing vertical nanostructures
JP6606476B2 (ja) 2016-08-02 2019-11-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6820730B2 (ja) * 2016-12-02 2021-01-27 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10727058B2 (en) * 2018-08-20 2020-07-28 Applied Materials, Inc. Methods for forming and etching structures for patterning processes

Also Published As

Publication number Publication date
JP2023053351A (ja) 2023-04-12
JP7229750B2 (ja) 2023-02-28
US20210320011A1 (en) 2021-10-14
JP7418632B2 (ja) 2024-01-19
US11094551B2 (en) 2021-08-17
US20200194274A1 (en) 2020-06-18
KR20200074033A (ko) 2020-06-24
CN111326395A (zh) 2020-06-23
TWI822918B (zh) 2023-11-21
JP2020096142A (ja) 2020-06-18
US11557485B2 (en) 2023-01-17

Similar Documents

Publication Publication Date Title
TWI723049B (zh) 原子層次解析度與電漿處理控制的方法
US9911607B2 (en) Method of processing target object
US9607811B2 (en) Workpiece processing method
US8129282B2 (en) Plasma etching method and computer-readable storage medium
JP6723659B2 (ja) プラズマ処理方法及びプラズマ処理装置
US20090203218A1 (en) Plasma etching method and computer-readable storage medium
JP2007005377A (ja) プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体及びプラズマエッチング装置
KR101858324B1 (ko) 플라즈마 에칭 방법
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP6050944B2 (ja) プラズマエッチング方法及びプラズマ処理装置
JP2008078515A (ja) プラズマ処理方法
US11289339B2 (en) Plasma processing method and plasma processing apparatus
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
TW201403705A (zh) 半導體裝置之製造方法及電腦記錄媒體
US20090203219A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US20060292876A1 (en) Plasma etching method and apparatus, control program and computer-readable storage medium
TW201618156A (zh) 電漿處理裝置及電漿處理方法
US11610766B2 (en) Target object processing method and plasma processing apparatus
US7569478B2 (en) Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
JP2020088174A (ja) エッチング方法及び基板処理装置
US7883631B2 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
TWI822918B (zh) 電漿處理方法及電漿處理裝置
TW202018806A (zh) 蝕刻方法及基板處理裝置
JP2024017869A (ja) エッチング方法及び基板処理装置