KR102648956B1 - 플라즈마 처리 장치의 부품의 클리닝 방법 - Google Patents

플라즈마 처리 장치의 부품의 클리닝 방법 Download PDF

Info

Publication number
KR102648956B1
KR102648956B1 KR1020190004775A KR20190004775A KR102648956B1 KR 102648956 B1 KR102648956 B1 KR 102648956B1 KR 1020190004775 A KR1020190004775 A KR 1020190004775A KR 20190004775 A KR20190004775 A KR 20190004775A KR 102648956 B1 KR102648956 B1 KR 102648956B1
Authority
KR
South Korea
Prior art keywords
film
gas
space
plasma
compound
Prior art date
Application number
KR1020190004775A
Other languages
English (en)
Other versions
KR20190087322A (ko
Inventor
다카오 후나쿠보
류이치 아사코
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190087322A publication Critical patent/KR20190087322A/ko
Application granted granted Critical
Publication of KR102648956B1 publication Critical patent/KR102648956B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0006Controlling or regulating processes
    • B01J19/0013Controlling the temperature of the process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0064Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes
    • B08B7/0071Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes by heating
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02076Cleaning after the substrates have been singulated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00049Controlling or regulating processes
    • B01J2219/00051Controlling the temperature
    • B01J2219/00121Controlling the temperature by direct heating or cooling
    • B01J2219/00123Controlling the temperature by direct heating or cooling adding a temperature modifying medium to the reactants
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Electromagnetism (AREA)
  • Emergency Medicine (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 개시의 과제는 플라즈마 처리 장치의 부품의 클리닝 방법을 제공하는 것이다. 해결 수단으로서, 플라즈마 처리 장치의 부품의 표면은 챔버 내에 제공된 내부 공간을 형성하는 표면에 포함된다. 일 실시형태의 클리닝 방법에서는, 부품의 표면 상에 피막이 형성된다. 피막을 구성하는 화합물은 제 1 가스에 포함되는 제 1 화합물과 제 2 가스에 포함되는 제 2 화합물의 중합에 의해 형성된다. 제 1 화합물은 이소시아네이트이며, 제 2 화합물은 아민 또는 수산기를 갖는 화합물이다. 내부 공간 내에서 기판 처리가 실행된 후에, 기판 처리에 의해 피막 상에 형성된 퇴적물이 제거된다. 퇴적물의 제거를 위해서, 피막을 구성하는 화합물의 해중합을 일으키도록 부품이 가열된다.

Description

플라즈마 처리 장치의 부품의 클리닝 방법{METHOD FOR CLEANING COMPONENTS OF PLASMA PROCESSING APPARATUS}
본 개시의 실시형태는 플라즈마 처리 장치의 부품의 클리닝 방법에 관한 것이다.
전자 디바이스의 제조에서는, 기판 처리가 실행된다. 기판 처리는 플라즈마 처리 장치의 챔버 내의 내부 공간 내에서 실행된다. 기판 처리가 실행되면, 플라즈마 처리 장치의 부품의 표면 상에 퇴적물이 형성된다. 부품의 표면은 내부 공간을 형성하는 표면에 포함된다. 부품은, 챔버 자체, 챔버 내에 배치된 부품 등이다. 부품의 표면 상에 형성된 퇴적물은 제거되어야 한다.
특허문헌 1에는, 기판 처리에 의해 발생하는 퇴적물의 일종인 플루오로카본막을, 플라즈마 클리닝에 의해 제거하는 기술이 기재되어 있다. 플라즈마 클리닝에서는, 클리닝 가스의 플라즈마가 생성되며, 해당 플라즈마로부터의 이온 및/또는 라디칼과 같은 활성종에 의해 플루오로카본막이 제거된다.
일본 특허 공개 제 2013-175797 호 공보
퇴적물은 플라즈마 클리닝으로 제거하기 어려운 물질(예를 들면, 금속을 함유하는 물질)로 형성되어 있는 경우가 있다. 또한, 퇴적물은 클리닝 가스의 플라즈마가 도달하기 어려운 공간을 형성하는 표면 상에 형성되는 경우가 있다. 따라서, 플라즈마 클리닝과는 상이한 플라즈마 처리 장치의 부품의 클리닝 방법이 필요하게 되어 있다.
일 태양에 있어서는, 플라즈마 처리 장치의 부품의 클리닝 방법이 제공된다. 부품의 표면은 플라즈마 처리 장치의 챔버 내에 제공된 내부 공간을 형성하는 표면에 포함된다. 클리닝 방법은, (i) 부품의 표면 상에 피막을 형성하는 공정으로서, 내부 공간에 제 1 가스 및 제 2 가스가 공급되고, 제 1 가스에 포함되는 제 1 화합물과 제 2 가스에 포함되는 제 2 화합물의 중합에 의해, 피막을 구성하는 화합물이 형성되며, 제 1 화합물이 이소시아네이트이며, 제 2 화합물이 아민 또는 수산기를 갖는 화합물인, 상기 공정과, (ii) 내부 공간 내에서 기판 처리가 실행된 후에, 기판 처리에 의해 피막 상에 형성된 퇴적물을 제거하는 공정으로서, 피막을 구성하는 화합물의 해중합을 일으키도록 부품이 가열되는, 상기 공정을 포함한다.
일 태양에 따른 클리닝 방법에서는, 기판 처리가 실행되기 전에 부품의 표면 상에 피막이 형성된다. 피막을 구성하는 화합물은, 이소시아네이트와 아민의 중합 또는 이소시아네이트와 수산기를 갖는 화합물의 중합에 의해 생성된다. 이 클리닝 방법에서는, 기판 처리에 의해 부품의 표면 상에 피막을 거쳐서 형성된 퇴적물을 제거하기 위해서, 피막을 구성하는 화합물의 해중합이 일어나도록, 부품이 가열된다. 그 결과, 기판 처리에 의해 피막 상에 형성된 퇴적물이 피막과 함께 제거된다. 따라서, 이 클리닝 방법에 의하면, 플라즈마 클리닝과는 상이한 처리에 의해, 퇴적물을 제거하는 것이 가능해진다.
일 실시형태에 있어서, 내부 공간은, 그 내에서 기판 처리가 실행되는 제 1 공간 및 해당 제 1 공간과는 별도의 제 2 공간을 포함한다. 플라즈마 처리 장치는 격벽, 지지대, 가스 공급계 및 배기 장치를 더 구비한다. 격벽은 제 1 공간과 제 2 공간의 경계 상에서 연장된다. 격벽에는, 제 1 공간과 제 2 공간을 서로 연통시키는 복수의 관통 구멍이 형성되어 있다. 지지대는 제 1 공간 내에서 그 위에 탑재된 기판을 지지하도록 구성되어 있다. 가스 공급계는 제 1 공간에 접속되어 있다. 배기 장치는 제 2 공간에 접속되어 있다.
일 실시형태에 있어서, 내부 공간을 형성하는 표면은 제 1 공간을 형성하는 제 1 표면 및 제 2 공간을 형성하는 제 2 표면을 포함한다. 부품의 표면은 제 2 표면에 포함된다. 이 실시형태에 있어서, 클리닝 방법은, 부품의 표면 상에 피막을 형성하는 공정의 실행 후, 기판 처리의 실행 전에, 제 1 공간 내에서 생성된 가스의 플라즈마에 의해, 제 1 표면 상에서 연장되는 피막을 제거하는 공정을 더 포함한다. 제 1 공간을 형성하는 제 1 표면 상에 형성되는 퇴적물이 플라즈마 클리닝에 의해 제거 가능한 경우라도, 플라즈마로부터의 활성종이 도달하기 어려운 공간, 즉 제 2 공간을 형성하는 제 2 표면 상에 형성된 퇴적물은, 플라즈마 클리닝으로는 제거하기 어렵다. 이 실시형태에 의하면, 제 2 표면 상의 피막을 남기는 것에 의해, 제 2 표면 상에 피막을 거쳐서 형성된 퇴적물을, 플라즈마 클리닝에 의하지 않고 제거하는 것이 가능하다.
일 실시형태에 있어서, 부품의 표면 상에 피막을 형성하는 공정은 지지대 상에 보호 부재가 탑재된 상태에서 실행된다. 부품의 표면 상에 피막을 형성하는 공정의 실행 후, 보호 부재가 지지대 상으로부터 제거된 상태에서, 제 1 표면 상에서 연장되는 피막을 제거하는 공정이 실행된다.
일 실시형태에 있어서, 지지대는 하부 전극 및 정전 척을 갖는다. 하부 전극 내에는, 냉매용의 유로가 형성되어 있다. 정전 척은 하부 전극 상에 마련되어 있다. 정전 척은 그 위에 탑재된 기판을 제 1 공간 내에서 보지하도록 구성되어 있다. 정전 척 내에는 히터가 마련되어 있다. 이 실시형태에 있어서, 클리닝 방법은, 부품의 표면 상에 피막을 형성하는 공정의 실행 후, 정전 척의 표면 상에서 연장되는 피막의 해중합을 일으켜서 해당 피막을 제거하기 위해서, 히터를 발열시키는 공정을 더 포함한다.
퇴적물을 제거하는 공정의 일 실시형태에서는, 부품 내에 마련된 히터, 및 부품에 대하여 비접촉으로 해당 부품을 가열하는 비접촉 히터가 부품을 가열하는데 이용된다. 비접촉 히터는 내부 공간에 대하여 챔버의 외측에 마련되어 있어도 좋다.
일 실시형태에 있어서, 기판 처리는 성막 처리 및 에칭 중 적어도 하나를 포함한다. 기판 처리는 화학 기상 성장법(CVD법)에 의한 성막 처리, 플라즈마 강화 CVD법(Plasma Enhanced CVD법, PECVD법)에 의한 성막 처리, 원자층 퇴적법(ALD법)에 의한 성막 처리, 또는 플라즈마 강화 ALD법(Plasma Enhanced ALD법, PEALD법)에 의한 성막 처리를 포함하고 있어도 좋다.
일 실시형태에 있어서, 기판 처리는 상기 성막 처리와, 상기 성막 처리 후에 실행되는 에칭인 플라즈마 에칭을 포함하고 있어도 좋다. 일 실시형태에 있어서, 기판 처리에서 처리되는 기판은 하지막 및 마스크를 갖는다. 마스크는 하지막 상에 마련되어 있으며, 개구를 제공하고 있다. 성막 처리는 원자층 퇴적법에 의한 성막 처리이다. 성막 처리는, 내부 공간에 전구체 가스를 공급하는 공정과, 내부 공간의 퍼지를 실행하는 공정과, 내부 공간에, 전구체 가스에 포함되는 전구체와 반응하는 반응성 가스를 공급하는 공정과, 내부 공간의 퍼지를 실행하는 공정을 포함한다. 성막 처리에 의해 기판 상에 형성되는 막은 제 1 영역 및 제 2 영역을 포함한다. 제 1 영역은 개구를 형성하는 마스크의 측벽면을 따라서 연장되며, 제 2 영역은 하지막 상에서 연장된다. 플라즈마 에칭은, 제 1 영역을 남기고 제 2 영역을 제거하기 위해서 실행된다. 이 실시형태에 의하면, 마스크의 개구의 폭이 조정된다. 반응성 가스를 공급하는 공정의 일 실시형태에서는, 반응성 가스의 플라즈마가 내부 공간 내에서 생성된다.
이상 설명한 바와 같이, 플라즈마 클리닝과는 상이한 플라즈마 처리 장치의 부품의 클리닝 방법이 제공된다.
도 1은 일 실시형태에 따른 클리닝 방법을 나타내는 흐름도이다.
도 2는 도 1에 나타내는 클리닝 방법을 적용 가능한 플라즈마 처리 장치의 일 예를 개략적으로 도시하는 도면이다.
도 3은 도 1에 나타내는 클리닝 방법의 공정(ST1)의 실행 후의 플라즈마 처리 장치의 상태를 도시하는 도면이다.
도 4는 도 1에 나타내는 클리닝 방법의 공정(SP)의 실행 후의 플라즈마 처리 장치의 상태를 도시하는 도면이다.
도 5는 도 1에 나타내는 클리닝 방법의 공정(ST2)의 실행 후의 플라즈마 처리 장치의 상태를 도시하는 도면이다.
도 6은 도 1에 나타내는 클리닝 방법의 공정(STa)의 실행 후의 플라즈마 처리 장치의 상태를 도시하는 도면이다.
도 7은 도 1에 나타내는 클리닝 방법의 공정(STb)의 실행 후의 플라즈마 처리 장치의 상태를 도시하는 도면이다.
도 8은 도 1에 나타내는 클리닝 방법의 공정(SP)의 실행 후의 플라즈마 처리 장치의 상태를 도시하는 도면이다.
도 9는 도 1에 나타내는 클리닝 방법의 공정(STc)의 실행 후의 플라즈마 처리 장치의 상태를 도시하는 도면이다.
도 10은 도 1에 나타내는 클리닝 방법에 있어서의 공정(SP)의 기판 처리의 일 예를 나타내는 흐름도이다.
도 11은 도 10에 나타내는 공정(SP)의 기판 처리의 일 예를 적용 가능한 일 예의 기판의 일부 확대 단면도이다.
도 12의 (a), 도 12의 (b), 도 12의 (c) 및 도 12의 (d)는 공정(SP)의 기판 처리의 일 예의 실행 중에 얻어지는 기판의 일부 확대 단면도이고, 도 12의 (e)는 공정(SP)의 기판 처리의 일 예의 실행 후의 상태의 기판의 일부 확대 단면도이다.
도 13은 도 10에 나타내는 공정(STR)의 일 실시형태를 나타내는 흐름도이다.
도 14의 (a)는 공정(STR)에 있어서의 성막 처리 후의 상태의 기판의 일부 확대 단면도이며, 도 14의 (b)는 공정(STR)에 있어서의 막의 에칭 후의 상태의 기판의 일부 확대 단면도이다.
이하, 도면을 참조하여 여러 가지의 실시형태에 대하여 상세하게 설명한다. 또한, 각 도면에서 동일 또는 상당의 부분에 대해서는 동일한 부호를 부여하는 것으로 한다.
도 1은 일 실시형태에 따른 클리닝 방법을 나타내는 흐름도이다. 도 1에 나타내는 클리닝 방법(이하, 「방법(MT)」이라 함)은 플라즈마 처리 장치의 부품의 클리닝을 위해서 실행된다. 도 2는 도 1에 나타내는 클리닝 방법을 적용 가능한 플라즈마 처리 장치의 일 예를 개략적으로 도시하는 도면이다. 도 2에 도시하는 플라즈마 처리 장치(10)는 용량 결합형의 플라즈마 처리 장치이다.
플라즈마 처리 장치(10)는 챔버(11)를 구비하고 있다. 챔버(11) 내에는 내부 공간(S)이 제공되어 있다. 내부 공간(S)은 제 1 공간(S1) 및 제 2 공간(S2)을 포함하고 있다. 챔버(11)는 챔버 본체(12)를 포함하고 있다. 챔버 본체(12)는 대략 원통 형상을 갖고 있다. 챔버 본체(12) 내에는, 내부 공간(S)이 제공되어 있다. 챔버 본체(12)는, 예를 들어 알루미늄으로 구성되어 있다. 챔버 본체(12)는 접지 전위에 접속되어 있다. 챔버 본체(12)의 내벽면, 즉 내부 공간(S)을 형성하는 챔버 본체(12)의 표면에는, 내부식성을 갖는 막이 형성되어 있다. 이 막은, 양극 산화 처리에 의해 형성된 막, 또는 산화이트륨으로 형성된 막과 같은 세라믹제의 막일 수 있다. 챔버 본체(12) 내, 예를 들어 챔버 본체(12)의 측벽 내에는, 히터(HT12)가 마련되어 있어도 좋다. 히터(HT12)는 히터 컨트롤러(HC12)로부터 해당 히터(HT12)에 전력이 부여되면, 발열한다.
챔버 본체(12)의 측벽에는 통로(12p)가 형성되어 있다. 기판(W)은 내부 공간(S)과 챔버(11)의 외부 사이에서 반송될 때, 통로(12p)를 통과한다. 통로(12p)는 게이트 밸브(12g)에 의해 개폐 가능하다. 게이트 밸브(12g)는 챔버 본체(12)의 측벽을 따라서 마련되어 있다.
내부 공간(S) 내에는, 격벽(14)이 마련되어 있다. 격벽(14)은 제 1 공간(S1)과 제 2 공간(S2)의 경계 상에서 연장되어 있다. 격벽(14)에는, 제 1 공간(S1)과 제 2 공간(S2)을 서로 연통시키도록, 복수의 관통 구멍이 형성되어 있다. 격벽(14)은 알루미늄과 같은 재료로 형성된 모재의 표면에 내부식성을 갖는 막을 형성하는 것에 의해 구성될 수 있다. 내부식성을 갖는 막은, 양극 산화 처리에 의해 형성된 막, 또는 산화이트륨으로 형성된 막과 같은 세라믹제의 막일 수 있다. 격벽(14) 내에는, 히터(HT14)가 마련되어 있어도 좋다. 히터(HT14)는 히터 컨트롤러(HC14)로부터 해당 히터(HT14)에 전력이 부여되면, 발열한다.
일 실시형태에 있어서, 격벽(14)은 실드부(14a) 및 배플 플레이트(14b)를 포함하고 있다. 실드부(14a)는 대략 통 형상을 갖고 있다. 실드부(14a)는 내부 공간(S) 내에서 챔버 본체(12)의 측벽을 따라서 연직 방향으로 연장되어 있다. 실드부(14a)는 챔버 본체(12)의 측벽으로부터 이격되어 있다. 실드부(14a)의 상단은 챔버(11)의 상부까지 연장되어 있으며, 해당 상부에 고정되어 있다. 플라즈마 처리 장치(10)에서는, 제 1 공간(S1) 내에서 기판 처리가 실행된다. 기판 처리에서는 반응 생성물과 같은 부생성물이 발생한다. 실드부(14a)에 의해, 챔버 본체(12)의 표면에 부착되는 부생성물의 양이 저감된다.
배플 플레이트(14b)는 실드부(14a)에 교차하는 방향으로 연장되어 있다. 배플 플레이트(14b)는 실드부(14a)와 후술하는 지지대 사이에서 연장되어 있다. 격벽(14)의 상술한 복수의 관통 구멍은 배플 플레이트(14b)에 형성되어 있다. 또한, 실드부(14a) 및 배플 플레이트(14b)는 일체로 형성되어 있어도 좋고, 서로로부터 분리 가능하여도 좋다.
내부 공간(S) 내에서는, 지지부(15)가 챔버 본체(12)의 저부로부터 상방으로 연장되어 있다. 지지부(15)는 대략 원통 형상을 갖고 있으며, 석영과 같은 절연 재료로 형성되어 있다. 지지부(15) 상에는 지지대(16)가 탑재되어 있다. 지지대(16)는 지지부(15)에 의해 지지되어 있다. 지지대(16)는 제 1 공간(S1) 내에서 기판(W)을 지지하도록 구성되어 있다. 지지대(16)는 하부 전극(18) 및 정전 척(20)을 포함하고 있다. 지지대(16)는 전극 플레이트(21)를 더 포함하고 있어도 좋다. 전극 플레이트(21)는 알루미늄과 같은 도전성 재료로 형성되어 있으며, 대략 원반 형상을 갖고 있다. 하부 전극(18)은 전극 플레이트(21) 상에 마련되어 있다. 하부 전극(18)은 알루미늄과 같은 도전성 재료로 형성되어 있으며, 대략 원반 형상을 갖고 있다. 하부 전극(18)은 전극 플레이트(21)에 전기적으로 접속되어 있다.
하부 전극(18) 내에는, 유로(18f)가 마련되어 있다. 유로(18f)는 열교환 매체용의 유로이다. 열교환 매체로서는, 예를 들어 액상의 냉매, 또는 그 기화에 의해 하부 전극(18)을 냉각하는 냉매(예를 들면, 프레온)가 이용된다. 유로(18f)에는, 챔버 본체(12)의 외부에 마련된 칠러 유닛으로부터 배관(22a)을 거쳐서 열교환 매체가 공급된다. 유로(18f)에 공급된 열교환 매체는 배관(22b)을 거쳐서 칠러 유닛으로 되돌려진다. 즉, 열교환 매체는 유로(18f)와 칠러 유닛 사이에서 순환하도록 공급된다.
정전 척(20)은 하부 전극(18) 상에 마련되어 있다. 정전 척(20)은 본체 및 전극을 갖고 있다. 정전 척(20)의 본체는 유전체로 형성되어 있으며, 대략 원반 형상을 갖고 있다. 정전 척(20)의 전극은 막 형상의 전극이며, 정전 척(20)의 본체 내에 마련되어 있다. 정전 척(20)의 전극에는, 직류 전원(23)이 스위치(24)를 거쳐서 전기적으로 접속되어 있다. 직류 전원(23)으로부터 정전 척(20)의 전극에 전압이 인가되면, 정전 척(20) 상에 탑재된 기판(W)과 정전 척(20) 사이에서 정전 인력(引力)이 발생한다. 발생한 정전 인력에 의해, 기판(W)은 정전 척(20)으로 끌어당겨지고, 해당 정전 척(20)에 의해 보지된다.
플라즈마 처리 장치(10)에는, 가스 공급 라인(25)이 마련되어 있다. 가스 공급 라인(25)은, 가스 공급 기구로부터의 전열 가스, 예를 들어 He 가스를, 정전 척(20)의 상면과 기판(W)의 이면(하면) 사이에 공급한다.
정전 척(20) 내에는, 1개 이상의 히터(HT20)(예를 들면, 저항 발열체)가 마련되어 있어도 좋다. 1개 이상의 히터(HT20)에는, 히터 컨트롤러(HC20)로부터 전력이 부여된다. 1개 이상의 히터(HT20)와 히터 컨트롤러(HC20) 사이에는, 히터 컨트롤러(HC20)에 고주파가 유입되는 것을 방지하기 위해서, 고주파 필터(FT20)가 마련되어 있어도 좋다. 복수의 히터(HT20)가 정전 척(20) 내에 마련되어 있는 경우에는, 히터 컨트롤러(HC20)로부터 복수의 히터(HT20)에 부여되는 전력을 조정하는 것에 의해, 정전 척(20)의 복수의 영역의 각각의 온도를 개별적으로 조정하여, 정전 척(20)의 면내(즉, 기판(W)의 면내)의 온도 분포를 조정하는 것이 가능하다.
정전 척(20)의 외주 영역 상에는, 포커스 링(FR)이 배치된다. 포커스 링(FR)은 대략 환상 판 형상을 갖고 있다. 포커스 링(FR)은 실리콘, 석영, 또는 탄화실리콘과 같은 실리콘 함유 재료로 형성되어 있다. 포커스 링(FR)은 기판(W)의 에지를 둘러싸도록 배치된다.
챔버 본체(12)의 저부로부터는, 통 형상부(26)가 상방으로 연장되어 있다. 통 형상부(26)는 지지부(15)의 외주를 따라서 연장되어 있다. 통 형상부(26)는 도전성 재료로 형성되어 있으며, 대략 원통 형상을 갖고 있다. 통 형상부(26)는 접지 전위에 접속되어 있다. 통 형상부(26)의 표면은 내부식성을 갖는 막으로 형성되어 있어도 좋다. 내부식성을 갖는 막은, 양극 산화 처리에 의해 형성된 막, 또는 산화이트륨으로 형성된 막과 같은 세라믹제의 막일 수 있다.
통 형상부(26) 상에는, 절연부(28)가 마련되어 있다. 절연부(28)는 절연성을 갖고, 석영과 같은 세라믹으로 형성되어 있다. 절연부(28)는, 대략 원통 형상을 갖고 있으며, 전극 플레이트(21)의 외주, 하부 전극(18)의 외주, 및 정전 척(20)의 외주를 따라서 연장되어 있다. 또한, 상술한 배플 플레이트(14b)의 연부는, 통 형상부(26)와 절연부(28) 사이에 마련되며, 통 형상부(26)와 절연부(28)에 의해 협지되어 있어도 좋다.
지지부(15), 지지대(16), 통 형상부(26) 및 절연부(28)는 지지 어셈블리(SA)를 구성하고 있다. 지지 어셈블리(SA)는 제 1 공간(S1)으로부터 제 2 공간(S2)에 걸쳐서 연장되어 있다.
플라즈마 처리 장치(10)는 상부 전극(30)을 더 구비하고 있다. 상부 전극(30)은 지지대(16)의 상방에 마련되어 있다. 상부 전극(30)은 부재(32)와 함께 챔버 본체(12)의 상부 개구를 폐쇄하고 있다. 부재(32)는 절연성을 갖고 있다. 상부 전극(30)은 이 부재(32)를 거쳐서 챔버 본체(12)의 상부에 지지되어 있다.
상부 전극(30)은 천장판(34) 및 지지체(36)를 포함하고 있다. 천장판(34)의 하면은 내부 공간(S)(또는 제 1 공간(S1))을 형성하고 있다. 천장판(34)에는, 복수의 가스 토출 구멍(34a)이 마련되어 있다. 복수의 가스 토출 구멍(34a)의 각각은 천장판(34)을 판 두께 방향(연직 방향)으로 관통하고 있다. 이 천장판(34)은, 한정되는 것은 아니지만, 예를 들어 실리콘으로 형성되어 있다. 혹은, 천장판(34)은 알루미늄제의 모재의 표면에 내부식성을 갖는 막을 마련한 구조를 가질 수 있다. 이 막은, 양극 산화 처리에 의해 형성된 막, 또는 산화이트륨으로 형성된 막과 같은 세라믹제의 막일 수 있다.
지지체(36)는 천장판(34)을 착탈 가능하게 지지하는 부품이다. 지지체(36)는, 예를 들어 알루미늄과 같은 도전성 재료로 형성될 수 있다. 상부 전극(30) 내, 예를 들어 지지체(36) 내에는, 히터(HT36)가 마련되어 있어도 좋다. 히터(HT36)는 히터 컨트롤러(HC36)로부터 해당 히터(HT36)에 전력이 부여되면, 발열한다. 히터(HT36)와 히터 컨트롤러(HC36) 사이에는, 히터 컨트롤러(HC36)에 고주파가 유입되는 것을 방지하기 위해서, 고주파 필터(FT36)가 마련되어 있어도 좋다.
지지체(36)의 내부에는, 가스 확산실(36a)이 마련되어 있다. 가스 확산실(36a)로부터는, 복수의 가스 구멍(36b)이 하방으로 연장되어 있다. 복수의 가스 구멍(36b)은 복수의 가스 토출 구멍(34a)에 각각 연통되어 있다. 지지체(36)에는, 가스 확산실(36a)에 가스를 인도하는 가스 도입구(36c)가 형성되어 있으며, 이 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다.
가스 공급관(38)에는, 가스 공급부(40)가 접속되어 있다. 가스 공급부(40)는 후술하는 가스 공급부(42)와 함께, 가스 공급계를 구성하고 있다. 가스 공급계는 제 1 공간(S1)에 접속되어 있다. 가스 공급부(40)는 가스 소스군(40s), 밸브군(40a), 유량 제어기군(40b) 및 밸브군(40c)을 갖고 있다. 가스 소스군(40s)은 복수의 가스 소스를 포함하고 있다. 복수의 가스 소스는 방법(MT)에서 이용되는 복수의 가스 소스를 포함하고 있다. 또한, 가스 소스군(40s)의 복수의 가스 소스는, 후술하는 피막을 형성하기 위한 제 1 가스 및 제 2 가스 중 한쪽 가스의 소스를 포함하고 있다. 밸브군(40a) 및 밸브군(40c)의 각각은 복수의 밸브를 포함하고 있다. 유량 제어기군(40b)은 복수의 유량 제어기를 포함하고 있다. 유량 제어기군(40b)의 복수의 유량 제어기의 각각은 매스 플로우 컨트롤러 또는 압력 제어식의 유량 제어기이다. 가스 소스군(40s)의 복수의 가스 소스의 각각은 밸브군(40a)의 대응의 밸브, 유량 제어기군(40b)의 대응의 유량 제어기, 및 밸브군(40c)의 대응의 밸브를 거쳐서, 가스 공급관(38)에 접속되어 있다. 가스 공급부(40)로부터의 가스는 가스 공급관(38), 가스 확산실(36a), 복수의 가스 구멍(36b) 및 복수의 가스 토출 구멍(34a)을 거쳐서, 제 1 공간(S1)에 공급된다.
플라즈마 처리 장치(10)는 가스 공급부(42)를 더 구비하고 있다. 가스 공급부(42)는 가스 소스(42s), 밸브(42a), 유량 제어기(42b) 및 밸브(42c)를 갖고 있다. 가스 소스(42s)는 제 1 가스 및 제 2 가스 중 다른쪽 가스의 소스이다. 유량 제어기(42b)는 매스 플로우 컨트롤러 또는 압력 제어식의 유량 제어기이다. 가스 소스(42s)는 밸브(42a), 유량 제어기(42b) 및 밸브(42c)를 거쳐서, 제 1 공간(S1)에 접속되어 있다. 가스 공급부(42)로부터의 가스는 제 1 공간(S1)에 공급된다.
플라즈마 처리 장치(10)의 챔버 본체(12)의 저부에는, 배기관(50)이 접속되어 있다. 배기관(50)에는, 배기 장치(52)가 접속되어 있다. 배기 장치(52)는 배기관(50)을 거쳐서 제 2 공간(S2)에 접속되어 있다. 또한, 배기 장치(52)는 제 2 공간(S2) 및 격벽(14)의 복수의 관통 구멍을 거쳐서 제 1 공간(S1)에 접속되어 있다. 배기 장치(52)는 압력 조정 밸브 및 감압 펌프를 포함하고 있다. 감압 펌프는 압력 조정 밸브를 거쳐서 제 2 공간(S2)에 접속되어 있다. 감압 펌프는 터보 분자 펌프 및/또는 드라이 펌프일 수 있다.
플라즈마 처리 장치(10)는 제 1 공간(S1)에 공급되는 가스의 플라즈마를 제 1 공간(S1) 내에서 생성하는 것이 가능하다. 플라즈마 처리 장치(10)는 제 1 고주파 전원(61)을 더 구비하고 있다. 제 1 고주파 전원(61)은 플라즈마 생성용의 제 1 고주파를 발생하는 전원이다. 제 1 고주파는, 예를 들어 27MHz 내지 100MHz의 범위 내의 주파수를 갖는다. 제 1 고주파 전원(61)은 정합기(63)를 거쳐서 상부 전극(30)에 접속되어 있다. 정합기(63)는 제 1 고주파 전원(61)의 출력 임피던스와 부하측(상부 전극(30)측)의 임피던스를 정합시키기 위한 매칭 회로를 갖고 있다. 또한, 제 1 고주파 전원(61)은 정합기(63)를 거쳐서 하부 전극(18)에 접속되어 있어도 좋다. 이러한 경우에는, 상부 전극(30)은 전기적으로 접지된다.
플라즈마 처리 장치(10)는 제 2 고주파 전원(62)을 더 구비할 수 있다. 제 2 고주파 전원(62)은 기판(W)에 이온을 인입하기 위한 바이어스용의 제 2 고주파를 발생하는 전원이다. 제 2 고주파의 주파수는 제 1 고주파의 주파수보다 낮다. 제 2 고주파의 주파수는, 예를 들어 400kHz 내지 13.56MHz의 범위 내의 주파수이다. 제 2 고주파 전원(62)은, 정합기(64)를 거쳐서 하부 전극(18)에 접속되어 있다. 정합기(64)는, 제 2 고주파 전원(62)의 출력 임피던스와 부하측(하부 전극(18)측)의 임피던스를 정합시키기 위한 매칭 회로를 갖고 있다.
플라즈마 처리 장치(10)에서는, 제 1 공간(S1)에 가스가 공급되어 있는 상태에서 제 1 고주파가 공급되면, 해당 가스가 여기되고, 제 1 공간(S1) 내에서 플라즈마가 생성된다. 또한, 제 2 고주파가 하부 전극(18)에 공급되면, 플라즈마 내의 이온이 기판(W)을 향하여 가속된다.
플라즈마 처리 장치(10)는 직류 전원(70)을 더 구비하고 있다. 직류 전원(70)은 상부 전극(30)에 접속되어 있다. 직류 전원(70)은 마이너스 극성의 직류 전압을 상부 전극(30)에 인가하도록 구성되어 있다. 상부 전극(30)에 마이너스 극성의 직류 전압이 인가되면, 제 1 공간(S1) 내에서 생성된 플라즈마 내의 양이온이 상부 전극(30)의 천장판(34)에 충돌한다. 양이온이 천장판(34)에 충돌하면, 천장판(34)으로부터 2차 전자가 방출된다. 천장판(34)이 실리콘으로 형성되어 있는 경우에는, 양이온이 천장판(34)에 충돌하면, 천장판(34)으로부터 실리콘이 방출될 수 있다.
플라즈마 처리 장치(10)에서는, 내부 공간(S)을 형성하는 표면이 제 1 표면(F1) 및 제 2 표면(F2)을 포함하고 있다. 제 1 표면(F1)은, 제 1 공간(S1)을 형성하는 표면이며, 격벽(14)의 표면의 일부(14e) 및 지지 어셈블리(SA)의 표면의 일부(SAe)를 포함하고 있다. 도 2에 도시하는 예에서는, 지지 어셈블리(SA)의 표면의 일부(SAe)는 절연부(28)에 의해 제공되어 있다. 제 1 표면(F1)은 부재(32)의 표면의 일부(32e) 및 상부 전극(30)의 표면의 일부(30e)도 포함하고 있다. 도 2에 도시하는 예에서는, 상부 전극(30)의 표면의 일부(30e)는 천장판(34)의 하면이다.
제 2 표면(F2)은, 제 2 공간(S2)을 형성하는 표면이며, 챔버 본체(12)의 표면의 일부(12f) 및 격벽(14)의 표면의 다른 일부(14f)를 포함하고 있다. 도 2에 도시하는 예에서는, 챔버 본체(12)의 표면의 일부(12f)는 챔버 본체(12)의 측벽 및 저부에 의해 제공되어 있다. 또한, 제 2 표면(F2)은 지지 어셈블리(SA)의 표면의 일부(SAf)도 포함하고 있다. 도 2에 도시하는 예에서는, 지지 어셈블리(SA)의 표면의 일부(SAf)는 통 형상부(26)에 의해 제공되어 있다.
플라즈마 처리 장치(10)는 히터(HT72)를 더 구비하고 있어도 좋다. 히터(HT72)는 챔버(11)의 외부에 마련되어 있다. 히터(HT72)에는, 히터 컨트롤러(HC72)로부터 전력이 부여된다. 히터 컨트롤러(HC72)로부터 히터(HT72)에 전력이 부여되면, 히터(HT72)는 발열한다. 플라즈마 처리 장치(10)는 히터(HT74)를 더 구비하고 있어도 좋다. 히터(HT74)는 플라즈마 처리 장치(10)의 부품을 해당 부품에 대하여 비접촉으로 가열하는 비접촉 히터이다. 히터(HT74)는, 예를 들어 램프 히터이다. 히터(HT74)는 내부 공간(S), 예를 들어 제 2 공간(S2) 내에 마련된다. 히터(HT74)는, 히터 컨트롤러(HC)로부터 해당 히터(HT74)에 전력이 부여되면, 발열하고, 플라즈마 처리 장치(10)의 부품을 비접촉으로 가열한다.
일 실시형태에서는, 플라즈마 처리 장치(10)는 제어부(80)를 더 구비할 수 있다. 제어부(80)는 플라즈마 처리 장치(10)의 각부를 제어하도록 구성되어 있다. 제어부(80)는 프로세서, 메모리와 같은 기억 장치, 입력 장치, 표시 장치 등을 구비하는 컴퓨터일 수 있다. 제어부(80)는 기억 장치에 기억되어 있는 제어 프로그램을 실행하고, 해당 기억 장치에 기억되어 있는 레시피 데이터에 근거하여 플라즈마 처리 장치(10)의 각부를 제어한다. 이에 의해, 플라즈마 처리 장치(10)는 레시피 데이터에 의해 지정된 프로세스를 실행한다. 예를 들면, 제어부(80)는 방법(MT)의 실행에 있어서 플라즈마 처리 장치(10)의 각부를 제어한다.
다시 도 1을 참조하면서, 방법(MT)에 대하여 설명한다. 이하에서는, 플라즈마 처리 장치(10)에 방법(MT)이 적용되는 경우를 예로 하여, 방법(MT)에 대해 설명한다. 방법(MT)은 공정(ST1) 및 공정(ST2)을 포함하고 있다. 공정(ST1)에서는, 플라즈마 처리 장치(10)의 부품의 표면 상에 피막이 형성된다. 공정(ST1)은, 공정(SP)의 기판 처리 전에 실행된다. 공정(ST2)은 공정(SP)의 기판 처리 후에 실행된다. 공정(ST2)에서는, 기판 처리에 의해 형성된 퇴적물이 피막과 함께 제거된다. 방법(MT)은 공정(STa), 공정(STb), 및 공정(STc) 중 적어도 1개의 공정을 더 포함하고 있어도 좋다. 이하의 설명에서는, 우선, 공정(ST1), 공정(SP) 및 공정(ST2)을 포함하며, 공정(STa)에서 제 1 표면(F1) 상의 피막이 제거되지 않고, 공정(STc)이 실행되지 않는 경우의 방법(MT)에 대하여 기술한다. 이하의 설명에서는, 도 1과 함께, 도 3 내지 도 5를 참조한다. 도 3 내지 도 5는 공정(ST1), 공정(SP), 공정(ST2)의 각각의 실행 후의 플라즈마 처리 장치의 상태를 도시하고 있다.
방법(MT)은 공정(ST1)에서 개시한다. 공정(ST1)에서는, 도 3에 도시하는 바와 같이, 플라즈마 처리 장치(10)의 부품의 표면, 즉 내부 공간(S)을 형성하는 표면 상에 피막(CF)이 형성된다. 내부 공간(S)을 형성하는 표면은 제 1 표면(F1) 및 제 2 표면(F2)을 포함한다. 부품은 챔버(11)를 포함한다. 챔버(11)는 챔버 본체(12), 상부 전극(30)(예를 들면, 천장판(34)) 및 부재(32)를 포함한다. 또한, 부품은 챔버(11) 내에 배치된 부품을 포함한다. 챔버(11) 내에 배치된 부품은 격벽(14), 정전 척(20), 통 형상부(26), 절연부(28) 및 포커스 링(FR)을 포함한다.
피막(CF)은, 지지대(16) 상(정전 척(20) 상)에 보호 부재(예를 들면, 더미 기판(DW))가 탑재되어 있는 상태에서, 형성되어도 좋다. 혹은, 피막(CF)은, 지지대(16) 상(정전 척(20) 상)에 물체가 탑재되어 있지 않은 상태에서, 형성되어도 좋다. 피막(CF)을 형성하기 위해서, 가스 공급부(40)로부터 제 1 화합물(즉, 그 증기)을 포함하는 제 1 가스가 내부 공간(S)에 공급되고, 가스 공급부(42)로부터 제 2 화합물(즉, 그 증기)을 포함하는 제 2 가스가 내부 공간(S)에 공급된다. 또한, 제 1 가스가 가스 공급부(42)로부터 공급되고, 제 2 가스가 가스 공급부(40)로부터 공급되어도 좋다.
제 1 화합물은 원료 모노머이며, 이소시아네이트이다. 제 2 화합물은 원료 모노머이며, 아민 또는 수산기를 갖는 화합물이다. 공정(ST1)에서는, 내부 공간(S) 내에서, 제 1 화합물과 제 2 화합물의 중합을 일으킨다. 제 1 화합물과 제 2 화합물의 중합에 의해, 피막(CF)을 구성하는 화합물이 형성된다. 제 2 화합물이 아민인 경우에는, 피막(CF)을 구성하는 화합물은 요소 결합을 갖는 중합체 또는 올리고머일 수 있다. 제 2 화합물이 수산기를 갖는 화합물인 경우에는, 피막(CF)을 구성하는 화합물은 우레탄 결합을 갖는 중합체 또는 올리고머일 수 있다. 제 1 화합물과 제 2 화합물의 중합은 피막(CF)을 구성하는 화합물의 해중합이 발생하는 온도보다 낮은 온도에서 발생한다. 제 1 화합물과 제 2 화합물의 중합은, 예를 들어 0℃ 이상, 150℃ 이하의 온도 범위 내에서 발생한다. 공정(ST1)에서는, 내부 공간(S) 내의 온도를 이러한 온도로 설정하기 위해서, 필요에 따라서 히터에 의한 가열이 실행될 수 있다. 공정(ST1)의 가열에 이용되는 히터는 히터(HT12), 히터(HT14), 히터(HT20), 히터(HT36), 히터(HT72), 및 히터(HT74) 중 1개 이상의 히터이다.
이하, 제 1 화합물, 제 2 화합물, 및 피막(CF)을 구성하는 화합물을 예시한다. 제 1 화합물로서는, 이하의 식 (1)에 나타내는 일관능성 이소시아네이트 또는 식 (2)에 나타내는 이관능성 이소시아네이트가 예시된다. 식 (1) 및 식 (2)에 있어서, R은, 알킬기(직쇄상 알킬기 혹은 환상 알킬기) 등의 포화 탄화수소기, 아릴기 등의 불포화 탄화수소기, 또는 N, O, S, F 혹은 Si 등의 헤테로 원자를 포함하는 기이다. 헤테로 원자를 포함하는 기는 그 일부의 원소가 N, O, S, F 혹은 Si 등으로 치환된 포화 탄화수소기 또는 불포화 탄화수소기를 포함한다. 식 (1) 및 식 (2)에서 R로 나타내는 원자단 내에 포함되는 C-H 결합에 있어서의 수소는 다른 치환기에 의해 치환되어도 좋다. 제 1 화합물인 이소시아네이트로서는, 예를 들어 지방족 화합물 또는 방향족 화합물을 이용할 수 있다. 지방족 화합물로서는, 지방족쇄식 화합물 또는 지방족환식 화합물을 이용할 수 있다. 지방족 화합물로서는, 예를 들어 헥사메틸렌디이소시아네이트를 들 수 있다. 또한, 지방족환식 화합물로서는, 예를 들어 1,3-비스(이소시아네이트 메틸)시클로헥산(H6XDI)을 들 수 있다.
[화학식 1]
[화학식 2]
또한, 제 2 화합물로서는, 이하의 식 (3)에 나타내는 일관능성 아민 또는 식 (4)에 나타내는 이관능성 아민이 예시된다. 식 (3) 및 식 (4)에 있어서, R은, 알킬기(직쇄상 알킬기 혹은 환상 알킬기) 등의 포화 탄화수소기, 아릴기 등의 불포화 탄화수소기, 또는 N, O, S, F 혹은 Si 등의 헤테로 원자를 포함하는 기이다. 헤테로 원자를 포함하는 기는 그 일부의 원소가 N, O, S, F 혹은 Si 등으로 치환된 포화 탄화수소기 또는 불포화 탄화수소기를 포함한다. 식 (3) 및 식 (4)에서 R로 나타내는 원자단 내에 포함되는 C-H 결합에 있어서의 수소는 다른 치환기에 의해 치환되어도 좋다. 또한, 식 (1) 또는 식 (2)에서 R로 나타내는 원자단으로서 공정(ST1)에서 중합되는 제 1 화합물의 해당 원자단은, 식 (3) 또는 식 (4)에서 R로 나타내는 원자단으로서 공정(ST1)에서 중합되는 제 2 화합물의 해당 원자단과 동일하여도 좋고, 상이하여도 좋다. 제 2 화합물인 아민으로서는, 예를 들어 지방족 화합물 또는 방향족 화합물을 이용할 수 있다. 지방족 화합물로서는, 지방족쇄식 화합물 또는 지방족환식 화합물을 이용할 수 있다. 지방족 화합물로서는, 예를 들어 1,12-디아미노도데칸(DAD)을 들 수 있다. 지방족환식 화합물로서는, 1,3-비스(아미노메틸)시클로헥산(H6XDA)을 들 수 있다. 또한, 제 2 화합물인 아민은 2급 아민이어도 좋다.
[화학식 3]
[화학식 4]
또한, 제 2 화합물로서는, 이하의 식 (5)에 나타내는 수산기를 갖는 일관능성 화합물 또는 식 (6)에 나타내는 수산기를 갖는 이관능성 화합물이 예시된다. 식 (5) 및 식 (6)에 있어서, R은, 알킬기(직쇄상 알킬기 혹은 환상 알킬기) 등의 포화 탄화수소기, 아릴기 등의 불포화 탄화수소기, 또는 N, O, S, F 혹은 Si 등의 헤테로 원자를 포함하는 기이다. 헤테로 원자를 포함하는 기는 그 일부의 원소가 N, O, S, F 혹은 Si 등으로 치환된 포화 탄화수소기 또는 불포화 탄화수소기를 포함한다. 식 (5) 및 식 (6)에서 R로 나타내는 원자단 내에 포함되는 C-H 결합에 있어서의 수소는 다른 치환기에 의해 치환되어도 좋다. 또한, 식 (1) 또는 식 (2)에서 R로 나타내는 원자단으로서 공정(ST1)에서 중합하는 제 1 화합물의 해당 원자단은, 식 (5) 또는 식 (6)에서 R로 나타내는 원자단으로서 공정(ST1)에서 중합하는 제 2 화합물의 해당 원자단과 동일하여도 좋고, 상이하여도 좋다. 수산기를 갖는 화합물은 알코올 또는 페놀이다. 제 2 화합물인 알코올로서는, 예를 들어 디에틸렌글리콜, 1,2-시클로헥산디올을 들 수 있다. 또한, 제 2 화합물인 페놀로서는, 예를 들어 히드로퀴논, 1,2,4-트리히드록시 벤젠을 들 수 있다.
[화학식 5]
[화학식 6]
피막(CF)을 구성하는 화합물로서는, 이하의 식 (7) 내지 식 (10)에 나타내는 요소 결합을 갖는 화합물을 들 수 있다. 식 (7)에 나타내는 화합물은 식 (1)에 나타내는 화합물과 식 (3)에 나타내는 화합물의 중합에 의해 생성된다. 식 (8)에 나타내는 화합물은 식 (1)에 나타내는 화합물과 식 (4)에 나타내는 화합물의 중합에 의해 생성된다. 혹은, 식 (8)에 나타내는 화합물은 식 (2)에 나타내는 화합물과 식 (3)에 나타내는 화합물의 중합에 의해 생성된다. 식 (9)에 나타내는 화합물은 식 (2)에 나타내는 화합물과 식 (4)에 나타내는 화합물의 중합에 의해 생성된다. 또한, 식 (10)에 나타내는 화합물은, 식 (9)에 나타내는 폴리머의 양 말단을 각각, 이소시아네이트기를 갖는 모노머(예를 들면, 식 (1)에 나타내는 화합물), 아미노기를 갖는 모노머(예를 들면, 식 (3)에 나타내는 화합물)에서 종단시킨 구조를 갖는다. 또한, 식 (9) 및 식 (10)에 있어서, n은 2 이상의 정수이다.
[화학식 7]
[화학식 8]
[화학식 9]
[화학식 10]
또한, 피막(CF)을 구성하는 다른 화합물로서는, 이하의 식 (11) 내지 식 (15)에 나타내는 우레탄 결합을 갖는 화합물을 들 수 있다. 식 (11)에 나타내는 화합물은 식 (1)에 나타내는 화합물과 식 (5)에 나타내는 화합물의 중합에 의해 생성된다. 식 (12)에 나타내는 화합물은 식 (1)에 나타내는 화합물과 식 (6)에 나타내는 화합물의 중합에 의해 생성된다. 식 (13)에 나타내는 화합물은 식 (2)에 나타내는 화합물과 식 (5)에 나타내는 화합물의 중합에 의해 생성된다. 식 (14)에 나타내는 화합물은 식 (2)에 나타내는 화합물과 식 (6)에 나타내는 화합물의 중합에 의해 생성된다. 또한, 식 (15)에 나타내는 화합물은, 식 (14)에 나타내는 폴리머의 양 말단을 각각, 이소시아네이트기를 갖는 모노머(예를 들면, 식 (1)에 나타내는 화합물), 수산기를 갖는 모노머(예를 들면, 식 (5)에 나타내는 화합물)에서 종단시킨 구조를 갖는다. 또한, 식 (14) 및 식 (15)에 있어서, n은 2 이상의 정수이다.
[화학식 11]
[화학식 12]
[화학식 13]
[화학식 14]
[화학식 15]
이하의 식 (16) 내지 식 (26)은 식 (2), 식 (4) 및 식 (6)에서 R로 나타내는 원자단의 구조를 예시하고 있다. 식 (16) 내지 식 (26)에서, R1은 이소시아네이트기, 아미노기 또는 수산기이다. 식 (16) 내지 식 (22)에 나타내는 바와 같이, 제 1 화합물 및 제 2 화합물의 각각은, R로 나타내는 원자단으로서, 벤젠환을 갖고 있어도 좋다. 식 (16) 내지 식 (19)에 나타내는 화합물의 각각은 이소시아네이트기, 아미노기 또는 수산기와 벤젠환 사이에 탄소를 갖고 있지 않다. 식 (20) 내지 식 (22)에 나타내는 화합물의 각각은 이소시아네이트기, 아미노기 또는 수산기와 벤젠환 사이에 탄소를 갖고 있다. 식 (23) 및 식 (24)에 나타내는 바와 같이, 제 1 화합물 및 제 2 화합물의 각각은, R로 나타내는 원자단으로서, 지환식 탄화수소를 갖고 있어도 좋다. 또한, 식 (25) 및 식 (26)에 나타내는 바와 같이, 제 1 화합물 및 제 2 화합물의 각각에서는, R로 나타내는 원자단이 지방족에 의해 구성되어 있어도 좋다.
[화학식 16]
[화학식 17]
[화학식 18]
[화학식 19]
[화학식 20]
[화학식 21]
[화학식 22]
[화학식 23]
[화학식 24]
[화학식 25]
[화학식 26]
지지대(16) 상(정전 척(20) 상)에 보호 부재가 탑재되어 있는 상태에서 공정(ST1)이 실행된 경우에는, 다음의 공정으로 넘어가기 전에, 보호 부재가 지지대(16)로부터 제거되어도 좋다. 지지대(16) 상(정전 척(20) 상)에 물체가 탑재되어 있지 않은 상태에서 공정(ST1)이 실행된 경우에는, 계속되는 공정(STa)에 있어서, 정전 척(20)의 표면 상에서 연장되는 피막(CF)이 제거되어도 좋다. 예를 들면, 정전 척(20)의 표면 상에서 연장되는 피막(CF)을 구성하는 화합물의 해중합이 일어나도록, 공정(STa)에서, 히터(HT20)를 발열시켜도 좋다. 피막(CF)을 구성하는 화합물의 해중합이 일어나는 온도는, 예를 들어 200℃ 이상, 350℃ 이하의 범위 내의 온도이다. 또한, 유로(18f)와 칠러 유닛 사이에서 냉매를 순환시키는 것에 의해, 정전 척(20) 이외의 부품의 표면으로부터 피막(CF)이 제거되는 것을 방지할 수 있다.
이어서, 공정(STb)이 실행되어도 좋다. 공정(STb)에 대해서는 후술한다. 이어서, 공정(SP)이 실행된다. 공정(SP)에서는, 기판(W)이 지지대(16)(정전 척(20)) 상에 탑재되어 있는 상태에서, 내부 공간(S) 내에서 기판 처리가 실행된다. 공정(SP)의 기판 처리는 성막 처리 및 에칭 중 적어도 하나를 포함한다. 공정(SP)의 기판 처리는 성막 처리와, 해당 성막 처리 후에 실행되는 에칭을 포함하고 있어도 좋다. 성막 처리는, 화학 기상 성장법(CVD법)에 의한 성막 처리, 플라즈마 강화 CVD법(PECVD법)에 의한 성막 처리, 원자층 퇴적법(ALD법)에 의한 성막 처리, 또는 플라즈마 강화 ALD법(PEALD법)에 의한 성막 처리일 수 있다. 공정(SP)의 기판 처리에 포함되는 에칭은 플라즈마 에칭을 포함한다. 플라즈마 에칭에 의해 에칭되는 기판(W) 내의 막은 임의의 막일 수 있다. 플라즈마 에칭에 의해 에칭되는 기판(W) 내의 막은 실리콘 함유막 또는 금속 함유막일 수 있다.
공정(SP)에서 실행되는 기판 처리는 피막(CF)을 구성하는 화합물의 해중합이 일어나지 않는 온도에서 실행된다. 또한, 피막(CF)을 구성하는 화합물은 피막(CF)이 가열되는 것에 의해 해중합된다. 해중합은 상술한 중합과는 역 방향의 반응이며, 피막(CF)을 구성하는 화합물이 제 1 화합물 및 제 2 화합물로 분해되는 반응이다. 피막(CF)을 구성하는 화합물의 해중합이 일어나는 온도는, 예를 들어 200℃ 이상, 350℃ 이하의 범위 내의 온도이다.
공정(SP)의 기판 처리가 실행되면, 도 4에 도시하는 바와 같이, 피막(CF) 상에 퇴적물(DS)이 형성된다. 퇴적물(DS)은 성막 처리에 의해 형성된 막 및/또는 에칭 부생물이다.
이어서, 공정(ST2)이 실행된다. 공정(ST2)에서는, 피막(CF) 상에 형성된 퇴적물(DS)이 피막(CF)과 함께 제거된다. 공정(ST2)에서는, 피막(CF)을 구성하는 화합물의 해중합을 일으키도록, 히터에 의해 플라즈마 처리 장치(10)의 상술한 부품이 가열된다. 상술한 바와 같이, 피막(CF)을 구성하는 화합물의 해중합이 일어나는 온도는, 예를 들어 200℃ 이상, 350℃ 이하의 온도이다. 공정(ST2)에서 이용되는 히터는 히터(HT12), 히터(HT14), 히터(HT20), 히터(HT36), 히터(HT72) 및 히터(HT74) 중 1개 이상의 히터이다. 공정(ST2)에서는, 피막(CF)의 해중합에 의해 생긴 화합물과 함께, 퇴적물(DS)이 플라즈마 처리 장치(10)의 상술한 부품의 표면으로부터 제거된다. 즉, 공정(ST2)에서는, 피막(CF)의 해중합에 의해 생긴 화합물과 함께, 퇴적물(DS)이 내부 공간(S)을 형성하는 표면으로부터 제거된다.
이하, 공정(ST1), 공정(STa), 공정(STb), 공정(SP), 공정(STc) 및 공정(ST2)을 포함하며, 공정(STa)에서 제 1 표면(F1) 상의 피막이 제거되는 경우의 방법(MT)에 대하여 기술한다. 이러한 경우의 방법(MT)의 공정(SP)의 기판 처리에서는, 공정(STc)의 플라즈마 처리에 의해 제 1 표면(F1)으로부터 제거 가능한 퇴적물(DS)이 발생한다. 이하의 설명에 있어서, 공정(SP)의 기판 처리는 성막 처리를 포함한다. 이하의 설명에서는, 도 1, 도 3, 및 도 5와 함께, 도 6 내지 도 9를 참조한다. 도 6 내지 도 9는 공정(STa), 공정(STb), 공정(SP), 공정(STc)의 각각의 실행 후의 플라즈마 처리 장치의 상태를 나타내고 있다.
상술한 바와 같이, 공정(ST1)에서는, 도 3에 도시하는 바와 같이, 플라즈마 처리 장치(10)의 부품의 표면, 즉 내부 공간(S)을 형성하는 표면(제 1 표면(F1) 및 제 2 표면(F2)) 상에 피막(CF)이 형성된다.
이어서, 공정(STa)이 실행된다. 공정(STa)에서는, 제 1 표면(F1) 상에서 연장되는 피막(CF)이 플라즈마 처리에 의해 제거된다. 공정(STa)에서는, 제 1 공간(S1) 내에서 제 3 가스의 플라즈마가 생성된다. 제 3 가스는 해당 제 3 가스의 플라즈마로부터의 활성종에 의해 피막(CF)을 에칭할 수 있는 가스이다. 공정(STa)에서 이용되는 제 3 가스는 산소 가스, 일산화탄소 가스, 이산화탄소 가스와 같은 산소 함유 가스를 포함할 수 있다. 혹은, 제 3 가스는 수소 가스와 질소 가스를 포함하는 혼합 가스일 수 있다. 공정(STa)에서는, 가스 공급부(40)로부터 제 1 공간(S1)에 제 3 가스가 공급되고, 제 1 고주파가 상부 전극(30)에 공급된다. 또한, 제 1 공간(S1)의 압력이 지정된 압력으로 설정되도록, 배기 장치(52)가 제어된다. 공정(STa)에서는, 제 1 표면(F1) 상에서 연장되는 피막(CF)이 제 3 가스 플라즈마로부터의 활성종에 의해 에칭된다. 그 결과, 도 6에 도시하는 바와 같이, 제 1 표면(F1) 상의 피막(CF)이 제거된다. 또한, 공정(STa)은 지지대(16)(정전 척(20)) 상에 물체가 탑재되어 있지 않은 상태에서 실행되어도 좋다. 혹은, 공정(STa)은 지지대(16)(정전 척(20)) 상에 보호 부재(예를 들면 더미 기판(DW))가 탑재되어 있는 상태에서 실행되어도 좋다.
이어서, 공정(STb)이 실행된다. 공정(STb)에서는, 공정(SP)의 기판 처리와 동일한 처리가 실행된다. 공정(STb)에서는, 공정(SP)의 기판 처리에 포함되는 성막 처리와 동일한 처리만이 실행되어도 좋다. 공정(STb)은, 보호 부재(예를 들면, 더미 기판(DW))가 지지대(16)(정전 척(20)) 상에 탑재되어 있는 상태에서, 실행된다. 공정(STb)은 복수회 실행되어도 좋다. 공정(STb)의 처리에 대해서는, 공정(SP)의 기판 처리(또는 성막 처리)를 참조하기 바란다. 공정(STb)이 실행되면, 도 7에 도시하는 바와 같이, 퇴적물(DS)이, 제 1 표면(F1) 상에 형성되며, 제 2 표면(F2) 상에 피막(CF)을 거쳐서 형성된다. 퇴적물(DS)은 성막 처리에 의해 형성된 막 및/또는 에칭 부생물이다.
이어서, 공정(SP)이 실행된다. 공정(SP)에서는, 기판(W)이 지지대(16)(정전 척(20)) 상에 탑재되어 있는 상태에서, 기판 처리가 실행된다. 이 예에서는, 기판 처리는 성막 처리를 포함한다. 성막 처리는, 화학 기상 성장(CVD) 법에 의한 성막 처리, 플라즈마 강화 CVD법(PECVD법)에 의한 성막 처리, 원자층 퇴적(ALD)법에 의한 성막 처리, 또는 플라즈마 강화 ALD법(PEALD법)에 의한 성막 처리일 수 있다. 공정(SP)에서 실행되는 기판 처리는 성막 처리에 부가하여 플라즈마 에칭을 포함하고 있어도 좋다.
공정(SP)에서 실행되는 기판 처리는 피막(CF)을 구성하는 화합물의 해중합이 일어나지 않는 온도에서 실행된다. 피막(CF)을 구성하는 화합물의 해중합이 일어나는 온도는, 상술한 바와 같이, 예를 들어 200℃ 이상, 350℃ 이하의 범위 내의 온도이다.
공정(SP)이 실행되면, 도 8에 도시하는 바와 같이, 퇴적물(DS)이, 제 1 표면(F1) 상에 형성되며, 제 2 표면(F2) 상에 피막(CF)을 거쳐서 형성된다. 퇴적물(DS)은 임의의 물질로 형성될 수 있다. 퇴적물(DS)은, 예를 들어 산화실리콘 또는 텅스텐으로 형성된다. 또한, 공정(SP)은 복수의 기판(W)에 대하여 순서대로 실행되어도 좋다. 이 공정(SP)의 실행 후, 기판(W)은 내부 공간(S)으로부터 반출된다.
방법(MT)에서는, 이어서, 공정(STc)이 실행된다. 공정(STc)에서는, 제 1 표면(F1) 상의 퇴적물(DS)이 제거된다. 공정(STc)에서는, 제 1 공간(S1) 내에서 제 4 가스의 플라즈마가 생성된다. 제 4 가스는 해당 제 4 가스의 플라즈마로부터의 활성종에 의해 퇴적물(DS)을 에칭할 수 있는 임의의 가스일 수 있다. 퇴적물(DS)이 산화실리콘 또는 텅스텐으로 형성되어 있는 경우에는, 제 4 가스는, 예를 들어 플루오로카본 가스를 포함한다. 공정(STc)에서는, 제 4 가스가 가스 공급부(40)로부터 제 1 공간(S1)에 공급되며, 제 1 고주파가 상부 전극(30)에 공급된다. 또한, 제 1 공간(S1)의 압력이 지정된 압력으로 설정되도록, 배기 장치(52)가 제어된다. 공정(STc)에서는, 제 4 가스의 플라즈마로부터의 활성종에 의해, 제 1 표면(F1) 상에 형성된 퇴적물(DS)이 에칭된다. 그 결과, 도 9에 도시하는 바와 같이, 제 1 표면(F1) 상의 퇴적물(DS)이 제거된다. 또한, 공정(STc)은 지지대(16)(정전 척(20)) 상에 물체가 탑재되어 있지 않은 상태에서 실행되어도 좋다. 혹은, 공정(STc)은 지지대(16)(정전 척(20)) 상에 보호 부재(예를 들면 더미 기판(DW))가 탑재되어 있는 상태에서 실행되어도 좋다.
이어서, 공정(ST2)이 실행된다. 공정(ST2)에서는, 상술한 바와 같이, 피막(CF) 상에 형성된 퇴적물(DS)이 피막(CF)과 함께 제거된다(도 5 참조). 이 예에서는, 제 2 표면(F2)에 포함되는 플라즈마 처리 장치(10)의 부품의 표면으로부터, 피막(CF)과 함께 퇴적물(DS)이 제거된다. 공정(ST2)에서는, 피막(CF)을 구성하는 화합물의 해중합을 일으키도록, 히터에 의해 플라즈마 처리 장치(10)의 상술한 부품이 가열된다. 상술한 바와 같이, 피막(CF)을 구성하는 화합물의 해중합이 일어나는 온도는, 예를 들어 200℃ 이상, 350℃ 이하의 온도이다. 공정(ST2)에서 이용되는 히터는 히터(HT12), 히터(HT14), 히터(HT20), 히터(HT36), 히터(HT72) 및 히터(HT74) 중 1개 이상의 히터이다.
방법(MT)에서는, 기판 처리가 실행되기 전에, 플라즈마 처리 장치(10) 부품의 표면 상에 피막(CF)이 형성된다. 피막(CF)을 구성하는 화합물은 이소시아네이트와 아민의 중합 또는 이소시아네이트와 수산기를 갖는 화합물의 중합에 의해 생성된다. 방법(MT)에서는, 기판 처리에 의해 부품의 표면 상에 피막(CF)을 거쳐서 형성된 퇴적물(DS)을 제거하기 위해서, 피막(CF)을 구성하는 화합물의 해중합이 일어나도록, 부품이 가열된다. 그 결과, 기판 처리에 의해 피막(CF) 상에 형성된 퇴적물(DS)이 피막(CF)과 함께 제거된다. 따라서, 방법(MT)에 의하면, 플라즈마 클리닝과는 상이한 처리에 의해, 퇴적물(DS)을 제거하는 것이 가능해진다.
일 실시형태의 방법(MT)의 공정(STa)에서는, 상술한 바와 같이, 제 1 표면(F1) 상에서 연장되는 피막(CF)이 제거된다. 제 1 공간(S1)을 형성하는 제 1 표면(F1) 상에 형성되는 퇴적물(DS)이 플라즈마 클리닝에 의해 제거 가능한 경우라도, 플라즈마로부터의 활성종이 도달하기 어려운 공간, 즉 제 2 공간(S2)을 형성하는 제 2 표면(F2) 상에 형성된 퇴적물(DS)은 플라즈마 클리닝으로는 제거하기 어렵다. 이 실시형태에 의하면, 제 2 표면(F2) 상의 피막(CF)을 남기는 것에 의해, 제 2 표면(F2) 상에 피막(CF)을 거쳐서 형성된 퇴적물(DS)을, 플라즈마 클리닝에 의하지 않고 제거하는 것이 가능하다.
또한, 퇴적물(DS)은, 플라즈마 클리닝을 적용할 수 없으면, 약품을 이용한 웨트 클리닝에 의해 제거될 수 있다. 웨트 클리닝에서는, 제거해야 할 퇴적물(DS)이 그 위에 형성된 플라즈마 처리 장치의 부품의 손상이 생기므로, 해당 부품의 교환 빈도가 높아진다. 한편, 방법(MT)에서는, 가열에 의해 퇴적물(DS)을 제거할 수 있으므로, 플라즈마 처리 장치의 부품의 손상이 억제되어, 해당 부품의 교환 빈도가 저감된다.
일 실시형태에서는, 상술한 바와 같이, 공정(SP)의 기판 처리를 실행하기 전에, 공정(STb)이 실행된다. 공정(STb)에서는, 공정(SP)의 기판 처리와 동일한 처리로 퇴적물(DS)이 형성되며, 내부 공간(S)은 해당 퇴적물(DS)에 의해 둘러싸인다. 따라서, 공정(SP)의 실행 중에 내부 공간(S)을 둘러싸는 표면의 재질의 변화가 억제된다. 그 결과, 복수의 기판(W)에 대하여 순서대로 공정(SP)의 기판 처리가 실행되어도, 복수의 기판(W)에 대한 기판 처리의 변동이 억제된다.
이하, 공정(SP)에서 실행되는 기판 처리의 일 예에 대하여 설명한다. 도 10은 도 1에 나타내는 클리닝 방법에 있어서의 공정(SP)의 기판 처리의 일 예를 나타내는 흐름도이다. 도 10에 나타내는 공정(SP)의 기판 처리는 공정(ST41) 내지 공정(ST46) 및 공정(STR)을 포함한다. 공정(STR)은 마스크의 개구의 폭을 조정하는 공정이다. 공정(STR)은 공정(ST42), 공정(ST43), 공정(ST44), 및 공정(ST46) 중 적어도 1개의 공정에 있어서의 플라즈마 에칭을 위한 마스크의 개구의 폭을 조정하기 위해서 실행된다.
도 11은 도 10에 나타내는 공정(SP)의 기판 처리의 일 예를 적용 가능한 일 예의 기판의 일부 확대 단면도이다. 도 11에 도시하는 기판(W)은 대략 원반 형상을 가질 수 있다. 일 실시형태에 있어서, 기판(W)은 실리콘 함유막(SF), 유기막(OF), 반사 방지막(BF) 및 레지스트 마스크(RM)를 갖는다. 실리콘 함유막(SF)은 베이스층(BL) 상에 마련되어 있다. 실리콘 함유막(SF)은, 일 실시형태에서는, 제 1 막(SFa) 및 제 2 막(SFb)을 포함하고 있다. 제 1 막(SFa)은 베이스층(BL) 상에 마련되어 있으며, 제 2 막(SFb)은 제 1 막(SFa) 상에 마련되어 있다. 제 1 막(SFa)과 제 2 막(SFb)은 실리콘을 함유하며, 또한 서로 상이한 재료로 형성되어 있다. 제 1 막(SFa)은, 예를 들어 실리콘으로 형성되어 있다. 제 1 막(SFa)은 다결정 실리콘막 또는 아몰퍼스 실리콘막일 수 있다. 제 2 막(SFb)은, 예를 들어 산화실리콘으로 형성되어 있다.
유기막(OF)은 실리콘 함유막(SF) 상에 마련되어 있다. 반사 방지막(BF)은 유기막(OF) 상에 마련되어 있다. 반사 방지막(BF)은 실리콘을 함유한다. 레지스트 마스크(RM)는 반사 방지막(BF) 상에 마련되어 있다. 레지스트 마스크(RM)는 플라즈마 에칭에 의해 반사 방지막(BF)에 전사되어야 할 패턴을 갖고 있다. 즉, 레지스트 마스크(RM)는 개구(ORM)를 제공하고 있다. 개구(ORM)는 홈 또는 홀이며, 반사 방지막(BF)의 표면을 부분적으로 노출시키고 있다. 레지스트 마스크(RM)는 포토리소그래피 기술에 의한 레지스터막의 패터닝에 의해 형성될 수 있다.
이하, 도 10과 함께, 도 12의 (a), 도 12의 (b), 도 12의 (c), 도 12의 (d) 및 도 12의 (e)를 참조한다. 도 12의 (a), 도 12의 (b), 도 12의 (c) 및 도 12의 (d)는 공정(SP)의 기판 처리의 일 예의 실행 중에 얻어지는 기판의 일부 확대 단면도이며, 도 12의 (e)는 공정(SP)의 기판 처리의 일 예의 실행 후의 상태의 기판의 일부 확대 단면도이다.
도 10에 나타내는 바와 같이, 공정(SP)의 기판 처리의 일 예에서는, 공정(ST41)이 실행된다. 공정(ST41)에서는, 레지스트 마스크(RM)가 개질된다. 구체적으로 공정(ST41)에서는, 도 11에 도시한 기판(W)이 지지대(16) 상(정전 척(20) 상)에 탑재된 상태에서, 처리 가스가 제 1 공간(S1)에 공급된다. 공정(ST41)에서 이용되는 처리 가스는, 예를 들어 수소 가스와 희가스의 혼합 가스일 수 있다. 또한, 공정(ST41)에서는, 제 1 공간(S1)의 압력이 지정된 압력으로 설정되도록 배기 장치(52)가 제어된다. 또한, 공정(ST41)에서는, 제 1 고주파 전원(61)으로부터의 제 1 고주파가 상부 전극(30)에 공급된다. 또한, 공정(ST41)에서는, 직류 전원(70)으로부터의 전압이 상부 전극(30)에 인가된다. 또한, 공정(ST41)에서는, 제 2 고주파 전원(62)으로부터의 제 2 고주파는 하부 전극(18)에 공급되어도 좋고, 공급되지 않아도 좋다. 공정(ST41)의 실행에 의해, 제 1 공간(S1) 내에서 처리 가스의 플라즈마가 생성된다. 플라즈마 내의 양이온은 상부 전극(30)의 천장판(34)에 충돌한다. 그 결과, 천장판(34)으로부터 2차 전자가 방출된다. 방출된 2차 전자에 의해, 레지스트 마스크(RM)가 개질된다.
공정(SP)의 기판 처리의 일 예에서는, 공정(ST41)의 실행 후에, 공정(ST42)이 실행된다. 공정(ST42)에서는, 마스크(MK1)의 패턴을 반사 방지막(BF)에 전사하기 위해서, 플라즈마 에칭이 실행된다. 마스크(MK1)는, 레지스트 마스크(RM), 또는 레지스트 마스크(RM)의 개구의 폭을 공정(STR)에서 조정하는 것에 의해 얻어진 마스크이다.
공정(ST42)에서는, 마스크(MK1)를 갖는 기판(W)이 지지대(16) 상(정전 척(20) 상)에 탑재된 상태에서, 처리 가스가 제 1 공간(S1)에 공급된다. 공정(ST42)에서 이용되는 처리 가스는, 예를 들어 플루오로카본 가스를 포함할 수 있다. 또한, 공정(ST42)에서는, 제 1 공간(S1)의 압력이 지정된 압력으로 설정되도록 배기 장치(52)가 제어된다. 또한, 공정(ST42)에서는, 제 1 고주파 전원(61)으로부터의 제 1 고주파가 상부 전극(30)에 공급된다. 또한, 공정(ST42)에서는, 제 2 고주파 전원(62)으로부터의 제 2 고주파가 하부 전극(18)에 공급된다. 공정(ST42)의 실행에 의해, 제 1 공간(S1) 내에서 처리 가스의 플라즈마가 생성된다. 그리고, 플라즈마 내의 이온 및/또는 라디칼과 같은 활성종에 의해, 반사 방지막(BF)이 에칭된다. 그 결과, 도 12의 (a)에 도시하는 바와 같이, 마스크(MK1)의 패턴이 반사 방지막(BF)에 전사된다. 공정(ST42)의 실행 후, 마스크(MK1)는 제거되어도 좋다.
공정(SP)의 기판 처리의 일 예에서는, 공정(ST42)의 실행 후에, 공정(ST43)이 실행된다. 공정(ST43)에서는, 마스크(MK2)의 패턴을 유기막(OF)에 전사하기 위해서, 플라즈마 에칭이 실행된다. 마스크(MK2)는, 공정(ST42)의 플라즈마 에칭에 의해 반사 방지막(BF)으로부터 얻어진 마스크, 또는 반사 방지막(BF)으로부터 얻어진 해당 마스크의 개구의 폭을 공정(STR)에서 조정하는 것에 의해 얻어진 마스크이다.
공정(ST43)에서는, 마스크(MK2)를 갖는 기판(W)이 지지대(16) 상(정전 척(20) 상)에 탑재된 상태에서, 처리 가스가 제 1 공간(S1)에 공급된다. 공정(ST43)에서 이용되는 처리 가스는 산소 함유 가스(예를 들면, 산소 가스)를 포함한다. 혹은, 공정(ST43)에서 이용되는 처리 가스는 수소 가스 및 질소 가스를 포함한다. 또한, 공정(ST43)에서는, 제 1 공간(S1)의 압력이 지정된 압력으로 설정되도록 배기 장치(52)가 제어된다. 또한, 공정(ST43)에서는, 제 1 고주파 전원(61)으로부터의 제 1 고주파가 상부 전극(30)에 공급된다. 또한, 공정(ST43)에서는, 제 2 고주파 전원(62)으로부터의 제 2 고주파가 하부 전극(18)에 공급된다. 공정(ST43)의 실행에 의해, 제 1 공간(S1) 내에서 처리 가스의 플라즈마가 생성된다. 그리고, 플라즈마 내의 이온 및/또는 라디칼과 같은 활성종에 의해, 유기막(OF)이 에칭된다. 그 결과, 도 12의 (b)에 도시하는 바와 같이, 마스크(MK2)의 패턴이 유기막(OF)에 전사되어, 유기막(OF)으로부터 유기 마스크(OFM)가 얻어진다. 공정(ST43)의 실행 후, 마스크(MK2)는 제거되어도 좋다.
공정(SP)의 기판 처리의 일 예에서는, 공정(ST43)의 실행 후에, 공정(ST44)이 실행된다. 공정(ST44)에서는, 마스크(MK3)의 패턴을 제 2 막(SFb)에 전사하기 위해서, 플라즈마 에칭이 실행된다. 마스크(MK3)는, 유기 마스크(OFM), 또는 유기 마스크(OFM)의 개구의 폭을 공정(STR)에서 조정하는 것에 의해 얻어진 마스크이다.
공정(ST44)에서는, 마스크(MK3)를 갖는 기판(W)이 지지대(16) 상(정전 척(20) 상)에 탑재된 상태에서, 처리 가스가 제 1 공간(S1)에 공급된다. 공정(ST44)에서 이용되는 처리 가스는 플루오로카본 가스를 포함할 수 있다. 또한, 공정(ST44)에서는, 제 1 공간(S1)의 압력이 지정된 압력으로 설정되도록 배기 장치(52)가 제어된다. 또한, 공정(ST44)에서는, 제 1 고주파 전원(61)으로부터의 제 1 고주파가 상부 전극(30)에 공급된다. 또한, 공정(ST44)에서는, 제 2 고주파 전원(62)으로부터의 제 2 고주파가 하부 전극(18)에 공급된다. 공정(ST44)의 실행에 의해, 제 1 공간(S1) 내에서 처리 가스의 플라즈마가 생성된다. 그리고, 플라즈마 내의 이온 및/또는 라디칼과 같은 활성종에 의해, 제 2 막(SFb)이 에칭된다. 그 결과, 도 12의 (c)에 도시하는 바와 같이, 마스크(MK3)의 패턴이 제 2 막(SFb)에 전사된다.
공정(SP)의 기판 처리의 일 예에서는, 이어서, 공정(ST45)이 실행된다. 공정(ST45)에서는, 마스크(MK3)가 제거된다. 공정(ST45)에서는, 도 12의 (c)에 도시한 기판(W)이 지지대(16) 상(정전 척(20) 상)에 탑재된 상태에서, 처리 가스가 제 1 공간(S1)에 공급된다. 공정(ST45)에서 이용되는 처리 가스는 산소 함유 가스(예를 들면, 산소 가스)를 포함한다. 혹은, 공정(ST45)에서 이용되는 처리 가스는 수소 가스 및 질소 가스를 포함한다. 또한, 공정(ST45)에서는, 제 1 공간(S1)의 압력이 지정된 압력으로 설정되도록 배기 장치(52)가 제어된다. 또한, 공정(ST45)에서는, 제 1 고주파 전원(61)으로부터의 제 1 고주파가 상부 전극(30)에 공급된다. 또한, 공정(ST45)에서는, 제 2 고주파 전원(62)으로부터의 제 2 고주파가 하부 전극(18)에 공급되어도 좋고, 공급되지 않아도 좋다. 공정(ST45)의 실행에 의해, 제 1 공간(S1) 내에서 처리 가스의 플라즈마가 생성된다. 그리고, 플라즈마 내의 이온 및/또는 라디칼과 같은 활성종에 의해, 마스크(MK3), 즉 유기 마스크(OFM) 자체 또는 해당 유기 마스크(OFM)를 포함하는 마스크가 제거된다. 그 결과, 도 12의 (d)에 도시하는 기판(W)이 얻어진다.
공정(SP)의 기판 처리의 일 예에서는, 공정(ST45)의 실행 후에, 공정(ST46)이 실행된다. 공정(ST46)에서는, 마스크(MK4)의 패턴을 제 1 막(SFa)에 전사하기 위해서, 플라즈마 에칭이 실행된다. 마스크(MK4)는, 공정(ST44)의 플라즈마 에칭에 의해 제 2 막(SFb)으로부터 얻어진 마스크, 또는 제 2 막(SFb)으로부터 얻어진 해당 마스크의 개구의 폭을 공정(STR)에서 조정하는 것에 의해 얻어진 마스크이다.
공정(ST46)에서는, 마스크(MK4)를 갖는 기판(W)이 지지대(16) 상(정전 척(20) 상)에 탑재된 상태에서, 처리 가스가 제 1 공간(S1)에 공급된다. 공정(ST46)에서 이용되는 처리 가스는 할로겐계의 가스를 포함할 수 있다. 공정(ST46)에서 이용되는 처리 가스는, 예를 들어 염소 가스 및 취화수소 가스 중 1개 이상의 가스를 포함할 수 있다. 또한, 공정(ST46)에서는, 제 1 공간(S1)의 압력이 지정된 압력으로 설정되도록 배기 장치(52)가 제어된다. 또한, 공정(ST46)에서는, 제 1 고주파 전원(61)으로부터의 제 1 고주파가 상부 전극(30)에 공급된다. 또한, 공정(ST46)에서는, 제 2 고주파 전원(62)으로부터의 제 2 고주파가 하부 전극(18)에 공급된다. 공정(ST46)의 실행에 의해, 제 1 공간(S1) 내에서 처리 가스의 플라즈마가 생성된다. 그리고, 플라즈마 내의 이온 및/또는 라디칼과 같은 활성종에 의해, 제 1 막(SFa)이 에칭된다. 그 결과, 도 12의 (e)에 도시하는 바와 같이, 마스크(MK4)의 패턴이 제 1 막(SFa)에 전사된다. 또한, 공정(ST46)의 실행 전에, 제 1 막(SFa)의 표면 상에 형성된 산화막을 제거하기 위해서, 플라즈마 에칭이 실행되어도 좋다. 산화막의 제거를 위한 플라즈마 에칭에는, 플루오로카본 가스를 이용하는 것이 가능하다.
이하, 도 13, 도 14의 (a) 및 도 14의 (b)를 참조하면서, 공정(STR)에 대하여 설명한다. 도 13은 도 10에 나타내는 공정(STR)의 일 실시형태를 나타내는 흐름도이다. 도 14의 (a)는 공정(STR)에 있어서의 성막 처리 후의 상태의 기판의 일부 확대 단면도이며, 도 14의 (b)는 공정(STR)에 있어서의 막의 에칭 후의 상태의 기판의 일부 확대 단면도이다.
공정(STR)은 성막 처리(DP)를 포함한다. 성막 처리(DP)는 공정(SP)의 기판 처리에 포함되는 성막 처리의 일 예이며, PEALD법에 의한 성막 처리이다. 성막 처리(DP)의 실행에 의해, 도 14의 (a)에 도시하는 바와 같이, 기판(W)의 표면, 즉 마스크(MK)의 표면 및 하지막(UF)의 표면 상에 막(DF)이 형성된다. 마스크(MK)는, 레지스트 마스크(RM), 공정(ST42)의 실행에 의해 반사 방지막(BF)으로 형성된 마스크, 유기 마스크(OFM), 또는 공정(ST44)의 실행의 실행에 의해 제 2 막(SFb)으로부터 형성된 마스크이다. 하지막(UF)은, 마스크(MK)가 레지스트 마스크(RM)인 경우에는, 반사 방지막(BF)이며, 마스크(MK)가 공정(ST42)의 실행에 의해 반사 방지막(BF)으로부터 형성된 마스크인 경우에는, 유기막(OF)이며, 마스크(MK)가 유기 마스크(OFM)인 경우에는, 제 2 막(SFb)이며, 마스크(MK)가 공정(ST44)의 실행에 의해 제 2 막(SFb)으로부터 형성된 마스크인 경우에는, 제 1 막(SFa)이다.
성막 처리(DP)에서는, 막(DF)을 형성하기 위해서, 사이클(CY)이 1회 이상 실행된다. 각 사이클(CY)은 공정(ST11) 내지 공정(ST16)을 포함하고 있다. 공정(ST11)에서는, 기판(W) 상에 전구체를 퇴적시키기 위해서, 기판(W)이 그 내에 배치되어 있는 제 1 공간(S1)에 가스 공급부(40)로부터 전구체 가스가 공급된다. 즉, 기판(W)에 전구체 가스가 공급된다. 전구체 가스는 제 1 공간(S1)을 거쳐서 제 2 공간(S2)에도 공급된다.
막(DF)이 실리콘 산화막인 경우에는, 전구체 가스는, 예를 들어 아미노실란계 가스이다. 아미노실란계 가스는 유기 함유된 아미노실란계 가스일 수 있다. 아미노실란계 가스로서는, 아미노기의 수가 비교적 적은 분자 구조를 갖는 가스를 이용할 수 있으며, 예를 들어 모노아미노실란(H3-Si-R(R은 유기를 포함하고 있고 치환되어 있어도 좋은 아미노기))이 이용될 수 있다. 또한, 아미노실란계 가스는 1개 내지 3개의 규소 원자를 가질 수 있는 아미노실란을 포함할 수 있거나, 혹은 1개 내지 3개의 아미노기를 갖는 아미노실란을 포함할 수 있다. 1개 내지 3개의 규소 원자를 갖는 아미노실란은, 1개 내지 3개의 아미노기를 갖는 모노실란(모노아미노실란), 1개 내지 3개의 아미노기를 갖는 디실란, 또는 1개 내지 3개의 아미노기를 갖는 트리실란일 수 있다. 또한, 상기의 아미노실란은 치환되어 있어도 좋은 아미노기를 가질 수 있다. 또한, 상기의 아미노기는 메틸기, 에틸기, 프로필기 및 부틸기 중 어느 하나에 의해 치환될 수 있다. 또한, 상기의 메틸기, 에틸기, 프로필기 또는 부틸기는 할로겐에 의해 치환될 수 있다.
막(DF)이 텅스텐막인 경우에는, 전구체 가스는 텅스텐을 함유한다. 전구체 가스는 할로겐화 텅스텐 가스일 수 있다. 일 예의 전구체 가스는 육불화텅스텐(WF6) 가스이다. 전구체 가스는 육염화텅스텐 가스와 같은 다른 할로겐화 텅스텐 가스, 또는 다른 텅스텐 함유 가스라도 좋다.
공정(ST11)에서는, 제 1 공간(S1) 내에서 플라즈마는 생성되지 않는다. 즉, 공정(ST11)에서는, 제 1 고주파 및 제 2 고주파의 공급이 정지된다. 공정(ST11)에서는, 제 1 공간(S1) 내의 압력이 지정된 압력으로 설정되도록 배기 장치(52)가 제어된다. 공정(ST11)에서는, 전구체 가스와 함께 캐리어 가스가 가스 공급부(40)로부터 제 1 공간(S1)에 공급되어도 좋다. 캐리어 가스는 제 1 공간(S1)을 거쳐서 제 2 공간(S2)에도 공급된다. 캐리어 가스는 He 가스, Ne 가스, Ar 가스, Xe 가스, Kr 가스와 같은 희가스일 수 있다. 일 실시형태에서는, 캐리어 가스는 성막 처리(DP)의 실행 기간에 걸쳐서 제 1 공간(S1) 및 제 2 공간(S2)에 공급되어도 좋다. 이 공정(ST11)이 실행되면, 기판(W)의 표면에 전구체가 흡착된다. 또한, 제 1 표면(F1) 또는 제 1 표면(F1) 상에서 연장되는 피막(CF)에 전구체가 흡착되고, 제 2 표면(F2) 상에서 연장되는 피막(CF)에도 전구체가 흡착된다.
계속되는 공정(ST12)에서는, 내부 공간(S)의 퍼지가 실행된다. 구체적으로, 공정(ST12)에서는, 내부 공간(S)의 배기가 실행된다. 공정(ST12)에서는, 퍼지 가스로서 캐리어 가스가 제 1 공간(S1) 및 제 2 공간(S2)에 공급되어도 좋다. 공정(ST12)의 실행에 의해, 내부 공간(S) 내의 전구체 가스가 배출되고, 기판(W) 상에 과잉으로 퇴적되어 있던 전구체가 제거된다.
계속되는 공정(ST13)에서는, 전구체와 반응하는 반응성 가스가 가스 공급부(40)로부터 제 1 공간(S1)에 공급된다. 반응성 가스는, 막(DF)이 실리콘 산화막인 경우에는, 산소 함유 가스이다. 산소 함유 가스는 산소 가스(O2 가스), 일산화탄소 가스 또는 이산화탄소 가스이다. 반응성 가스는, 막(DF)이 텅스텐막인 경우에는, 수소 가스(H2 가스)이다.
공정(ST13)에서는, 반응성 가스의 플라즈마가 제 1 공간(S1) 내에서 생성된다. 공정(ST13)에서는, 제 1 공간(S1)에 반응성 가스가 공급되어 있는 상태에서, 제 1 고주파가 상부 전극(30)에 공급된다. 이에 의해, 제 1 공간(S1) 내에서 반응성 가스의 플라즈마가 생성된다. 공정(ST13)에서는, 제 2 고주파가 하부 전극(18)에 공급되어도 좋다. 공정(ST13)에서는, 제 1 공간(S1) 내의 압력이 지정된 압력으로 설정되도록 배기 장치(52)가 제어된다. 공정(ST13)에서는, 플라즈마로부터의 활성종과 전구체가 반응한다. 막(DF)이 실리콘 산화막인 경우에는, 산소의 활성종과 전구체의 반응에 의해, 전구체가 산화된다. 막(DF)이 텅스텐막인 경우에는, 수소의 활성종과 전구체의 반응에 의해, 전구체 내의 불순물이 제거된다. 전구체 가스가 할로겐화 텅스텐 가스인 경우에는, 전구체 내의 할로겐 원소와 수소의 반응에 의해, 전구체로부터 할로겐 원소가 제거된다. 플라즈마로부터의 활성종, 주로 라디칼은 제 1 공간(S1)으로부터 제 2 공간(S2)에 도달한다. 따라서, 활성종과 제 2 표면(F2) 상에서 연장되는 전구체와의 반응도 생긴다.
계속되는 공정(ST14)에서는, 내부 공간(S)의 퍼지가 실행된다. 구체적으로, 공정(ST14)에서는, 내부 공간(S)의 배기가 실행된다. 공정(ST14)에서는, 퍼지 가스로서, 캐리어 가스가 제 1 공간(S1) 및 제 2 공간(S2)에 공급되어도 좋다. 공정(ST14)의 실행에 의해, 내부 공간(S) 내의 반응성 가스가 배출된다.
계속되는 공정(ST15)에서는, 정지 조건이 만족되는지의 여부가 판정된다. 정지 조건은 사이클(CY)의 실행 횟수가 소정 횟수에 도달하고 있는 경우에 만족되는 것으로 판정된다. 소정 횟수는 1회 이상의 횟수이다. 공정(ST15)에서 정지 조건이 만족되고 있지 않다고 판정되면, 다시 사이클(CY)이 실행된다. 한편, 공정(ST15)에서 정지 조건이 만족되고 있다고 판정되면, 성막 처리(DP)의 실행이 정지된다. 성막 처리(DP)의 실행에 의해, 도 14의 (a)에 도시하는 바와 같이, 기판(W)의 표면 상에 막(DF)이 형성된다. 기판(W)의 표면 상에 형성된 막(DF)은 제 1 영역(R1) 및 제 2 영역(R2)을 포함한다. 제 1 영역(R1)은 개구(OMK)를 형성하는 마스크(MK)의 측벽면(SW)을 따라서 연장된다. 제 2 영역(R2)은 하지막(UF) 상에서 연장된다. 또한, 성막 처리(DP)의 실행에 의해, 막(DF)을 구성하는 물질과 동일한 물질로 형성된 퇴적물(DS)이 제 1 표면(F1) 상에 형성되며, 제 2 표면(F2) 상에서 연장되는 피막(CF) 상에 형성된다.
도 13에 나타내는 바와 같이, 공정(STR)에서는, 이어서, 공정(ST16)이 실행된다. 공정(ST16)에서는, 제 1 영역(R1)을 남기고, 제 2 영역(R2)을 제거하도록 막(DF)의 플라즈마 에칭이 실행된다. 공정(ST16)에서는, 도 14의 (a)에 도시한 상태의 기판(W)이 지지대(16) 상(정전 척(20) 상)에 탑재된 상태에서, 처리 가스가 제 1 공간(S1)에 공급된다. 공정(ST16)에서 이용되는 처리 가스는 플루오로카본 가스를 포함할 수 있다. 또한, 공정(ST16)에서는, 제 1 공간(S1)의 압력이 지정된 압력으로 설정되도록 배기 장치(52)가 제어된다. 또한, 공정(ST16)에서는, 제 1 고주파 전원(61)으로부터의 제 1 고주파가 상부 전극(30)에 공급된다. 공정(ST16)에서는, 제 1 영역(R1)에 대하여 제 2 영역(R2)을 선택적으로 제거하기 위해서, 이방성의 플라즈마 에칭이 실행된다. 따라서, 공정(ST16)에서는, 제 2 고주파 전원(62)으로부터의 제 2 고주파가 하부 전극(18)에 공급된다. 공정(ST16)의 실행에 의해, 제 1 공간(S1) 내에서 처리 가스의 플라즈마가 생성된다. 그리고, 플라즈마 내의 이온이 기판(W)에 인입되어, 제 2 영역(R2)이 에칭된다. 그 결과, 도 14의 (b)에 도시하는 바와 같이, 막(DF)의 제 1 영역(R1)이 남겨져, 제 2 영역(R2)이 에칭된다. 또한, 마스크(MK)의 상면 상에서 연장되어 있는 막(DF)은 제거되거나, 혹은 그 막 두께가 작아진다.
공정(STR)에서는, 전구체의 퇴적(공정(ST11))과, 플라즈마로부터의 활성종과 전구체의 반응(공정(ST13))이 실행되는 것에 의해, 기판(W)의 표면 상에 막(DF)이 형성된다. 그리고, 공정(ST16)에 있어서, 제 1 영역(R1)이 남겨지도록 막(DF)이 에칭된다. 그 결과, 마스크(MK)의 개구(OMK)의 폭이 조정된다.
이상, 여러 가지의 실시형태에 대하여 설명했지만, 상술한 실시형태에 한정되는 일 없이 여러 가지의 변형 태양을 구성 가능하다. 예를 들면, 방법(MT)에서 이용되는 플라즈마 처리 장치는 유도 결합형의 플라즈마 처리 장치, 또는 마이크로파와 같은 표면파를 이용하여 가스를 여기시키는 플라즈마 처리 장치라도 좋다.
10 : 플라즈마 처리 장치 12 : 챔버 본체
14 : 격벽 16 : 지지대
40, 42 : 가스 공급부 52 : 배기 장치
61 : 제 1 고주파 전원 62 : 제 2 고주파 전원
S : 내부 공간 S1 : 제 1 공간
S2 : 제 2 공간 F1 : 제 1 표면
F2 : 제 2 표면

Claims (12)

  1. 플라즈마 처리 장치의 부품의 클리닝 방법으로서, 상기 부품의 표면은 상기 플라즈마 처리 장치의 챔버 내에 제공된 내부 공간을 형성하는 표면에 포함되는, 상기 클리닝 방법에 있어서,
    상기 부품의 상기 표면 상에 피막을 형성하는 공정으로서, 상기 내부 공간에 제 1 가스 및 제 2 가스가 공급되고, 상기 제 1 가스에 포함되는 제 1 화합물과 상기 제 2 가스에 포함되는 제 2 화합물의 중합에 의해, 상기 피막을 구성하는 화합물이 형성되며, 상기 제 1 화합물이 이소시아네이트이며, 상기 제 2 화합물이 아민 또는 수산기를 갖는 화합물인, 상기 공정과,
    상기 내부 공간 내에서 기판 처리가 실행된 후에, 상기 기판 처리에 의해 상기 피막 상에 형성된 퇴적물을 제거하는 공정으로서, 상기 피막을 구성하는 상기 화합물의 해중합을 일으키도록 상기 부품이 가열되는, 상기 공정을 포함하는
    클리닝 방법.
  2. 청구항 1에 있어서,
    상기 내부 공간은 그 내에서 기판 처리가 실행되는 제 1 공간 및 상기 제 1 공간과는 별도의 제 2 공간을 포함하며,
    상기 플라즈마 처리 장치는,
    상기 제 1 공간과 상기 제 2 공간의 경계 상에서 연장되는 격벽으로서, 상기 제 1 공간과 상기 제 2 공간을 서로 연통시키는 복수의 관통 구멍이 형성된, 상기 격벽과,
    상기 제 1 공간 내에서 그 위에 탑재된 기판을 지지하는 지지대와,
    상기 제 1 공간에 접속된 가스 공급계와,
    상기 제 2 공간에 접속된 배기 장치를 더 구비하는
    클리닝 방법.
  3. 청구항 2에 있어서,
    상기 내부 공간을 형성하는 상기 표면은 상기 제 1 공간을 형성하는 제 1 표면 및 상기 제 2 공간을 형성하는 제 2 표면을 포함하며,
    상기 부품의 상기 표면은 상기 제 2 표면에 포함되고,
    상기 부품의 표면 상에 피막을 형성하는 상기 공정의 실행 후, 상기 기판 처리의 실행 전에, 상기 제 1 공간 내에서 생성된 가스의 플라즈마에 의해, 상기 제 1 표면 상에서 연장되는 상기 피막을 제거하는 공정을 더 포함하는
    클리닝 방법.
  4. 청구항 3에 있어서,
    상기 부품의 표면 상에 피막을 형성하는 상기 공정은 상기 지지대 상에 보호 부재가 탑재된 상태에서 실행되며,
    상기 부품의 표면 상에 피막을 형성하는 상기 공정의 실행 후, 상기 보호 부재가 상기 지지대 상으로부터 제거된 상태에서, 제 1 표면 상에서 연장되는 상기 피막을 제거하는 상기 공정이 실행되는
    클리닝 방법.
  5. 청구항 2에 있어서,
    상기 지지대는,
    냉매용의 유로가 그 내에 형성된 하부 전극과,
    상기 하부 전극 상에 마련된 정전 척으로서, 그 위에 탑재된 기판을 상기 제 1 공간 내에서 보지하는, 상기 정전 척을 갖고,
    상기 정전 척 내에는 히터가 마련되어 있으며,
    상기 부품의 표면 상에 피막을 형성하는 상기 공정의 실행 후, 상기 정전 척의 표면 상에서 연장되는 상기 피막의 해중합을 일으켜서 상기 피막을 제거하기 위해서, 상기 히터를 발열시키는 공정을 더 포함하는
    클리닝 방법.
  6. 청구항 1 내지 청구항 5 중 어느 한 항에 있어서,
    퇴적물을 제거하는 상기 공정에 있어서, 상기 부품 내에 마련된 히터, 및 상기 부품에 대하여 비접촉으로 상기 부품을 가열하는 비접촉 히터가 상기 부품을 가열하는데 이용되는
    클리닝 방법.
  7. 청구항 6에 있어서,
    상기 비접촉 히터는 상기 내부 공간에 대하여 상기 챔버의 외측에 마련되어 있는
    클리닝 방법.
  8. 청구항 1 내지 청구항 5 중 어느 한 항에 있어서,
    상기 기판 처리는 성막 처리 및 에칭 중 적어도 하나를 포함하는
    클리닝 방법.
  9. 청구항 8에 있어서,
    상기 기판 처리는, 화학 기상 성장법에 의한 성막 처리, 플라즈마 강화 CVD법에 의한 성막 처리, 원자층 퇴적법에 의한 성막 처리, 또는 플라즈마 강화 ALD법에 의한 성막 처리를 포함하는
    클리닝 방법.
  10. 청구항 8에 있어서,
    상기 기판 처리는 상기 성막 처리와, 상기 성막 처리 후에 실행되는 상기 에칭인 플라즈마 에칭을 포함하는
    클리닝 방법.
  11. 청구항 10에 있어서,
    상기 기판 처리에서 처리되는 기판은 하지막 및 마스크를 갖고, 상기 마스크는 상기 하지막 상에 마련되어 있고, 개구를 제공하고 있으며,
    상기 성막 처리는, 원자층 퇴적법에 의한 성막 처리이며,
    상기 내부 공간에 전구체 가스를 공급하는 공정과,
    상기 내부 공간의 퍼지를 실행하는 공정과,
    상기 내부 공간에, 상기 전구체 가스에 포함되는 전구체와 반응하는 반응성 가스를 공급하는 공정과,
    상기 내부 공간의 퍼지를 실행하는 공정을 포함하며,
    상기 성막 처리에 의해 상기 기판 상에 형성되는 막은 제 1 영역 및 제 2 영역을 포함하며, 상기 제 1 영역은 상기 개구를 형성하는 상기 마스크의 측벽면을 따라서 연장되고, 상기 제 2 영역은 상기 하지막 상에서 연장되며,
    상기 플라즈마 에칭은 상기 제 1 영역을 남기고 상기 제 2 영역을 제거하기 위해서 실행되는
    클리닝 방법.
  12. 청구항 11에 있어서,
    반응성 가스를 공급하는 상기 공정에 있어서, 상기 반응성 가스의 플라즈마가 상기 내부 공간 내에서 생성되는
    클리닝 방법.
KR1020190004775A 2018-01-16 2019-01-14 플라즈마 처리 장치의 부품의 클리닝 방법 KR102648956B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2018-005002 2018-01-16
JP2018005002A JP6799550B2 (ja) 2018-01-16 2018-01-16 プラズマ処理装置の部品をクリーニングする方法

Publications (2)

Publication Number Publication Date
KR20190087322A KR20190087322A (ko) 2019-07-24
KR102648956B1 true KR102648956B1 (ko) 2024-03-19

Family

ID=67212762

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190004775A KR102648956B1 (ko) 2018-01-16 2019-01-14 플라즈마 처리 장치의 부품의 클리닝 방법

Country Status (4)

Country Link
US (1) US10626497B2 (ko)
JP (1) JP6799550B2 (ko)
KR (1) KR102648956B1 (ko)
CN (1) CN110047727B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
JP7422531B2 (ja) 2019-12-17 2024-01-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2021240572A1 (ja) * 2020-05-25 2021-12-02 株式会社日立ハイテク 半導体装置製造システムおよび半導体装置製造方法
JP2022107873A (ja) * 2021-01-12 2022-07-25 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
WO2023286182A1 (ja) * 2021-07-14 2023-01-19 株式会社日立ハイテク プラズマ処理方法
CN113512665B (zh) * 2021-07-14 2021-12-21 上海铂世光半导体科技有限公司 一种合金材料的特殊水道设计的散热台

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015057854A (ja) 2014-11-27 2015-03-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2015076550A (ja) 2013-10-10 2015-04-20 株式会社東芝 半導体装置の製造方法
JP2017103345A (ja) 2015-12-02 2017-06-08 株式会社日立ハイテクノロジーズ プラズマ処理方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3400293B2 (ja) * 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JPWO2005098922A1 (ja) * 2004-03-31 2008-03-06 株式会社日立国際電気 半導体装置の製造方法
US8252113B2 (en) * 2005-03-24 2012-08-28 Ulvac, Inc. Method for producing component for vacuum apparatus, resin coating forming apparatus and vacuum film forming system
US20070048550A1 (en) * 2005-08-26 2007-03-01 Millero Edward R Coating compositions exhibiting corrosion resistance properties, related coated substrates, and methods
JP2009188257A (ja) 2008-02-07 2009-08-20 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置並びに記憶媒体
JP5292032B2 (ja) * 2008-09-16 2013-09-18 東京エレクトロン株式会社 重合膜の成膜方法および成膜装置
JP5489604B2 (ja) * 2009-01-14 2014-05-14 ホーヤ レンズ マニュファクチャリング フィリピン インク 光学物品の製造方法
EP2393106A4 (en) * 2009-01-29 2012-10-03 Showa Denko Kk CURABLE COMPOSITION FOR TRANSFER MATERIAL AND UREA COMPOUND CONTAINING (METH) ACRYLOYL GROUP
US8361334B2 (en) * 2009-03-18 2013-01-29 Medtronic, Inc. Plasma deposition to increase adhesion
JP2010239057A (ja) * 2009-03-31 2010-10-21 Fujifilm Corp 回路基板の作製方法
JP2011063856A (ja) * 2009-09-17 2011-03-31 Kansai Coke & Chem Co Ltd 成膜装置用部品の付着膜除去方法
US20110232567A1 (en) * 2010-03-25 2011-09-29 Tokyo Electron Limited Method of cleaning the filament and reactor's interior in facvd
JP5310915B2 (ja) * 2012-08-27 2013-10-09 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
JP5704192B2 (ja) 2013-06-14 2015-04-22 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置並びに記憶媒体
JP6855687B2 (ja) * 2015-07-29 2021-04-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板処理装置のメンテナンス方法及び記憶媒体
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
TWI689988B (zh) * 2016-07-21 2020-04-01 日商東京威力科創股份有限公司 半導體裝置之製造方法、真空處理裝置及基板處理裝置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015076550A (ja) 2013-10-10 2015-04-20 株式会社東芝 半導体装置の製造方法
JP2015057854A (ja) 2014-11-27 2015-03-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2017103345A (ja) 2015-12-02 2017-06-08 株式会社日立ハイテクノロジーズ プラズマ処理方法

Also Published As

Publication number Publication date
KR20190087322A (ko) 2019-07-24
JP6799550B2 (ja) 2020-12-16
US20190218663A1 (en) 2019-07-18
CN110047727A (zh) 2019-07-23
JP2019125686A (ja) 2019-07-25
CN110047727B (zh) 2021-05-25
US10626497B2 (en) 2020-04-21
TW201940258A (zh) 2019-10-16

Similar Documents

Publication Publication Date Title
KR102648956B1 (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
KR102650948B1 (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
TWI724801B (zh) 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
JP2019114692A (ja) 成膜方法
KR102623770B1 (ko) 성막 방법
TWI809019B (zh) 處理基板之方法
KR102104867B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
TWI833725B (zh) 電漿處理裝置之零件之清潔方法
TWI814810B (zh) 蝕刻有機區域之方法
TWI833726B (zh) 成膜方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant