CN110047727B - 等离子体处理装置的零部件的清洁方法 - Google Patents
等离子体处理装置的零部件的清洁方法 Download PDFInfo
- Publication number
- CN110047727B CN110047727B CN201910040416.9A CN201910040416A CN110047727B CN 110047727 B CN110047727 B CN 110047727B CN 201910040416 A CN201910040416 A CN 201910040416A CN 110047727 B CN110047727 B CN 110047727B
- Authority
- CN
- China
- Prior art keywords
- space
- gas
- film
- component
- processing apparatus
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims abstract description 222
- 238000004140 cleaning Methods 0.000 title claims abstract description 60
- 239000000758 substrate Substances 0.000 claims abstract description 126
- 150000001875 compounds Chemical class 0.000 claims abstract description 122
- 239000011248 coating agent Substances 0.000 claims abstract description 95
- 238000000576 coating method Methods 0.000 claims abstract description 95
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims abstract description 15
- 238000006116 polymerization reaction Methods 0.000 claims abstract description 14
- 239000012948 isocyanate Substances 0.000 claims abstract description 13
- 150000002513 isocyanates Chemical class 0.000 claims abstract description 13
- 150000001412 amines Chemical class 0.000 claims abstract description 12
- 230000015572 biosynthetic process Effects 0.000 claims description 49
- 239000002243 precursor Substances 0.000 claims description 33
- 238000005192 partition Methods 0.000 claims description 22
- 238000001020 plasma etching Methods 0.000 claims description 19
- 238000000231 atomic layer deposition Methods 0.000 claims description 13
- 238000005530 etching Methods 0.000 claims description 12
- 230000001681 protective effect Effects 0.000 claims description 9
- 238000005229 chemical vapour deposition Methods 0.000 claims description 7
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 7
- 238000010926 purge Methods 0.000 claims description 6
- 239000003507 refrigerant Substances 0.000 claims description 5
- 239000007789 gas Substances 0.000 description 180
- 239000008186 active pharmaceutical agent Substances 0.000 description 32
- 239000000126 substance Substances 0.000 description 30
- 229910052760 oxygen Inorganic materials 0.000 description 16
- 229910052710 silicon Inorganic materials 0.000 description 16
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 15
- 150000002430 hydrocarbons Chemical group 0.000 description 13
- 150000002500 ions Chemical class 0.000 description 13
- 239000002994 raw material Substances 0.000 description 13
- 239000010703 silicon Substances 0.000 description 13
- 125000003277 amino group Chemical group 0.000 description 12
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 10
- 239000001257 hydrogen Substances 0.000 description 10
- 229910052739 hydrogen Inorganic materials 0.000 description 10
- 239000001301 oxygen Substances 0.000 description 10
- 229910052721 tungsten Inorganic materials 0.000 description 10
- 239000010937 tungsten Substances 0.000 description 10
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 9
- 238000010586 diagram Methods 0.000 description 9
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 230000000379 polymerizing effect Effects 0.000 description 8
- 238000006243 chemical reaction Methods 0.000 description 7
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 7
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- 150000007824 aliphatic compounds Chemical class 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 239000012159 carrier gas Substances 0.000 description 6
- 230000007797 corrosion Effects 0.000 description 6
- 238000005260 corrosion Methods 0.000 description 6
- 229910052731 fluorine Inorganic materials 0.000 description 6
- 125000005842 heteroatom Chemical group 0.000 description 6
- 239000000463 material Substances 0.000 description 6
- 239000000178 monomer Substances 0.000 description 6
- 229930195734 saturated hydrocarbon Natural products 0.000 description 6
- 229910052814 silicon oxide Inorganic materials 0.000 description 6
- 229910052717 sulfur Inorganic materials 0.000 description 6
- 229930195735 unsaturated hydrocarbon Natural products 0.000 description 6
- 239000000919 ceramic Substances 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- IQPQWNKOIGAROB-UHFFFAOYSA-N isocyanate group Chemical group [N-]=C=O IQPQWNKOIGAROB-UHFFFAOYSA-N 0.000 description 5
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 4
- 150000001334 alicyclic compounds Chemical class 0.000 description 4
- -1 alicyclic hydrocarbon Chemical class 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 229910052736 halogen Inorganic materials 0.000 description 4
- 150000002367 halogens Chemical class 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 4
- 229920000642 polymer Polymers 0.000 description 4
- 125000001931 aliphatic group Chemical group 0.000 description 3
- 125000003118 aryl group Chemical group 0.000 description 3
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 125000001424 substituent group Chemical group 0.000 description 3
- NAWXUBYGYWOOIX-SFHVURJKSA-N (2s)-2-[[4-[2-(2,4-diaminoquinazolin-6-yl)ethyl]benzoyl]amino]-4-methylidenepentanedioic acid Chemical compound C1=CC2=NC(N)=NC(N)=C2C=C1CCC1=CC=C(C(=O)N[C@@H](CC(=C)C(O)=O)C(O)=O)C=C1 NAWXUBYGYWOOIX-SFHVURJKSA-N 0.000 description 2
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 2
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 2
- QIGBRXMKCJKVMJ-UHFFFAOYSA-N Hydroquinone Chemical compound OC1=CC=C(O)C=C1 QIGBRXMKCJKVMJ-UHFFFAOYSA-N 0.000 description 2
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- 150000001491 aromatic compounds Chemical class 0.000 description 2
- GGNQRNBDZQJCCN-UHFFFAOYSA-N benzene-1,2,4-triol Chemical compound OC1=CC=C(O)C(O)=C1 GGNQRNBDZQJCCN-UHFFFAOYSA-N 0.000 description 2
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 239000004202 carbamide Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000001569 carbon dioxide Substances 0.000 description 2
- 229910002092 carbon dioxide Inorganic materials 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 125000006165 cyclic alkyl group Chemical group 0.000 description 2
- PFURGBBHAOXLIO-UHFFFAOYSA-N cyclohexane-1,2-diol Chemical compound OC1CCCCC1O PFURGBBHAOXLIO-UHFFFAOYSA-N 0.000 description 2
- 230000006837 decompression Effects 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 108090000237 interleukin-24 Proteins 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 125000000962 organic group Chemical group 0.000 description 2
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 230000001105 regulatory effect Effects 0.000 description 2
- 238000000638 solvent extraction Methods 0.000 description 2
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 2
- 102100034871 C-C motif chemokine 8 Human genes 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- 239000005057 Hexamethylene diisocyanate Substances 0.000 description 1
- 101000946794 Homo sapiens C-C motif chemokine 8 Proteins 0.000 description 1
- QLBRROYTTDFLDX-UHFFFAOYSA-N [3-(aminomethyl)cyclohexyl]methanamine Chemical compound NCC1CCCC(CN)C1 QLBRROYTTDFLDX-UHFFFAOYSA-N 0.000 description 1
- POJUHYOPQSSOFP-UHFFFAOYSA-N [SiH4].N[SiH3] Chemical compound [SiH4].N[SiH3] POJUHYOPQSSOFP-UHFFFAOYSA-N 0.000 description 1
- 125000003158 alcohol group Chemical group 0.000 description 1
- 229920013822 aminosilicone Polymers 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 239000013043 chemical agent Substances 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 229940125904 compound 1 Drugs 0.000 description 1
- 229940125782 compound 2 Drugs 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- QFTYSVGGYOXFRQ-UHFFFAOYSA-N dodecane-1,12-diamine Chemical compound NCCCCCCCCCCCCN QFTYSVGGYOXFRQ-UHFFFAOYSA-N 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- RRAMGCGOFNQTLD-UHFFFAOYSA-N hexamethylene diisocyanate Chemical compound O=C=NCCCCCCN=C=O RRAMGCGOFNQTLD-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 125000000467 secondary amino group Chemical class [H]N([*:1])[*:2] 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/0006—Controlling or regulating processes
- B01J19/0013—Controlling the temperature of the process
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B7/00—Cleaning by methods not provided for in a single other subclass or a single group in this subclass
- B08B7/0064—Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes
- B08B7/0071—Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes by heating
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/26—Organic compounds containing oxygen
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D7/00—Compositions of detergents based essentially on non-surface-active compounds
- C11D7/22—Organic compounds
- C11D7/32—Organic compounds containing nitrogen
- C11D7/3209—Amines or imines with one to four nitrogen atoms; Quaternized amines
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
- H01J37/32724—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02046—Dry cleaning only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02076—Cleaning after the substrates have been singulated
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/00049—Controlling or regulating processes
- B01J2219/00051—Controlling the temperature
- B01J2219/00121—Controlling the temperature by direct heating or cooling
- B01J2219/00123—Controlling the temperature by direct heating or cooling adding a temperature modifying medium to the reactants
-
- C—CHEMISTRY; METALLURGY
- C11—ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
- C11D—DETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
- C11D2111/00—Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
- C11D2111/10—Objects to be cleaned
- C11D2111/14—Hard surfaces
- C11D2111/22—Electronic devices, e.g. PCBs or semiconductors
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Plasma & Fusion (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Analytical Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Life Sciences & Earth Sciences (AREA)
- Oil, Petroleum & Natural Gas (AREA)
- Wood Science & Technology (AREA)
- Electromagnetism (AREA)
- Emergency Medicine (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
本发明提供一种等离子体处理装置的零部件的清洁方法。等离子体处理装置的零部件的表面包含于划分在腔室内所提供的内部空间的表面。在一实施方式的清洁方法中,在零部件的表面上形成覆膜。构成覆膜的化合物是由于第1气体所含有的第1化合物与第2气体所含有的第2化合物之间的聚合而形成的。第1化合物是异氰酸酯,第2化合物是胺或具有羟基的化合物。在在内部空间中执行了基板处理之后,将因基板处理而在覆膜上形成的堆积物去除。为了去除堆积物,对零部件进行加热以产生构成覆膜的化合物的解聚。
Description
技术领域
本公开的实施方式涉及等离子体处理装置的零部件的清洁方法。
背景技术
在电子器件的制造中,进行基板处理。在等离子体处理装置的腔室内的内部空间中执行基板处理。在执行基板处理时,在等离子体处理装置的零部件的表面上形成有堆积物。零部件的表面包含于划分内部空间的表面。零部件是腔室自身、置于腔室内的零部件等。在零部件的表面上形成的堆积物应该被去除。
在专利文献1中记载有如下技术:利用等离子体清洁将作为因基板处理而产生的堆积物的一种的氟碳化合物膜去除。在等离子体清洁中,生成清洁气体的等离子体,利用来自该等离子体的离子和/或自由基这样的活性种去除氟碳化合物膜。
现有技术文献
专利文献
专利文献1:日本特开2013-175797号公报
发明内容
发明要解决的问题
堆积物有时由难以利用等离子体清洁去除的物质(例如含有金属的物质)形成。另外,堆积物有时形成于划分清洁气体的等离子体难以到达的空间的表面上。因而,需要与等离子体清洁不同的等离子体处理装置的零部件的清洁方法。
用于解决问题的方案
在一技术方案中,提供一种等离子体处理装置的零部件的清洁方法。零部件的表面包含于划分在等离子体处理装置的腔室内所提供的内部空间的表面。清洁方法包括如下工序:(i)在零部件的表面上形成覆膜的工序,在该工序中,向内部空间供给第1气体和第2气体,由于第1气体所含有的第1化合物与第2气体所含有的第2化合物之间的聚合,形成构成覆膜的化合物,第1化合物是异氰酸酯,第2化合物是胺或具有羟基的化合物;和(ii)在内部空间中执行了基板处理之后、将因基板处理而在覆膜上形成的堆积物去除的工序,在该工序中,对零部件进行加热以产生构成覆膜的化合物的解聚。
在一技术方案的清洁方法中,执行基板处理之前,在零部件的表面上形成覆膜。构成覆膜的化合物由异氰酸酯与胺之间的聚合或异氰酸酯与具有羟基的化合物之间的聚合而生成。在该清洁方法中,为了将由于基板处理隔着覆膜在零部件的表面上形成的堆积物去除,对零部件进行加热以产生构成覆膜的化合物的解聚。其结果,使因基板处理而在覆膜上形成的堆积物与覆膜一起去除。因而,根据该清洁方法,能够利用与等离子体清洁不同的处理将堆积物去除。
在一实施方式中,内部空间包括第1空间和独立于该第1空间的第2空间,在该第1空间中进行基板处理。等离子体处理装置还具备分隔壁、支承台、气体供给系统以及排气装置。分隔壁在第1空间与第2空间之间的交界上延伸。在分隔壁形成有使第1空间和第2空间相互连通的多个贯通孔。支承台构成为,在第1空间内支承载置于该支承台之上的基板。气体供给系统与第1空间连接。排气装置与第2空间连接。
在一实施方式中,划分内部空间的表面包括划分第1空间的第1表面和划分第2空间的第2表面。零部件的表面包含于第2表面。在该实施方式中,清洁方法在执行在零部件的表面上形成覆膜的工序后、且执行基板处理前,还包括利用在第1空间内生成的气体的等离子体将在第1表面上延伸的覆膜去除的工序。即使是在划分第1空间的第1表面上形成的堆积物能够利用等离子体清洁去除的情况下,在划分来自等离子体的活性种难以到达的空间、即第2空间的第2表面上形成的堆积物也难以利用等离子体清洁去除。根据该实施方式,通过使第2表面上的覆膜残留,能够不依赖于等离子体清洁而将隔着覆膜在第2表面上形成的堆积物去除。
在一实施方式中,在零部件的表面上形成覆膜的工序在保护构件载置于支承台上的状态下执行。在执行在零部件的表面上形成覆膜的工序后,在从支承台上拆除掉保护构件的状态下,执行将在第1表面上延伸的覆膜去除的工序。
在一实施方式中,支承台具有下部电极和静电卡盘。在下部电极中形成有制冷剂用的流路。静电卡盘设置于下部电极上。静电卡盘构成为,在第1空间中保持载置于该静电卡盘上的基板。在静电卡盘中设置有加热器。在该实施方式中,清洁方法在执行在零部件的表面上形成覆膜的工序后还具备如下工序:使加热器发热,以便产生在静电卡盘的表面上延伸的覆膜的解聚而去除该覆膜。
在将堆积物去除的工序的一实施方式中,使用设置到零部件中的加热器、以及以与零部件非接触的方式对该零部件进行加热的非接触加热器,以便对零部件进行加热。非接触加热器也可以相对于内部空间设置于腔室的外侧。
在一实施方式中,基板处理包括成膜处理和蚀刻中的至少一者。基板处理也可以包括基于化学气相成长法(CVD法)的成膜处理、基于等离子体强化CVD法(Plasma EnhancedCVD法,PECVD法)的成膜处理、基于原子层堆积法(ALD法)的成膜处理、或基于等离子体强化ALD法(Plasma Enhanced ALD法,PEALD法)的成膜处理。
在一实施方式中,基板处理也可以包括上述成膜处理和作为在该成膜处理之后执行的蚀刻的等离子体蚀刻。在一实施方式中,在基板处理中被处理的基板具有基底膜和掩模。掩模设置于基底膜上,并提供有开口。成膜处理是基于原子层堆积法的成膜处理。成膜处理包括:向内部空间供给前体气体的工序;执行内部空间的吹扫的工序;向内部空间供给与前体气体所含有的前体反应的反应性气体的工序;以及执行内部空间的吹扫的工序。通过成膜处理而在基板上形成的膜包括第1区域和第2区域。第1区域沿着划分开口的掩模的侧壁面延伸,第2区域在基底膜上延伸。等离子体蚀刻是为了残留第1区域并去除第2区域而被执行的。根据该实施方式,调整掩模的开口的宽度。在供给反应性气体的工序的一实施方式中,在内部空间中生成反应性气体的等离子体。
发明的效果
如以上说明那样,提供一种与等离子体清洁不同的等离子体处理装置的零部件的清洁方法。
附图说明
图1是表示一实施方式的清洁方法的流程图。
图2是概略地表示能够适用图1所示的清洁方法的等离子体处理装置的一个例子的图。
图3是表示图1所示的清洁方法的工序ST1执行后的等离子体处理装置的状态的图。
图4是表示图1所示的清洁方法的工序SP执行后的等离子体处理装置的状态的图。
图5是表示图1所示的清洁方法的工序ST2执行后的等离子体处理装置的状态的图。
图6是表示图1所示的清洁方法的工序STa执行后的等离子体处理装置的状态的图。
图7是表示图1所示的清洁方法的工序STb执行后的等离子体处理装置的状态的图。
图8是表示图1所示的清洁方法的工序SP执行后的等离子体处理装置的状态的图。
图9是表示图1所示的清洁方法的工序STc执行后的等离子体处理装置的状态的图。
图10是表示图1所示的清洁方法中的工序SP的基板处理的一个例子的流程图。
图11是能够适用的图10所示的工序SP的基板处理的一个例子的一个例子的基板的局部放大剖视图。
图12的(a)、图12的(b)、图12的(c)以及图12的(d)是在工序SP的基板处理的一个例子的执行中获得的基板的局部放大剖视图,图12的(e)是工序SP的基板处理的一个例子的执行后的状态的基板的局部放大剖视图。
图13是表示图10所示的工序STR的一实施方式的流程图。
图14的(a)是工序STR中的成膜处理后的状态的基板的局部放大剖视图,图14的(b)是工序STR中的膜的蚀刻后的状态的基板的局部放大剖视图。
附图标记说明
10、等离子体处理装置;12、腔室主体;14、分隔壁;16、支承台;40,42、气体供给部;52、排气装置;61、第1高频电源;62、第2高频电源;S、内部空间;S1、第1空间;S2、第2空间;F1、第1表面;F2、第2表面。
具体实施方式
以下,参照附图而详细地说明各种实施方式。此外,在各附图中对相同或相当的部分标注同一附图标记。
图1是表示一实施方式的清洁方法的流程图。图1所示的清洁方法(以下,称为“方法MT”)是为了等离子体处理装置的零部件的清洁而被执行的。图2是概略地表示能够适用图1所示的清洁方法的等离子体处理装置的一个例子的图。图2所示的等离子体处理装置10是电容耦合型的等离子体处理装置。
等离子体处理装置10具备腔室11。在腔室11中提供有内部空间S。内部空间S包括第1空间S1和第2空间S2。腔室11包括腔室主体12。腔室主体12具有大致圆筒形状。在腔室主体12中提供有内部空间S。腔室主体12由例如铝构成。腔室主体12与接地电位连接。在腔室主体12的内壁面、即,划分内部空间S的腔室主体12的表面形成有具有耐腐蚀性的膜。该膜可以是通过阳极氧化处理形成的膜、或、由氧化钇形成的膜这样的陶瓷制的膜。也可以是,在腔室主体12中,例如在腔室主体12的侧壁中设置有加热器HT12。在从加热器控制器HC12向加热器HT12赋予电力时,该加热器HT12发热。
在腔室主体12的侧壁形成有通路12p。将基板W在内部空间S与腔室11的外部之间输送时经过通路12p。通路12p能够由闸阀12g开闭。闸阀12g沿着腔室主体12的侧壁设置。
在内部空间S中设置有分隔壁14。分隔壁14在第1空间S1与第2空间S2的交界上延伸。在分隔壁14形成有多个贯通孔,以使第1空间S1和第2空间S2相互连通。分隔壁14可以通过在由铝这样的材料形成的母材的表面形成具有耐腐蚀性的膜而构成。具有耐腐蚀性的膜可以是通过阳极氧化处理形成的膜、或、由氧化钇形成的膜这样的陶瓷制的膜。也可以是,在分隔壁14中设置有加热器HT14。在从加热器控制器HC14向加热器HT14赋予电力时,该加热器HT14发热。
在一实施方式中,分隔壁14包括屏蔽部14a和挡板14b。屏蔽部14a具有大致筒形状。屏蔽部14a在内部空间S中沿着腔室主体12的侧壁在铅垂方向上延伸。屏蔽部14a与腔室主体12的侧壁分开。屏蔽部14a的上端延伸到腔室11的上部,并固定于该上部。在等离子体处理装置10中,在第1空间S1内进行基板处理。在基板处理中,产生称为反应生成物这样的副生成物。利用屏蔽部14a使附着于腔室主体12的表面的副生成物的量减少。
挡板14b沿着与屏蔽部14a交叉的方向延伸。挡板14b延伸到屏蔽部14a与随后论述的支承台之间。分隔壁14的上述的多个贯通孔形成于挡板14b。此外,屏蔽部14a和挡板14b既可以形成为一体,也可以能够相互分离。
在内部空间S中,支承部15从腔室主体12的底部向上方延伸。支承部15具有大致圆筒形状,由石英这样的绝缘材料形成。在支承部15上搭载有支承台16。支承台16由支承部15支承。支承台16构成为,在第1空间S1内支承基板W。支承台16包括下部电极18和静电卡盘20。也可以是,支承台16还包括电极板21。电极板21由铝这样的导电性材料形成,具有大致圆盘形状。下部电极18设置于电极板21上。下部电极18由铝这样的导电性材料形成,具有大致圆盘形状。下部电极18与电极板21电连接。
在下部电极18内设置有流路18f。流路18f是换热介质用的流路。作为换热介质,使用例如液状的制冷剂、或由于其气化而冷却下部电极18的制冷剂(例如、氟利昂)。换热介质从设置到腔室主体12的外部的冷机单元经由配管22a向流路18f供给。供给到流路18f的换热介质经由配管22b返回冷机单元。即,换热介质以在流路18f与冷机单元之间循环的方式供给。
静电卡盘20设置于下部电极18上。静电卡盘20具有主体和电极。静电卡盘20的主体由电介质形成,具有大致圆盘形状。静电卡盘20的电极是膜状的电极,设置于静电卡盘20的主体内。直流电源23经由开关24与静电卡盘20的电极电连接。在电压从直流电源23施加于静电卡盘20的电极时,在载置到静电卡盘20上的基板W与静电卡盘20之间产生静电引力。由于所产生的静电引力,基板W被静电卡盘20吸引,并利用该静电卡盘20保持。
在等离子体处理装置10设置有气体供给管线25。气体供给管线25将来自气体供给机构的传热气体、例如He气体向静电卡盘20的上表面与基板W的背面(下表面)之间供给。
也可以在静电卡盘20中设置一个以上的加热器HT20(例如电阻发热体)。电力从加热器控制器HC20赋予一个以上的加热器HT20。也可以在一个以上的加热器HT20与加热器控制器HC20之间设置有高频滤波器FT20,以便防止高频向加热器控制器HC20流入。在多个加热器HT20设置于静电卡盘20中的情况下,通过对从加热器控制器HC20向多个加热器HT20赋予的电力进行调整,能够对静电卡盘20的多个区域各自的温度单独地进行调整,并对静电卡盘20的面内(即,基板W的面内)的温度分布进行调整。
在静电卡盘20的外周区域上配置有聚焦环FR。聚焦环FR具有大致环状板形状。聚焦环FR由硅、石英或碳化硅这样的含硅材料形成。聚焦环FR以包围基板W的边缘的方式配置。
筒状部26从腔室主体12的底部向上方延伸。筒状部26沿着支承部15的外周延伸。筒状部26由导电性材料形成,具有大致圆筒形状。筒状部26与接地电位连接。筒状部26的表面也可以由具有耐腐蚀性的膜形成。具有耐腐蚀性的膜可以是通过阳极氧化处理形成的膜、或由氧化钇形成的膜这样的陶瓷制的膜。
在筒状部26上设置有绝缘部28。绝缘部28具有绝缘性,由石英这样的陶瓷形成。绝缘部28具有大致圆筒形状,沿着电极板21的外周、下部电极18的外周以及静电卡盘20的外周延伸。此外,也可以是,上述的挡板14b的缘部设置于筒状部26与绝缘部28之间,并由筒状部26和绝缘部28夹持。
支承部15、支承台16、筒状部26以及绝缘部28构成支承组件SA。支承组件SA从第1空间S1延伸到第2空间S2。
等离子体处理装置10还具备上部电极30。上部电极30设置于支承台16的上方。上部电极30与构件32一起使腔室主体12的上部开口封闭。构件32具有绝缘性。上部电极30隔着该构件32支承于腔室主体12的上部。
上部电极30包括顶板34和支承体36。顶板34的下表面划分内部空间S(或第1空间S1)。在顶板34设置有多个气体喷出孔34a。多个气体喷出孔34a分别沿着板厚方向(铅垂方向)贯穿顶板34。该顶板34并不被限定,但由例如硅形成。或者,顶板34可以具有在铝制的母材的表面设置有具有耐腐蚀性的膜的构造。该膜可以是通过阳极氧化处理形成的膜或由氧化钇形成的膜这样的陶瓷制的膜。
支承体36是以拆装自由的方式支承顶板34的零部件。支承体36可以由例如铝这样的导电性材料形成。也可以是,在上部电极30中,例如在支承体36中设置有加热器HT36。在从加热器控制器HC36向加热器HT36赋予电力时,该加热器HT36发热。也可以是,在加热器HT36与加热器控制器HC36之间设置有高频滤波器FT36,以便防止高频向加热器控制器HC36流入。
在支承体36的内部设置有气体扩散室36a。多个气孔36b从气体扩散室36a向下方延伸。多个气孔36b与多个气体喷出孔34a分别连通。在支承体36形成有向气体扩散室36a引导气体的气体导入口36c,在该气体导入口36c连接有气体供给管38。
在气体供给管38连接有气体供给部40。气体供给部40与随后论述的气体供给部42一起构成气体供给系统。气体供给系统与第1空间S1连接。气体供给部40具有气体源组40s、阀组40a、流量控制器组40b以及阀组40c。气体源组40s包括多个气体源。多个气体源包括在方法MT中所使用的多个气体的源。另外,气体源组40s的多个气体源包括用于形成随后论述的覆膜的第1气体和第2气体中的一个气体的源。阀组40a和阀组40c分别包括多个阀。流量控制器组40b包括多个流量控制器。流量控制器组40b的多个流量控制器分别是质量流量控制器或压力控制式的流量控制器。气体源组40s的多个气体源分别经由阀组40a的所对应的阀、流量控制器组40b的所对应的流量控制器以及阀组40c的所对应的阀与气体供给管38连接。来自气体供给部40的气体经由气体供给管38、气体扩散室36a、多个气孔36b以及多个气体喷出孔34a向第1空间S1供给。
等离子体处理装置10还设置有气体供给部42。气体供给部42具有气体源42s、阀42a、流量控制器42b以及阀42c。气体源42s是第1气体和第2气体中的另一个气体的源。流量控制器42b是质量流量控制器或压力控制式的流量控制器。气体源42s经由阀42a、流量控制器42b以及阀42c与第1空间S1连接。使来自气体供给部42的气体向第1空间S1供给。
在等离子体处理装置10的腔室主体12的底部连接有排气管50。在排气管50连接有排气装置52。排气装置52经由排气管50与第2空间S2连接。另外,排气装置52经由第2空间S2和分隔壁14的多个贯通孔与第1空间S1连接。排气装置52包括压力调整阀和减压泵。减压泵经由压力调整阀与第2空间S2连接。减压泵可以是涡轮分子泵和/或干式泵。
等离子体处理装置10能够在第1空间S1内生成被向第1空间S1供给的气体的等离子体。等离子体处理装置10还具备第1高频电源61。第1高频电源61是产生等离子体生成用的第1高频的电源。第1高频具有例如27MHz~100MHz的范围内的频率。第1高频电源61经由匹配器63与上部电极30连接。匹配器63具有用于使第1高频电源61的输出阻抗与负荷侧(上部电极30侧)的阻抗匹配的匹配电路。此外,第1高频电源61也可以经由匹配器63与下部电极18连接。在该情况下,上部电极30电接地。
等离子体处理装置10还可以具备第2高频电源62。第2高频电源62是产生用于向基板W吸引离子的偏压用的第2高频的电源。第2高频的频率比第1高频的频率低。第2高频的频率是例如400kHz~13.56MHz的范围内的频率。第2高频电源62经由匹配器64与下部电极18连接。匹配器64具有用于使第2高频电源62的输出阻抗与负荷侧(下部电极18侧)的阻抗匹配的匹配电路。
在等离子体处理装置10中,在气体向第1空间S1供给着的状态下供给第1高频时,该气体被激励,在第1空间S1内生成等离子体。另外,在第2高频向下部电极18供给时,使等离子体中的离子朝向基板W加速。
等离子体处理装置10还具备直流电源70。直流电源70与上部电极30连接。直流电源70构成为,将负极性的直流电压施加于上部电极30。在负极性的直流电压施加于上部电极30时,在第1空间S1内所生成的等离子体中的正离子与上部电极30的顶板34碰撞。在正离子与顶板34碰撞时,使二次电子从顶板34释放。在顶板34由硅形成的情况下,在正离子与顶板34碰撞时,硅可以从顶板34释放。
在等离子体处理装置10中,划分内部空间S的表面包括第1表面F1和第2表面F2。第1表面F1是划分第1空间S1的表面,包括分隔壁14的表面的一部分14e和支承组件SA的表面的一部分SAe。在图2所示的例子中,支承组件SA的表面的一部分SAe由绝缘部28提供。第1表面F1也包括构件32的表面的一部分32e和上部电极30的表面的一部分30e。在图2所示的例子中,上部电极30的表面的一部分30e是顶板34的下表面。
第2表面F2是划分第2空间S2的表面,包括腔室主体12的表面的一部分12f和分隔壁14的表面的另一部分14f。在图2所示的例子中,腔室主体12的表面的一部分12f由腔室主体12的侧壁和底部提供。另外,第2表面F2也包括支承组件SA的表面的一部分SAf。在图2所示的例子中,支承组件SA的表面的一部分SAf由筒状部26提供。
也可以是,等离子体处理装置10还具备加热器HT72。加热器HT72设置于腔室11的外部。从加热器控制器HC72向加热器HT72赋予电力。在从加热器控制器HC72向加热器HT72赋予电力时,加热器HT72发热。也可以是,等离子体处理装置10还具备加热器HT74。加热器HT74是以与等离子体处理装置10的零部件非接触的方式对该零部件进行加热的非接触加热器。加热器HT74是例如灯加热器。加热器HT74设置于内部空间S、例如第2空间S2中。在从加热器控制器HC向加热器HT74赋予电力时,该加热器HT74发热而以非接触方式对等离子体处理装置10的零部件进行加热。
在一实施方式中,等离子体处理装置10还可以具备控制部80。控制部80构成为,对等离子体处理装置10的各部进行控制。控制部80可以是具备处理器、存储器这样的存储装置、输入装置以及显示装置等的计算机。控制部80执行在存储装置存储着的控制程序,基于在该存储装置存储着的制程数据对等离子体处理装置10的各部进行控制。由此,等离子体处理装置10执行由制程数据指定的工艺。例如,控制部80在方法MT的执行中对等离子体处理装置10的各部进行控制。
再次参照图1,同时对方法MT进行说明。以下,以方法MT适用于等离子体处理装置10的情况为例对方法MT进行说明。方法MT包括工序ST1和工序ST2。在工序ST1中,在等离子体处理装置10的零部件的表面上形成覆膜。工序ST1在工序SP的基板处理之前执行。工序ST2在工序SP的基板处理之后执行。在工序ST2中,使因基板处理而形成的堆积物与覆膜一起去除。也可以是,方法MT还包括工序STa、工序STb以及工序STc中的至少一个工序。在以下的说明中,首先,对包括工序ST1、工序SP以及工序ST2且在工序STa中未去除第1表面F1上的覆膜、未执行工序STc的情况的方法MT进行叙述。在以下的说明中,与图1一起参照图3~图5。图3~图5表示分别执行工序ST1、工序SP以及工序ST2后的等离子体处理装置的状态。
方法MT以工序ST1开始。在工序ST1中,如图3所示,在等离子体处理装置10的零部件的表面、即划分内部空间S的表面上形成覆膜CF。划分内部空间S的表面包括第1表面F1和第2表面F2。零部件包括腔室11。腔室11包括腔室主体12、上部电极30(例如顶板34)以及构件32。另外,零部件包括配置于腔室11中的零部件。配置于腔室11中的零部件包括分隔壁14、静电卡盘20、筒状部26、绝缘部28以及聚焦环FR。
覆膜CF也可以在保护构件(例如仿真基板DW)载置于支承台16上(静电卡盘20上)的状态下形成。或者,覆膜CF也可以在物体未载置于支承台16上(静电卡盘20上)的状态下形成。为了形成覆膜CF,从气体供给部40向内部空间S供给含有第1化合物(即,其蒸气)的第1气体,从气体供给部42向内部空间S供给含有第2化合物(即,其蒸气)的第2气体。此外,也可以从气体供给部42供给第1气体,从气体供给部40供给第2气体。
第1化合物是原料单体,是异氰酸酯。第2化合物是原料单体,是胺或具有羟基的化合物。在工序ST1中,在内部空间S中,产生第1化合物与第2化合物的聚合。由于第1化合物与第2化合物的聚合,形成了构成覆膜CF的化合物。在第2化合物是胺的情况下,构成覆膜CF的化合物能是具有脲键的聚合物或低聚物。在第2化合物是具有羟基的化合物的情况下,构成覆膜CF的化合物可以是具有尿烷键的聚合物或低聚物。第1化合物和第2化合物的聚合以比产生构成覆膜CF的化合物的解聚的温度低的温度产生。第1化合物与第2化合物的聚合在例如0℃以上、且150℃以下的温度范围内产生。在工序ST1中,为了将内部空间S中的温度设定成该温度,可以根据需要进行加热器的加热。工序ST1的加热所使用的加热器是加热器HT12、加热器HT14、加热器HT20、加热器HT36、加热器HT72以及加热器HT74中的一个以上的加热器。
以下,例示第1化合物、第2化合物、以及构成覆膜CF的化合物。作为第1化合物,例示出以下的式(1)所示的单官能性异氰酸酯和式(2)所示的二官能性异氰酸酯。在式(1)和式(2)中,R是烷基(直链状烷基或环状烷基)等饱和烃基、芳基等不饱和烃基、或者含有N、O、S、F、或Si等杂原子的基团。含有杂原子的基团包括其一部分元素被N、O、S、F、或Si等取代而成的饱和烃基或不饱和烃基。在式(1)和式(2)中以R所示的原子团中所含有的C-H键中的氢也可以被其他取代基取代。作为第1化合物的异氰酸酯,能够使用例如脂肪族化合物或芳香族化合物。作为脂肪族化合物,能够使用脂肪族链式化合物或脂肪族环式化合物。作为脂肪族化合物,可列举出例如六亚甲基二异氰酸酯。另外,作为脂肪族环式化合物,可列举出例如1,3-二甲基异氰酸酯环己烷(H6XDI)。
【化学式1】
OCN-R (1)
【化学式2】
OCN-R-NCO (2)
另外,作为第2化合物,例示以下的式(3)所示的单官能性胺和式(4)所示的二官能性胺。在式(3)和式(4)中,R是烷基(直链状烷基或环状烷基)等饱和烃基、芳基等不饱和烃基、或者含有N、O、S、F、或Si等杂原子的基团。含有杂原子的基团包括其一部分元素被N、O、S、F、或Si等取代而成的饱和烃基或不饱和烃基。在式(3)和式(4)中以R所示的原子团中所含有的C-H键中的氢也可以被其他取代基取代。此外,是在式(1)和式(2)中以R所示的原子团且是在工序ST1进行聚合的第1化合物的该原子团,与是在式(3)和式(4)中以R表示的原子团且是在工序ST1中进行聚合的第2化合物的该原子团既可以相同也可以不同。作为第2化合物的胺,能够使用例如脂肪族化合物或芳香族化合物。作为脂肪族化合物,能够使用脂肪族链式化合物或脂肪族环式化合物。作为脂肪族化合物,可列举出例如1,12-二氨基十二烷(DAD)。作为脂肪族环式化合物,可列举出1,3-双(氨基甲基)环己烷(H6XDA)。此外,作为第2化合物的胺也可以是仲胺。
【化学式3】
H2N-R (3)
【化学式4】
H2N-R-NH2 (4)
另外,作为第2化合物,可例示出以下的式(5)所示的具有羟基的单官能性化合物和式(6)所示的具有羟基的二官能性化合物。在式(5)和式(6)中,R是烃基(直链状烃基或者环状烃基)等饱和烃基、芳基等不饱和烃基、或者含有N、O、S、F、或Si等杂原子的基团。含有杂原子的基团包括其一部分元素被N、O、S、F、或Si等取代而成的饱和烃基或者不饱和烃基。在式(5)和式(6)中以R表示的原子团的中所含有的C-H键中的氢也可以被其他取代基取代。此外,是在式(1)和式(2)中以R表示的原子团且是在工序ST1进行聚合的第1化合物的该原子团,与是在式(5)和式(6)中以R表示的原子团且是在工序ST1中进行聚合的第2化合物的该原子团既可以相同也可以不同。具有羟基的化合物是醇或苯酚。作为第2化合物的醇,可列举出例如二甘醇、1,2-环己烷二醇。另外,作为第2化合物的苯酚,可列举出例如对苯二酚、1,2,4-苯三酚。
【化学式5】
HO-R (5)
【化学式6】
HO-R-OH (6)
作为构成覆膜CF的化合物,可列举出以下的式(7)~式(10)所示的具有脲键的化合物。式(7)所示的化合物是通过式(1)所示的化合物与式(3)所示的化合物聚合而生成的。式(8)所示的化合物是通过式(1)所示的化合物与式(4)所示的化合物聚合而生成的。或者、式(8)所示的化合物是通过式(2)所示的化合物与式(3)所示的化合物聚合而生成的。式(9)所示的化合物是通过式(2)所示的化合物与式(4)所示的化合物聚合而生成的。另外,式(10)所示的化合物具有将式(9)所示的聚合物的两末端分别用具有异氰酸酯基的单体(例如式(1)所示的化合物)、具有氨基的单体(例如式(3)所示的化合物)封端而成的结构。此外,在式(9)和式(10)中,n是2以上的整数。
【化学式7】
【化学式8】
【化学式9】
【化学式10】
另外,作为构成覆膜CF的别的化合物,可列举出以下的式(11)~式(15)所示的具有尿烷键的化合物。式(11)所示的化合物是通过式(1)所示的化合物与式(5)所示的化合物聚合而生成的。式(12)所示的化合物是通过式(1)所示的化合物与式(6)所示的化合物聚合而生成的。式(13)所示的化合物是通过式(2)所示的化合物与式(5)所示的化合物聚合而生成的。式(14)所示的化合物是通过式(2)所示的化合物与式(6)所示的化合物聚合而生成的。另外,式(15)所示的化合物具有分别将式(14)所示的聚合物的两末端用具有异氰酸酯基的单体(例如式(1)所示的化合物)、具有羟基的单体(例如式(5)所示的化合物)封端而成的结构。此外,在式(14)和式(15)中,n是2以上的整数。
【化学式11】
【化学式12】
【化学式13】
【化学式14】
【化学式15】
以下的式(16)~(26)例示在式(2)、式(4)、以及式(6)中以R表示的原子团的结构。在式(16)~(26)中,R1是异氰酸酯基、氨基、或羟基。如式(16)~式(22)所示,第1化合物和第2化合物也可以分别具有苯环作为以R表示的原子团。式(16)~式(19)所示的化合物分别也可以在异氰酸酯基、氨基、或羟基与苯环之间不具有碳。式(20)~式(22)所示的化合物分别在异氰酸酯基、氨基、或羟基与苯环之间具有碳。如式(23)和式(24)所示,第1化合物和第2化合物也可以分别具有脂环式烃作为以R表示的原子团。另外,如式(25)和式(26)所示,分别在第1化合物和第2化合物中,以R表示的原子团也可以由脂肪族构成。
【化学式16】
【化学式17】
【化学式18】
【化学式19】
【化学式20】
【化学式21】
【化学式22】
【化学式23】
【化学式24】
【化学式25】
【化学式26】
也可以是,在保护构件载置于支承台16上(静电卡盘20上)的状态下执行了工序ST1的情况下,在向下一工序行进之前,将保护构件从支承台16拆除。也可以是,在物体未载置于支承台16上(静电卡盘20上)的状态下执行了工序ST1的情况下,在接下来的工序STa中,去除在静电卡盘20的表面上延伸的覆膜CF。例如,也可以是,在工序STa中,使加热器HT20发热,以产生构成在静电卡盘20的表面上延伸的覆膜CF的化合物的解聚。构成覆膜CF的化合物的解聚所产生的温度是例如200℃以上、且350℃以下的范围内的温度。此外,通过使制冷剂在流路18f与冷机单元之间循环,能够防止覆膜CF被从除了静电卡盘20以外的零部件的表面去除。
接下来,也可以执行工序STb。随后论述工序STb。接下来,执行工序SP。在工序SP中,在基板W载置于支承台16(静电卡盘20)上的状态下,在内部空间S中执行基板处理。工序SP的基板处理包括成膜处理和蚀刻中的至少一者。工序SP的基板处理也可以包括成膜处理和在该成膜处理之后执行的蚀刻。成膜处理能是基于化学气相成长法(CVD法)的成膜处理、基于等离子体强化CVD法(PECVD法)的成膜处理、基于原子层堆积法(ALD法)的成膜处理或基于等离子体强化ALD法(PEALD法)的成膜处理。工序SP的基板处理所含有的蚀刻包括等离子体蚀刻。利用等离子体蚀刻蚀刻的基板W内的膜可以是任意的膜。利用等离子体蚀刻蚀刻的基板W内的膜可以是含硅膜或含金属膜。
在工序SP中所执行的基板处理以不产生构成覆膜CF的化合物的解聚的温度被执行。此外,构成覆膜CF的化合物通过覆膜CF被加热而解聚。解聚是与上述的聚合相反的方向的反应,是构成覆膜CF的化合物分解成第1化合物和第2化合物的反应。产生构成覆膜CF的化合物的解聚的温度是例如200℃以上、且350℃以下的范围内的温度。
在执行工序SP的基板处理时,如图4所示,在覆膜CF上形成堆积物DS。堆积物DS是因成膜处理而形成的膜和/或蚀刻副产品。
接下来,执行工序ST2。在工序ST2中,在覆膜CF上形成的堆积物DS与覆膜CF一起被去除。在工序ST2中,利用加热器加热等离子体处理装置10的上述的零部件,以产生构成覆膜CF的化合物的解聚。如上所述,产生构成覆膜CF的化合物的解聚的温度是例如200℃以上、且350℃以下的温度。在工序ST2中所使用的加热器是加热器HT12、加热器HT14、加热器HT20、加热器HT36、加热器HT72以及加热器HT74中的一个以上的加热器。在工序ST2中,堆积物DS与因覆膜CF的解聚而产生的化合物一起被从等离子体处理装置10的上述的零部件的表面去除。即,在工序ST2中,堆积物DS与因覆膜CF的解聚而产生的化合物一起被从划分内部空间S的表面去除。
以下,对包括工序ST1、工序STa、工序STb、工序SP、工序STc以及工序ST2且在工序STa中将第1表面F1上的覆膜去除的情况的方法MT进行叙述。在该情况的方法MT的工序SP的基板处理中,产生利用工序STc的等离子体处理能够从第1表面F1去除的堆积物DS。在以下的说明中,工序SP的基板处理包括成膜处理。在以下的说明中,与图1、图3以及图5一起参照图6~图9。图6~图9表示分别执行工序STa、工序STb、工序SP、工序STc后的等离子体处理装置的状态。
如上所述,在工序ST1中,如图3所示,在等离子体处理装置10的零部件的表面、即划分内部空间S的表面(第1表面F1和第2表面F2)上形成覆膜CF。
接下来,执行工序STa。在工序STa中,在第1表面F1上延伸的覆膜CF利用等离子体处理而被去除。在工序STa中,在第1空间S1内生成第3气体的等离子体。第3气体是可以利用来自该第3气体的等离子体的活性种对覆膜CF进行蚀刻的气体。在工序STa中所使用的第3气体可以是含有氧气、一氧化碳气体、二氧化碳气体这样的含氧气体。或者,第3气体可以是含有氢气和氮气的混合气体。在工序STa中,从气体供给部40向第1空间S1供给第3气体,向上部电极30供给第1高频。另外,以将第1空间S1的压力设定成指定好的压力的方式控制排气装置52。在工序STa中,利用来自第3气体的等离子体的活性种对在第1表面F1上延伸的覆膜CF进行蚀刻。其结果,如图6所示,第1表面F1上的覆膜CF被去除。此外,工序STa也可以在物体未载置于支承台16(静电卡盘20)上的状态下执行。或者,工序STa也可以在保护构件(例如仿真基板DW)载置于支承台16(静电卡盘20)上的状态下执行。
接下来,执行工序STb。在工序STb中,执行与工序SP的基板处理相同的处理。在工序STb中,也可以仅执行与工序SP的基板处理所含有的成膜处理相同的处理。工序STb也可以在保护构件(例如仿真基板DW)载置于支承台16(静电卡盘20)上的状态下执行。也可以多次执行工序STb。对于工序STb的处理,要参照工序SP的基板处理(或成膜处理)。在执行工序STb时,如图7所示,在第1表面F1上形成堆积物DS,且隔着覆膜CF在第2表面F2上形成堆积物DS。堆积物DS是因成膜处理而形成的膜和/或蚀刻副产品。
接下来,执行工序SP。在工序SP中,在基板W载置于支承台16(静电卡盘20)上的状态下执行基板处理。在该例子中,基板处理包括成膜处理。成膜处理能是基于化学气相成长(CVD)法的成膜处理、基于等离子体强化CVD法(PECVD法)的成膜处理、基于原子层堆积(ALD)法的成膜处理、或基于等离子体强化ALD法(PEALD法)的成膜处理。也可以是,在工序SP中所执行的基板处理除了包括成膜处理之外,还包括等离子体蚀刻。
在工序SP中所执行的基板处理以不产生构成覆膜CF的化合物的解聚的温度被执行。如上所述,产生构成覆膜CF的化合物的解聚的温度是例如200℃以上、且350℃以下的范围内的温度。
在执行工序SP时,如图8所示,在第1表面F1上形成堆积物DS,且隔着覆膜CF在第2表面F2上形成堆积物DS。堆积物DS可以由任意的物质形成。堆积物DS由例如氧化硅或钨形成。此外,也可以针对多个基板W依次执行工序SP。在执行该工序SP后,从内部空间S输出基板W。
在方法MT中,接下来,执行工序STc。在工序STc中,第1表面F1上的堆积物DS被去除。在工序STc中,在第1空间S1内生成第4气体的等离子体。第4气体可以是利用来自该第4气体的等离子体的活性种可以对堆积物DS进行蚀刻的任意的气体。在堆积物DS由氧化硅或钨形成的情况下,第4气体包括例如氟碳化合物气体。在工序STc中,从气体供给部40向第1空间S1供给第4气体,向上部电极30供给第1高频。另外,以将第1空间S1的压力设定成指定好的压力的方式控制排气装置52。在工序STc中,利用来自第4气体的等离子体的活性种对在第1表面F1上形成的堆积物DS进行蚀刻。其结果,如图9所示,第1表面F1上的堆积物DS被去除。此外,也可以在物体未载置于支承台16(静电卡盘20)上的状态下执行工序STc。或者,也可以在支承台16(静电卡盘20)上载置有保护构件(例如仿真基板DW)的状态下执行工序STc。
接下来,执行工序ST2。在工序ST2中,如上所述,在覆膜CF上形成的堆积物DS与覆膜CF一起被去除(参照图5)。在该例子中,堆积物DS与覆膜CF一起被从第2表面F2所含有的等离子体处理装置10的零部件的表面去除。在工序ST2中,利用加热器加热等离子体处理装置10的上述的零部件,以产生构成覆膜CF的化合物的解聚。如上所述,产生构成覆膜CF的化合物的解聚的温度是例如200℃以上、且350℃以下的温度。在工序ST2中所使用的加热器是加热器HT12、加热器HT14、加热器HT20、加热器HT36、加热器HT72以及加热器HT74中的一个以上的加热器。
在方法MT中,在执行基板处理之前,在等离子体处理装置10的零部件的表面上形成覆膜CF。构成覆膜CF的化合物是由于异氰酸酯与胺之间的聚合或异氰酸酯与具有羟基的化合物之间的聚合而生成的。构成覆膜CF的化合物因异氰酸酯与胺之间的聚合或异氰酸酯与具有羟基的化合物之间的聚合而被生成。在方法MT中,为了将由于基板处理隔着覆膜CF在零部件的表面上形成的堆积物DS去除,以产生构成覆膜CF的化合物的解聚的方式加热零部件。其结果,由于基板处理在覆膜CF上形成的堆积物DS与覆膜CF一起被从零部件的表面去除。因而,根据方法MT,能够利用与等离子体清洁不同的处理去除堆积物DS。
在一实施方式的方法MT的工序STa中,如上所述,在第1表面F1上延伸的覆膜CF被去除。即使是在划分第1空间S1的第1表面F1上形成的堆积物DS能够利用等离子体清洁去除的情况下,在划分来自等离子体的活性种难以到达的空间、即第2空间S2的第2表面F2上形成的堆积物DS也难以利用等离子体清洁去除。根据该实施方式,通过使第2表面F2上的覆膜CF残留,能够不依赖于等离子体清洁而将隔着覆膜CF在第2表面F2上形成的堆积物DS去除。
此外,如果无法适用等离子体清洁,则堆积物DS也可以利用使用了化学试剂的湿清洁去除。在湿清洁中,会使在其上形成有应该去除的堆积物DS的等离子体处理装置的零部件产生损伤,因此,该零部件的更换频度变高。另一方面,在方法MT中,能够利用加热去除堆积物DS,因此,等离子体处理装置的零部件的损伤被抑制,该零部件的更换频度被降低。
在一实施方式中,如上所述,在执行工序SP的基板处理之前,执行工序STb。在工序STb中,以与工序SP的基板处理相同的处理形成堆积物DS,内部空间S被该堆积物DS包围。因而,抑制了在工序SP的执行中包围内部空间S的表面的材质的变化。其结果,即使针对多个基板W依次执行工序SP的基板处理,针对多个基板W的基板处理的变动也会被抑制。
以下,对在工序SP中执行的基板处理的一个例子进行说明。图10是表示图1所示的清洁方法中的工序SP的基板处理的一个例子的流程图。图10所示的工序SP的基板处理包括工序ST41~工序ST46和工序STR。工序STR是对掩模的开口的宽度进行调整的工序。工序STR是为了对工序ST42、工序ST43、工序ST44以及工序ST46的至少一个工序中的等离子体蚀刻用的掩模的开口的宽度进行调整而被执行的。
图11是能够适用图10所示的工序SP的基板处理的一个例子的一个例子的基板的局部放大剖视图。图11所示的基板W能具有大致圆盘形状。在一实施方式中,基板W具有含硅膜SF、有机膜OF、防反射膜BF以及抗蚀剂掩模RM。含硅膜SF设置于基层BL上。在一实施方式中,含硅膜SF包括第1膜SFa和第2膜SFb。第1膜SFa设置于基层BL上,第2膜SFb设置于第1膜SFa上。第1膜SFa和第2膜SFb含有硅,且由互不相同的材料形成。第1膜SFa由例如硅形成。第1膜SFa可以是多晶硅膜或非晶硅膜。第2膜SFb由例如氧化硅形成。
有机膜OF设置于含硅膜SF上。防反射膜BF设置于有机膜OF上。防反射膜BF含有硅。抗蚀剂掩模RM设置于防反射膜BF上。抗蚀剂掩模RM具有应该利用等离子体蚀刻而被转印于防反射膜BF的图案。即,抗蚀剂掩模RM提供有开口ORM。开口ORM是槽或孔,使防反射膜BF的表面局部地暴露。抗蚀剂掩模RM可以利用由光刻技术进行的抗蚀剂膜的图案化形成。
以下,与图10一起参照图12的(a)、图12的(b)、图12的(c)、图12的(d)以及图12的(e)。图12的(a)、图12的(b)、图12的(c)以及图12的(d)是在工序SP的基板处理的一个例子的执行中获得的基板的局部放大剖视图,图12的(e)是工序SP的基板处理的一个例子的执行后的状态的基板的局部放大剖视图。
如图10所示,在工序SP的基板处理的一个例子中,执行工序ST41。在工序ST41中,抗蚀剂掩模RM被改性。具体而言,在工序ST41中,在图11所示的基板W载置到支承台16上(静电卡盘20上)的状态下,向第1空间S1供给处理气体。在工序ST41中所使用的处理气体可以是例如氢气和稀有气体的混合气体。另外,在工序ST41中,以将第1空间S1的压力设定成指定好的压力的方式控制排气装置52。另外,在工序ST41中,向上部电极30供给来自第1高频电源61的第1高频。而且,在工序ST41中,向上部电极30施加来自直流电源70的电压。此外,在工序ST41中,也可以向下部电极18供给来自第2高频电源62的第2高频,也可以不供给来自第2高频电源62的第2高频。通过执行工序ST41,在第1空间S1中生成处理气体的等离子体。等离子体中的正离子与上部电极30的顶板34碰撞。其结果,使二次电子从顶板34释放。释放出来的二次电子对抗蚀剂掩模RM进行改性。
在工序SP的基板处理的一个例子中,在执行工序ST41后,执行工序ST42。在工序ST42中,为了将掩模MK1的图案转印于防反射膜BF,执行等离子体蚀刻。掩模MK1是抗蚀剂掩模RM或通过在工序STR中对抗蚀剂掩模RM的开口的宽度进行调整而获得的掩模。
在工序ST42中,在具有掩模MK1的基板W载置到支承台16上(静电卡盘20上)的状态下,向第1空间S1供给处理气体。在工序ST42中所使用的处理气体可以包括例如氟碳化合物气体。另外,在工序ST42中,以将第1空间S1的压力设定成指定好的压力的方式控制排气装置52。另外,在工序ST42中,向上部电极30供给来自第1高频电源61的第1高频。另外,在工序ST42中,向下部电极18供给来自第2高频电源62的第2高频。通过执行工序ST42,在第1空间S1中生成处理气体的等离子体。并且,利用等离子体中的离子和/或自由基这样的活性种对防反射膜BF进行蚀刻。其结果,如图12的(a)所示,掩模MK1的图案被转印于防反射膜BF。也可以在执行工序ST42之后,去除掩模MK1。
在工序SP的基板处理的一个例子中,在执行工序ST42后,执行工序ST43。在工序ST43中,为了将掩模MK2的图案转印于有机膜OF,执行等离子体蚀刻。掩模MK2是利用工序ST42的等离子体蚀刻从防反射膜BF获得的掩模或通过在工序STR中对从防反射膜BF获得的该掩模的开口的宽度进行调整而获得的掩模。
在工序ST43中,在将具有掩模MK2的基板W载置到支承台16上(静电卡盘20上)的状态下,向第1空间S1供给处理气体。在工序ST43中所使用的处理气体包括含氧气体(例如氧气)。或者,在工序ST43中所使用的处理气体包括氢气和氮气。另外,在工序ST43中,以将第1空间S1的压力设定成指定好的压力的方式控制排气装置52。另外,在工序ST43中,向上部电极30供给来自第1高频电源61的第1高频。另外,在工序ST43中,向下部电极18供给来自第2高频电源62的第2高频。通过执行工序ST43,在第1空间S1中生成处理气体的等离子体。并且,利用等离子体中的离子和/或自由基这样的活性种对有机膜OF进行蚀刻。其结果,如图12的(b)所示,掩模MK2的图案被转印于有机膜OF,而从有机膜OF获得有机掩模OFM。也可以在执行工序ST43之后,去除掩模MK2。
在工序SP的基板处理的一个例子中,在执行工序ST43后,执行工序ST44。在工序ST44中,为了将掩模MK3的图案转印于第2膜SFb,执行等离子体蚀刻。掩模MK3是有机掩模OFM或通过在工序STR中对有机掩模OFM的开口的宽度进行调整而获得的掩模。
在工序ST44中,在将具有掩模MK3的基板W载置到支承台16上(静电卡盘20上)的状态下,向第1空间S1供给处理气体。在工序ST44中所使用的处理气体可以包括氟碳化合物气体。另外,在工序ST44中,以将第1空间S1的压力设定成指定好的压力的方式控制排气装置52。另外,在工序ST44中,向上部电极30供给来自第1高频电源61的第1高频。另外,在工序ST44中,向下部电极18供给来自第2高频电源62的第2高频。通过执行工序ST44,在第1空间S1中生成处理气体的等离子体。并且,利用等离子体中的离子和/或自由基这样的活性种对第2膜SFb进行蚀刻。其结果,如图12的(c)所示,掩模MK3的图案被转印于第2膜SFb。
在工序SP的基板处理的一个例子中,接下来,执行工序ST45。在工序ST45中,掩模MK3被去除。在工序ST45中,在将图12的(c)所示的基板W载置到支承台16上(静电卡盘20上)的状态下,向第1空间S1供给处理气体。在工序ST45中所使用的处理气体包括含氧气体(例如氧气)。或者,在工序ST45中所使用的处理气体包括氢气和氮气。另外,在工序ST45中,以将第1空间S1的压力设定成指定好的压力的方式控制排气装置52。另外,在工序ST45中,向上部电极30供给来自第1高频电源61的第1高频。此外,在工序ST45中,既可以向下部电极18供给来自第2高频电源62的第2高频,也可以不供给来自第2高频电源62的第2高频。通过执行工序ST45,在第1空间S1中生成处理气体的等离子体。并且,掩模MK3、即,有机掩模OFM自身或包括该有机掩模OFM的掩模利用等离子体中的离子和/或自由基这样的活性种去除。其结果,获得图12的(d)所示的基板W。
在工序SP的基板处理的一个例子中,在执行工序ST45后,执行工序ST46。在工序ST46中,为了将掩模MK4的图案转印于第1膜SFa,执行等离子体蚀刻。掩模MK4是利用工序ST44的等离子体蚀刻而从第2膜SFb获得的掩模或通过在工序STR中对从第2膜SFb获得的该掩模的开口的宽度进行调整而获得的掩模。
在工序ST46中,在将具有掩模MK4的基板W载置到支承台16上(静电卡盘20上)的状态下,向第1空间S1供给处理气体。在工序ST46中所使用的处理气体可以含有卤系的气体。在工序ST46中所使用的处理气体可以含有例如氯气和溴化氢气体中的一个以上的气体。另外,在工序ST46中,以将第1空间S1的压力设定成指定好的压力的方式控制排气装置52。另外,在工序ST46中,向上部电极30供给来自第1高频电源61的第1高频。另外,在工序ST46中,向下部电极18供给来自第2高频电源62的第2高频。通过执行工序ST46,在第1空间S1中生成处理气体的等离子体。并且,利用等离子体中的离子和/或自由基这样的活性种对第1膜SFa进行蚀刻。其结果,如图12的(e)所示,掩模MK4的图案被转印于第1膜SFa。此外,也可以是,在执行工序ST46前,为了去除在第1膜SFa的表面上形成的氧化膜,执行等离子体蚀刻。氧化膜的去除用的等离子体蚀刻能够使用氟碳化合物气体。
以下,参照图13、图14的(a)以及图14的(b),同时对工序STR进行说明。图13是表示图10所示的工序STR的一实施方式的流程图。图14的(a)是工序STR中的成膜处理后的状态的基板的局部放大剖视图,图14的(b)是工序STR中的膜的蚀刻后的状态的基板的局部放大剖视图。
工序STR包括成膜处理DP。成膜处理DP是工序SP的基板处理所含有的成膜处理的一个例子,是基于PEALD法的成膜处理。通过执行成膜处理DP,如图14的(a)所示,在基板W的表面、即,掩模MK的表面和基底膜UF的表面上形成膜DF。掩模MK是抗蚀剂掩模RM、通过执行工序ST42而从防反射膜BF形成的掩模、有机掩模OFM或通过执行工序ST44而从第2膜SFb形成的掩模。在掩模MK是抗蚀剂掩模RM的情况下,基底膜UF是防反射膜BF,在掩模MK是通过执行工序ST42而从防反射膜BF形成的掩模的情况下,基底膜UF是有机膜OF,在掩模MK是有机掩模OFM的情况下,基底膜UF是第2膜SFb,在掩模MK是通过执行工序ST44而从第2膜SFb形成的掩模的情况下,基底膜UF是第1膜SFa。
在成膜处理DP中,为了形成膜DF,执行一次以上循环CY。各循环CY包括工序ST11~工序ST16。在工序ST11中,为了使前体堆积于基板W上,从气体供给部40向基板W配置于其中的第1空间S1供给前体气体。即,向基板W供给前体气体。也经由第1空间S1向第2空间S2供给前体气体。
在膜DF是氧化硅膜的情况下,前体气体是例如氨基硅烷系气体。氨基硅烷系气体能是含有有机基团的氨基硅烷系气体。作为氨基硅烷系气体,能够使用具有氨基的个数比较少的分子结构的气体,能使用例如单氨基硅烷(H3-Si-R(R含有有机基团,是可以被取代的氨基))。另外,氨基硅烷系气体能够含有可具有1个~3个硅原子的氨基硅烷,或者、能够含有具有1个~3个氨基的氨基硅烷。具有1个~3个硅原子的氨基硅烷能是具有1个~3个氨基的甲硅烷(单氨基硅烷)、具有1个~3个氨基的乙硅烷、或具有1个~3个氨基的丙硅烷。而且,上述的氨基硅烷能具有也可以被置换的氨基。而且,上述的氨基能被甲基、乙基、丙基和丁基中任一者取代。而且,上述的甲基、乙基、丙基、或丁基可被卤素取代。
在膜DF是钨膜的情况下,前体气体含有钨。前体气体可以是卤化钨气体。一个例子的前体气体是六氟化钨(WF6)气体。前体气体也可以是六氯化钨气体这样的其他卤化钨气体或别的含钨气体。
在工序ST11中,未在第1空间S1内生成等离子体。即,在工序ST11中,第1高频和第2高频的供给被停止。在工序ST11中,以将第1空间S1内的压力设定成指定好的压力的方式控制排气装置52。也可以是,在工序ST11中,载气与前体气体一起从气体供给部40向第1空间S1供给。载气也经由第1空间S1向第2空间S2供给。载气可以是He气体、Ne气体、Ar气体、Xe气体、Kr气体这样的稀有气体。在一实施方式中,也可以在执行成膜处理DP的期间内向第1空间S1和第2空间S2供给载气。在执行该工序ST11时,前体吸附于基板W的表面。另外,前体吸附于第1表面F1或在第1表面F1上延伸的覆膜CF,前体也吸附于在第2表面F2上延伸的覆膜CF。
在接下来的工序ST12中,执行内部空间S的吹扫。具体而言,在工序ST12中,执行内部空间S的排气。在工序ST12中,作为吹扫气体也可以向第1空间S1和第2空间S2供给载气。通过执行工序ST12,内部空间S中的前体气体被排出,过量地堆积到基板W上的前体被去除。
在接下来的工序ST13中,从气体供给部40向第1空间S1供给与前体反应的反应性气体。在膜DF是氧化硅膜的情况下,反应性气体是含氧气体。含氧气体是氧气(O2气体)、一氧化碳气体、或二氧化碳气体。在膜DF是钨膜的情况下,反应性气体是氢气(H2气体)。
在工序ST13中,在第1空间S1内生成反应性气体的等离子体。在工序ST13中,在向第1空间S1供给着反应性气体的状态下,向上部电极30供给第1高频。由此,在第1空间S1中生成反应性气体的等离子体。在工序ST13中,也可以向下部电极18供给第2高频。在工序ST13中,以将第1空间S1内的压力设定成指定好的压力的方式控制排气装置52。在工序ST13中,来自等离子体的活性种和前体反应。在膜DF是氧化硅膜的情况下,由于氧的活性种与前体之间的反应,前体氧化。在膜DF是钨膜的情况下,由于氢的活性种与前体之间的反应,前体中的杂质被去除。在前体气体是卤化钨气体的情况下,由于前体中的卤元素与氢之间的反应,卤元素被从前体去除。来自等离子体的活性种、主要是自由基从第1空间S1到达第2空间S2。因而,也产生活性种与在第2表面F2上延伸的前体之间的反应。
在接下来的工序ST14中,执行内部空间S的吹扫。具体而言,在工序ST14中,执行内部空间S的排气。在工序ST14中,作为吹扫气体,也可以向第1空间S1和第2空间S2供给载气。通过执行工序ST14,内部空间S中的反应性气体被排出。
在接下来的工序ST15中,判定停止条件是否满足。在循环CY的执行次数达到预定次数的情况判定为满足停止条件。预定次数是1次以上的次数。若在工序ST15中判定为停止条件未满足,则再次执行循环CY。另一方面,若在工序ST15中判定为停止条件已满足,则成膜处理DP的执行停止。通过执行成膜处理DP,如图14的(a)所示,在基板W的表面上形成膜DF。在基板W的表面上形成的膜DF包括第1区域R1和第2区域R2。第1区域R1沿着划分开口OMK的掩模MK的侧壁面SW延伸。第2区域R2在基底膜UF上延伸。此外,通过执行成膜处理DP,由与构成膜DF的物质相同的物质形成的堆积物DS形成于第1表面F1上,且形成于在第2表面F2上延伸的覆膜CF上。
如图13所示,在工序STR中,接下来,执行工序ST16。在工序ST16中,以残留第1区域R1、并去除第2区域R2的方式进行膜DF的等离子体蚀刻。在工序ST16中,在图14的(a)所示的状态的基板W载置到支承台16上(静电卡盘20上)的状态下,向第1空间S1供给处理气体。在工序ST16中所使用的处理气体可以包括氟碳化合物气体。另外,在工序ST16中,以将第1空间S1的压力设定成指定好的压力的方式控制排气装置52。另外,在工序ST16中,向上部电极30供给来自第1高频电源61的第1高频。在工序ST16中,为了相对于第1区域R1选择性地去除第2区域R2,进行各向异性的等离子体蚀刻。因而,在工序ST16中,向下部电极18供给来自第2高频电源62的第2高频。通过执行工序ST16,在第1空间S1中生成处理气体的等离子体。并且,等离子体中的离子被向基板W吸引而第2区域R2被蚀刻。其结果,如图14的(b)所示,膜DF的第1区域R1被残留而第2区域R2被蚀刻。此外,在掩模MK的上表面之上延伸着的膜DF被去除,或者其膜厚变小。
在工序STR中,通过执行前体的堆积(工序ST11)和来自等离子体的活性种与前体的反应(工序ST13),在基板W的表面上形成膜DF。并且,在工序ST16中,以第1区域R1残留的方式膜DF被蚀刻。其结果,掩模MK的开口OMK的宽度被调整。
以上,对各种实施方式进行了说明,但并不限定于上述的实施方式,能够构成各种变形形态。例如,在方法MT中所使用的等离子体处理装置也可以是感应耦合型的等离子体处理装置或使用微波这样的表面波而使气体激励的等离子体处理装置。
Claims (12)
1.一种等离子体处理装置的零部件的清洁方法,其中,
所述零部件的表面包含于划分在所述等离子体处理装置的腔室内提供的内部空间的表面,
该等离子体处理装置的零部件的清洁方法包括如下工序:
在所述零部件的所述表面上形成覆膜的工序,在该工序中,向所述内部空间供给第1气体和第2气体,由于该第1气体所含有的第1化合物与该第2气体所含有的第2化合物之间的聚合,形成构成该覆膜的化合物,所述第1化合物是异氰酸酯,所述第2化合物是胺或具有羟基的化合物;以及
在所述内部空间中执行了基板处理之后、将因该基板处理而在所述覆膜上形成的堆积物去除的工序,在该工序中,对所述零部件进行加热以产生构成所述覆膜的所述化合物的解聚。
2.根据权利要求1所述的等离子体处理装置的零部件的清洁方法,其中,
所述内部空间包括第1空间和独立于该第1空间的第2空间,在该第1空间中进行基板处理,
所述等离子体处理装置还具备:
分隔壁,其在所述第1空间与所述第2空间之间的交界上延伸,在该分隔壁形成有使所述第1空间和所述第2空间相互连通的多个贯通孔;
支承台,其在所述第1空间内支承载置于该支承台上的基板;
气体供给系统,其与所述第1空间连接起来;以及
排气装置,其与所述第2空间连接起来。
3.根据权利要求2所述的等离子体处理装置的零部件的清洁方法,其中,
划分所述内部空间的所述表面包括划分所述第1空间的第1表面和划分所述第2空间的第2表面,
所述零部件的所述表面包含于所述第2表面,
在执行在所述零部件的表面上形成覆膜的所述工序后、且执行所述基板处理前,还包括利用在所述第1空间内中生成的气体的等离子体将在所述第1表面上延伸的所述覆膜去除的工序。
4.根据权利要求3所述的等离子体处理装置的零部件的清洁方法,其中,
在所述零部件的表面上形成覆膜的所述工序在保护构件载置于所述支承台上的状态下执行,
在执行在所述零部件的表面上形成覆膜的所述工序后,在从所述支承台上拆除掉所述保护构件的状态下,执行将在第1表面上延伸的所述覆膜去除的所述工序。
5.根据权利要求2所述的等离子体处理装置的零部件的清洁方法,其中,
所述支承台具有:
下部电极,在该下部电极中形成有制冷剂用的流路;以及
静电卡盘,其是设置到所述下部电极上的静电卡盘,在所述第1空间中保持被载置到该静电卡盘上的基板,
在所述静电卡盘中设置有加热器,
在执行在所述零部件的表面上形成覆膜的所述工序后还包括如下工序:使所述加热器发热,以便产生在所述静电卡盘的表面上延伸的所述覆膜的解聚而去除该覆膜。
6.根据权利要求1~5中任一项所述的等离子体处理装置的零部件的清洁方法,其中,
在将堆积物去除的所述工序中,使用设置到所述零部件中的加热器、以及以与所述零部件非接触的方式对该零部件进行加热的非接触加热器,以便对所述零部件进行加热。
7.根据权利要求6所述的等离子体处理装置的零部件的清洁方法,其中,
所述非接触加热器相对于所述内部空间设置于所述腔室的外侧。
8.根据权利要求1~5中任一项所述的等离子体处理装置的零部件的清洁方法,其中,
所述基板处理包括成膜处理和蚀刻中的至少一者。
9.根据权利要求8所述的等离子体处理装置的零部件的清洁方法,其中,
所述基板处理包括基于化学气相成长法的成膜处理、基于等离子体强化CVD法的成膜处理、基于原子层堆积法的成膜处理、或基于等离子体强化ALD法的成膜处理。
10.根据权利要求8所述的等离子体处理装置的零部件的清洁方法,其中,
所述基板处理包括所述成膜处理和作为在该成膜处理之后执行的所述蚀刻的等离子体蚀刻。
11.根据权利要求10所述的等离子体处理装置的零部件的清洁方法,其中,
在所述基板处理中被处理的基板具有基底膜和掩模,该掩模设置于该基底膜上,并提供有开口,
所述成膜处理是基于原子层堆积法的成膜处理,包括如下工序:
向所述内部空间供给前体气体的工序;
执行所述内部空间的吹扫的工序;
向所述内部空间供给与所述前体气体所含有的前体反应的反应性气体的工序;以及
执行所述内部空间的吹扫的工序,
通过所述成膜处理而在所述基板上形成的膜包括第1区域和第2区域,该第1区域沿着划分所述开口的所述掩模的侧壁面延伸,该第2区域在所述基底膜上延伸,
所述等离子体蚀刻是为了残留所述第1区域并去除所述第2区域而被执行的。
12.根据权利要求11所述的等离子体处理装置的零部件的清洁方法,其中,
在供给反应性气体的所述工序中,在所述内部空间中生成该反应性气体的等离子体。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2018005002A JP6799550B2 (ja) | 2018-01-16 | 2018-01-16 | プラズマ処理装置の部品をクリーニングする方法 |
JP2018-005002 | 2018-01-16 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN110047727A CN110047727A (zh) | 2019-07-23 |
CN110047727B true CN110047727B (zh) | 2021-05-25 |
Family
ID=67212762
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201910040416.9A Active CN110047727B (zh) | 2018-01-16 | 2019-01-16 | 等离子体处理装置的零部件的清洁方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US10626497B2 (zh) |
JP (1) | JP6799550B2 (zh) |
KR (1) | KR102648956B1 (zh) |
CN (1) | CN110047727B (zh) |
TW (1) | TWI833725B (zh) |
Families Citing this family (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11289355B2 (en) | 2017-06-02 | 2022-03-29 | Lam Research Corporation | Electrostatic chuck for use in semiconductor processing |
KR20240050466A (ko) | 2018-01-31 | 2024-04-18 | 램 리써치 코포레이션 | 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리 |
US11086233B2 (en) * | 2018-03-20 | 2021-08-10 | Lam Research Corporation | Protective coating for electrostatic chucks |
JP7422531B2 (ja) | 2019-12-17 | 2024-01-26 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
WO2021240572A1 (ja) * | 2020-05-25 | 2021-12-02 | 株式会社日立ハイテク | 半導体装置製造システムおよび半導体装置製造方法 |
JP2022107873A (ja) * | 2021-01-12 | 2022-07-25 | 東京エレクトロン株式会社 | 基板処理装置及びクリーニング方法 |
CN113512665B (zh) * | 2021-07-14 | 2021-12-21 | 上海铂世光半导体科技有限公司 | 一种合金材料的特殊水道设计的散热台 |
WO2023286182A1 (ja) * | 2021-07-14 | 2023-01-19 | 株式会社日立ハイテク | プラズマ処理方法 |
KR102615604B1 (ko) * | 2021-10-26 | 2023-12-20 | 세메스 주식회사 | 기판 처리 방법, 그리고 챔버 세정 방법 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101115860A (zh) * | 2005-03-24 | 2008-01-30 | 株式会社爱发科 | 真空部件的制造方法、树脂被膜形成装置及真空成膜系统 |
CN102160156A (zh) * | 2008-09-16 | 2011-08-17 | 东京毅力科创株式会社 | 聚合膜的成膜方法和成膜装置 |
US20150044390A1 (en) * | 2010-03-25 | 2015-02-12 | Tokyo Electron Limited | Method of cleaning the filament and reactor's interior in facvd |
CN106409670A (zh) * | 2015-07-29 | 2017-02-15 | 东京毅力科创株式会社 | 基板处理装置、基板处理方法以及基板处理装置的维护方法 |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3400293B2 (ja) * | 1996-05-01 | 2003-04-28 | 株式会社東芝 | Cvd装置及びそのクリーニング方法 |
US7204913B1 (en) * | 2002-06-28 | 2007-04-17 | Lam Research Corporation | In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control |
WO2005098922A1 (ja) * | 2004-03-31 | 2005-10-20 | Hitachi Kokusai Electric Inc. | 半導体装置の製造方法 |
US20070048550A1 (en) * | 2005-08-26 | 2007-03-01 | Millero Edward R | Coating compositions exhibiting corrosion resistance properties, related coated substrates, and methods |
JP2009188257A (ja) | 2008-02-07 | 2009-08-20 | Tokyo Electron Ltd | プラズマエッチング方法及びプラズマエッチング装置並びに記憶媒体 |
JP5489604B2 (ja) * | 2009-01-14 | 2014-05-14 | ホーヤ レンズ マニュファクチャリング フィリピン インク | 光学物品の製造方法 |
EP2393106A4 (en) * | 2009-01-29 | 2012-10-03 | Showa Denko Kk | CURABLE COMPOSITION FOR TRANSFER MATERIAL AND UREA COMPOUND CONTAINING (METH) ACRYLOYL GROUP |
US8361334B2 (en) * | 2009-03-18 | 2013-01-29 | Medtronic, Inc. | Plasma deposition to increase adhesion |
JP2010239057A (ja) * | 2009-03-31 | 2010-10-21 | Fujifilm Corp | 回路基板の作製方法 |
JP2011063856A (ja) * | 2009-09-17 | 2011-03-31 | Kansai Coke & Chem Co Ltd | 成膜装置用部品の付着膜除去方法 |
US8728955B2 (en) * | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
JP5310915B2 (ja) * | 2012-08-27 | 2013-10-09 | 東京エレクトロン株式会社 | 成膜装置及びそのクリーニング方法 |
JP5704192B2 (ja) | 2013-06-14 | 2015-04-22 | 東京エレクトロン株式会社 | プラズマエッチング方法及びプラズマエッチング装置並びに記憶媒体 |
JP5740447B2 (ja) * | 2013-10-10 | 2015-06-24 | 株式会社東芝 | 半導体装置の製造方法 |
JP5853087B2 (ja) * | 2014-11-27 | 2016-02-09 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
JP6557585B2 (ja) | 2015-12-02 | 2019-08-07 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US10358715B2 (en) * | 2016-06-03 | 2019-07-23 | Applied Materials, Inc. | Integrated cluster tool for selective area deposition |
TWI689988B (zh) * | 2016-07-21 | 2020-04-01 | 日商東京威力科創股份有限公司 | 半導體裝置之製造方法、真空處理裝置及基板處理裝置 |
-
2018
- 2018-01-16 JP JP2018005002A patent/JP6799550B2/ja active Active
-
2019
- 2019-01-03 TW TW108100171A patent/TWI833725B/zh active
- 2019-01-14 KR KR1020190004775A patent/KR102648956B1/ko active IP Right Grant
- 2019-01-15 US US16/247,934 patent/US10626497B2/en active Active
- 2019-01-16 CN CN201910040416.9A patent/CN110047727B/zh active Active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101115860A (zh) * | 2005-03-24 | 2008-01-30 | 株式会社爱发科 | 真空部件的制造方法、树脂被膜形成装置及真空成膜系统 |
CN102160156A (zh) * | 2008-09-16 | 2011-08-17 | 东京毅力科创株式会社 | 聚合膜的成膜方法和成膜装置 |
US20150044390A1 (en) * | 2010-03-25 | 2015-02-12 | Tokyo Electron Limited | Method of cleaning the filament and reactor's interior in facvd |
CN106409670A (zh) * | 2015-07-29 | 2017-02-15 | 东京毅力科创株式会社 | 基板处理装置、基板处理方法以及基板处理装置的维护方法 |
Also Published As
Publication number | Publication date |
---|---|
TWI833725B (zh) | 2024-03-01 |
TW201940258A (zh) | 2019-10-16 |
JP6799550B2 (ja) | 2020-12-16 |
KR20190087322A (ko) | 2019-07-24 |
CN110047727A (zh) | 2019-07-23 |
JP2019125686A (ja) | 2019-07-25 |
US20190218663A1 (en) | 2019-07-18 |
US10626497B2 (en) | 2020-04-21 |
KR102648956B1 (ko) | 2024-03-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN110047727B (zh) | 等离子体处理装置的零部件的清洁方法 | |
CN110047726B (zh) | 等离子体处理装置的零部件的清洁方法 | |
KR102176750B1 (ko) | 탄소 성막-에칭-애싱 갭 충전 프로세스 | |
TW201704517A (zh) | 藉由原子層沉積及原子層蝕刻的保形膜之沉積 | |
KR20080109888A (ko) | 기판 상의 잔류물을 제거하기 위한 에칭후 처리 시스템 | |
JP7089881B2 (ja) | 成膜方法 | |
US20230386854A1 (en) | Plasma-Assisted Etching Of Metal Oxides | |
TWI809019B (zh) | 處理基板之方法 | |
CN110010464B (zh) | 处理基板的方法 | |
KR20190077238A (ko) | 성막 방법 | |
KR102104867B1 (ko) | 플라즈마 처리 방법 및 플라즈마 처리 장치 | |
CN111527591A (zh) | 等离子体蚀刻方法和等离子体蚀刻装置 | |
JP7493400B2 (ja) | エッチング方法、プラズマ処理装置、及び基板処理システム | |
US10903085B2 (en) | Method for etching organic region | |
TWI846629B (zh) | 蝕刻方法、電漿處理裝置及基板處理系統 | |
CN113838768A (zh) | 降低晶圆偏移的半导体制造方法 | |
TW202215530A (zh) | 蝕刻方法、電漿處理裝置及基板處理系統 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |